Βασικές Σχεδίασης Υπολογιστών Αριθμητική Μονάδα Επεξεργασίας Κεφάλαιο 10

Σχετικά έγγραφα
Chapter 7 Registers and Register Transfers

Chapter 5. Ο επεξεργαστής: διαδρομή δεδομένων και μονάδα ελέγχου. Ενδέκατη (11 η ) δίωρη διάλεξη.

Η διασύνδεση Υλικού και λογισμικού David A. Patterson και John L. Hennessy. Chapter 5. Ο επεξεργαστής: διαδρομή δεδομένων και μονάδα ελέγχου

O επεξεργαστής: Η δίοδος δεδομένων (datapath) και η μονάδα ελέγχου (control)

Παράρτηµα Γ. Τα Βασικά της Λογικής Σχεδίασης. Οργάνωση και Σχεδίαση Υπολογιστών Η ιασύνδεση Υλικού και Λογισµικού, 4 η έκδοση

Τμήμα Λογιστικής. Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές. Μάθημα 8. 1 Στέργιος Παλαμάς

ΑΡΧΙΤΕΚΤΟΝΙΚΗ HARDWARE ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

Μηχανοτρονική. Τμήμα Μηχανικών Παραγωγής και Διοίκησης 7 ο Εξάμηνο,

Κεντρική Μονάδα Επεξεργασίας

Είναι το «μυαλό» του υπολογιστή μας. Αυτός κάνει όλους τους υπολογισμούς και τις πράξεις. Έχει δική του ενσωματωμένη μνήμη, τη λεγόμενη κρυφή

ΠΛΕ- 027 Μικροεπεξεργαστές 5ο μάθημα: Αρχιτεκτονική πυρήνα: υλοποίηση ενός κύκλου

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ

Γενική οργάνωση υπολογιστή «ΑΒΑΚΑ»

ΔΙΠΛΩΜΑΤΙΚΗ ΕΡΓΑΣΙΑ ΠΡΩΤΟΤΥΠΟ ΕΡΓΑΛΕΙΟ ΑΥΤΟΜΑΤΗΣ ΕΞΑΓΩΓΗΣ ΚΩΔΙΚΑ VHDL

Κεφάλαιο 3 Αρχιτεκτονική Ηλεκτρονικού Τμήματος (hardware) των Υπολογιστικών Συστημάτων ΕΡΩΤΗΣΕΙΣ ΑΣΚΗΣΕΙΣ

O επεξεργαστής: Η δίοδος δεδομένων (datapath) και η μονάδα ελέγχου (control)

ΕΙΔΙΚΟΤΗΤΑ: ΤΕΧΝΙΚΟΣ ΕΦΑΡΜΟΓΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΜΑΘΗΜΑ: ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

και η µονάδα ελέγχου (control) O επεξεργαστής: Η δίοδος δεδοµένων (datapath) Εντολές διακλάδωσης (branch beq, bne) I Type Σχεδίαση datapath

Στοιχεία αρχιτεκτονικής μικροεπεξεργαστή

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών

Το ολοκληρωμένο κύκλωμα μιας ΚΜΕ. «Φέτα» ημιαγωγών (wafer) από τη διαδικασία παραγωγής ΚΜΕ

Υλοποίηση Mικροεπεξεργαστή MIPS -16

«ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΕΣ» ΕΣΩΤΕΡΙΚΗ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΚΑΙ ΛΕΙΤΟΥΡΓΙΕΣ

Οργάνωση επεξεργαστή (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ

Μελέτη και σχεδίαση µιας υποτυπώδους κεντρικής µονάδας επεξεργασίας στα 32 µπιτ.

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 ΑΠΑΝΤΗΣΕΙΣ

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

Single Cycle Datapath. Αρχιτεκτονική Υπολογιστών. 5ο εξάμηνο ΣΗΜΜΥ ακ. έτος: Νεκ. Κοζύρης

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

Αρχιτεκτονική Επεξεργαστών Ψ.Ε.Σ

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ

i Το τρανζίστορ αυτό είναι τύπου NMOS. Υπάρχει και το συμπληρωματικό PMOS. ; Τι συμβαίνει στο τρανζίστορ PMOS; Το τρανζίστορ MOS(FET)

Ας ξεκινήσουμε. Macro-instructions. (Assembly level) Micro-instructions Main memory. (micro-code)

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1

Αρχιτεκτονική Eckert-von Neumann. Πως λειτουργεί η ΚΜΕ; Κεντρική μονάδα επεξεργασίας [3] ΕΠΛ 031: ΕΙΣΑΓΩΓΗ ΣΤΟΝ ΠΡΟΓΡΑΜΜΑΤΙΣΜΟ

Αρχιτεκτονική Υπολογιστών

ε. Ένα κύκλωμα το οποίο παράγει τετραγωνικούς παλμούς και απαιτείται εξωτερική διέγερση ονομάζεται ασταθής πολυδονητής Λ

ΟΡΓΑΝΩΣΗ ΚΑΙ ΣΧΕΔΙΑΣΗ Η/Υ

ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ. ΜΑΘΗΜΑ 4 ο ΟΡΓΑΝΩΣΗ ΤΗΣ ΜΝΗΜΗΣ ΠΕΡΙΦΕΡΕΙΑΚΗ ΜΝΗΜΗ

Υλικό Υπολογιστών (Hardware) ΜΥΥ-106 Εισαγωγή στους Η/Υ και Πληροφορική

Επεξεργαστής Υλοποίηση ενός κύκλου μηχανής

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή

Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών Απόδοση ΚΜΕ. (Μέτρηση και τεχνικές βελτίωσης απόδοσης)

Πανεπιστήμιο Θεσσαλίας - Τμήμα Πληροφορικής. Οργάνωση Η/Υ. Γιώργος Δημητρίου. Μάθημα 3 ο ΜΕΔ απλού κύκλου

Ψηφιακή Λογική και Σχεδίαση

i Όλες οι σύγχρονες ΚΜΕ είναι πολυπλοκότερες!

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 ΑΠΑΝΤΗΣΕΙΣ

ΟΡΓΑΝΩΣΗ ΚΑΙ ΣΧΕΔΙΑΣΗ Η/Υ

Κεφάλαιο 4 ο. Ο Προσωπικός Υπολογιστής

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΚΑΤΑΧΩΡΗΤΕΣ ΟΛΙΣΘΗΤΕΣ

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2008

Διάλεξη 12 Καθυστερήσεις (Stalls)

9. ΚΑΤΑΧΩΡΗΤΕΣ (REGISTERS)

Οργάνωση Η/Υ. Γιώργος Δημητρίου. Μάθημα 2 ο Σύντομη Επανάληψη. Πανεπιστήμιο Θεσσαλίας - Τμήμα Πληροφορικής

Μάθημα 7: Μικροϋπολογιστικό Σύστημα και Μνήμες

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ

Κεφάλαιο 8. Αριθμητική Λογική μονάδα

Αρχιτεκτονική υπολογιστών

Chapter 2. Εντολές : Η γλώσσα του υπολογιστή. (συνέχεια) Η διασύνδεση Υλικού και λογισμικού David A. Patterson και John L.

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ Γ ΕΠΑΛ 14 / 04 / 2019

Απλός επεξεργαστής (Επανάληψη)

1. Οργάνωση της CPU 2. Εκτέλεση εντολών 3. Παραλληλία στο επίπεδο των εντολών 4. Γραμμές διοχέτευσης 5. Παραλληλία στο επίπεδο των επεξεργαστών

ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ MHXANIKO MHXANIK I O I Η/ Η Υ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ Γ. Τσιατούχας ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ

ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ. Κεφάλαιο 3

(Ιούνιος 2001 ΤΕΕ Ηµερήσιο) Σε κάθε µία από τις παρακάτω περιπτώσεις, να

ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΗ

Το υλικό του υπολογιστή

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΟΙ ΚΑΤΑΧΩΡΗΤΕΣ ΚΑΙ Η ΥΛΟΠΟΙΗΣΗ ΤΟΥΣ ΜΕ FLIP-FLOP ΚΑΙ ΠΥΛΕΣ

Εργαστήριο 3 ΟΡΓΑΝΩΣΗ ΤΗΣ ΚΜΕ. Εισαγωγή

Οργάνωση Η/Υ. Γιώργος Δημητρίου. Μάθημα 4 ο ΜΕΔ πολλαπλών κύκλων

ΤΕΧΝΙΚΕΣ ΑΥΞΗΣΗΣ ΤΗΣ ΑΠΟΔΟΣΗΣ ΤΩΝ ΥΠΟΛΟΓΙΣΤΩΝ I

Οργάνωση Η/Υ. Γιώργος ηµητρίου. Μάθηµα 4 ο. Πανεπιστήµιο Θεσσαλίας - Τµήµα Μηχανικών Η/Υ, Τηλεπικοινωνιών και ικτύων

Υπολογιστικά Συστήματα Λογική Σχεδίαση Διδάσκοντες: Δρ. Ευγενία Αδαμοπούλου, Δρ. Κώστας Δεμέστιχας

Πανεπιστήμιο Θεσσαλίας Τμήμα Μηχανικών Η/Υ, Τηλεπικοινωνιών και Δικτύων

ΘΕΜΑΤΑ & ΕΝΔΕΙΚΤΙΚΕΣ ΛΥΣΕΙΣ

Διάλεξη 12 Καθυστερήσεις (Stalls) Εκκενώσεις Εντολών (Flushing)

Επεξεργαστής Υλοποίηση ενός κύκλου μηχανής

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

Υπολογιστικά Συστήματα Λογική Σχεδίαση Διδάσκοντες: Δρ. Ευγενία Αδαμοπούλου, Δρ. Κώστας Δεμέστιχας

Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές

Αρχιτεκτονική Υπολογιστών

Σχεδίαση της Μονάδας Ελέγχου

ΚΕΦΑΛΑΙΟ 2: Χειρισµός εδοµένων

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

ΚΕΦΑΛΑΙΟ 2: Χειρισμός Δεδομένων

Αρχιτεκτονικές Συνόλου Εντολών

Ψηφιακή Σχεδίαση Ενότητα 10:

Πρότυπο περιφερειακής ολίσθησης για ψηφιακά. Std ) Δημήτρης Νικολός, Τμήμα Μηχανικών Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν.

HY430 Εργαστήριο Ψηφιακών Κυκλωμάτων.

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2015

Τέτοιες λειτουργίες γίνονται διαμέσου του

Κεφάλαιο Το υπολογιστικό σύστημα Η εξέλιξη του ανθρώπου πραγματοποιήθηκε χάρη στην ικανότητά στον χειρισμό εργαλείων.

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

ΠΛΗ10 Κεφάλαιο 2. ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών

Ψηφιακή Λογική Σχεδίαση

Αρχιτεκτονική Υπολογιστών

Multi Cycle Datapath. Αρχιτεκτονική Υπολογιστών. 5ο εξάμηνο ΣΗΜΜΥ ακ. έτος: Νεκ. Κοζύρης

Εισαγωγή στην Πληροφορική

Transcript:

Βασικές Σχεδίασης Υπολογιστών Αριθμητική Μονάδα Επεξεργασίας Κεφάλαιο 10 Chapter 10 Part 1 1

Περιεχόμενο Εισαγωγή Παράδειγμα Διαδρομής Δεδομένων Αριθμητική Λογική Μονάδα (Arithmetic Logic Uit - ALU) Μονάδα Ελέγχου (Cotrol Word) Chapter 10 Part 1 2

Εισαγωγή Ορισμός Υπολογιστή Αρχιτεκτονική Συνόλου Εντολών (Istructio Set Architecture - ISA) Οορισμόςτης χαμηλού επιπέδου λειτουργίας του υπολογιστή για τον προγραμματιστή Αρχιτεκτονική Υπολογιστή (Computer Architecture) μια υψηλού επιπέδου περιγραφή του υλικού του υπολογιστή όπως αυτός έχει οριστεί στο ISA. Συνήθως η αρχιτεκτονική συμπεριλαμβάνει επιπρόσθετές προδιαγραφές όπως ταχύτητα, κόστος, και αξιοπιστία (reliability). Chapter 10 Part 1 3

Εισαγωγή Μια απλή αρχιτεκτονική αποτελείται από το : Διαδρομή δεδομένων (Datapath) το οποίο υλοποιεί τις διάφορες πράξεις/λειτουργίες Μονάδα ελέγχου (Cotrol uit) το οποίο ελέγχει τις λειτουργίες της Διαδρομής Δεδομένων Η Διαδρομή Δεδομένων ορίζεται από : Ένα σύνολο καταχωριτών Το σύνολο των μικρολειτουργιών που εκτελούνται στα δεδομένα αποθηκευμένα στους καταχωριτές Ένα σύνολο από σήματα ελέγχου (cotrol iterface) Chapter 10 Part 1 4

Διαδρομή Δεδομένων (Datapaths) Αρχές Σχεδίασης μιας απλής Διαδρομής Δεδομένων : Σύνολο καταχωριτών Συλλογή από Καταχωριτές Ένα σύνολο από καταχωριτές με κοινό σημείο πρόσβασης λέγετε Αρχείο Καταχωρτιτών (register file) Υλοποίηση Μικρολειτουργιών Μια ή περισσότερες κοινώχρηστές μονάδες για την υλοποίηση των μικρολειτουργιών Δίαυλοι (Buses) κοινόχρηστη διαδρομή μεταφοράς Αριθμητική Λογική Μονάδα (Arithmetic-Logic Uit- ALU) - κοινόχρηστή μονάδα για την υλοποίηση αριθμητικών και λογικών μικρολειτουργιών Ολισθητή (Shifter) - κοινόχριστή μονάδα για την υλοποίηση μικρολειτουργιών ολίσθησης Chapter 10 Part 1 5

Διαδρομή Παράδειγμα 4 parallel-load καταχωριτές 2 mux-based επιλογείς καταχωριτών Decoder καταχωριτής προορισμού Mux B για εξωτερική σταθερή είσοδο δεδομένων Buses A και B με εξωτερική διεύθυνση και έξοδο δεδομένων ALU και Shifter με Mux F για επιλογή εξόδου Mux D για εξωτερική είσοδο δεδομένων Κύκλωμα (Logic) για παραγωγή των status bits V, C, N, Z Chapter 10 Part 1 6

Διαδρομή (Παράδειγμα): Εκτέλεση μιας Μικρολειτουργίας Μικρολειτουργία: R0 R1 + R2 Είσοδο 01 στο A select για τη φόρτωση των περιεχομένων του R1 στο A Είσοδο 10 στο B select για τη φόρτωση των περιεχομένων του R2 στο B data και είσοδο 0 στο MB select για επιλογή του B data στο Bus B Είσοδο 0010 στο G select για εκτέλεση πρόσθεσης G = Bus A + Bus B Είσοδο 0 στο MF select και 0 στο MD select φόρτωση της τιμής του G στο BUS D Είσοδο 00 στο Destiatio select για τη φόρτωση του αποτελέσματος στο eable Write D data N Z Zero Detect MF select 0 1 MUX F F R0 MD select 0 1 MUX D Bus D V C G select 4 R0 R1 R2 R3 0 1 2 3 Decoder D address 2 Costat i Destiatio select MB select Bus A 1 0 MUX B A select A address Bus B 2 2 0 1 MUX 2 3 A data B select B address Fuctio uit 0 1 MUX 2 3 Register file B data A B H select A B 2 S 2:0 C S i B Arithmetic/logic 0 I R Shifter I L 0 uit (ALU) G H Address Out Data Out Chapter 10 Part 1 7 Data I

Διαδρομή (Παράδειγμα): Εκτέλεση μιας Μικρολειτουργίας Είσοδο 1 στο Eable για να επιτραπεί η φόρτωση του G στο καταχωριτή R0 Όλη η μικρολειτουργία εκτελείτε σε ένα κύκλο ρολογιού eable Write D data R0 R1 R2 A select A address 2 2 0 1 MUX 2 3 B select B address 0 1 MUX 2 3 0 1 2 3 Decoder D address 2 Costat i Destiatio select MB select Bus A V C N Z G select 4 R3 Zero Detect 0 1 MF select MUX F F MD select 0 1 MUX D Bus D 1 0 MUX B Bus B A data Fuctio uit Register file B data A B H select A B 2 S 2:0 C S i B Arithmetic/logic 0 I R Shifter I L 0 uit (ALU) G H Address Out Data Out Chapter 10 Part 1 8 Data I

Διαδρομή Δεδομένων: Σήματα ελέγχου για εκτελέσεις Μικρολειτουργιών Για shift microoperatio είσοδο 1 στο MF select Για μικρολειτουργία με σταθερές χρησιμοποιώντας το Bus B είσοδο 1 στο MB select Για γράψιμο δεδομένων στη μνήμη πρέπει να δώσουμε τη αντίστοιχη διεύθυνση του κελιού είσοδο 0 στο eable για εμποδίσει την φόρτωση των καταχωριτών Για φόρτωση δεδομένων από μνήμη πρέπει να δώσουμε είσοδο 1 στο MD select eable Write D data 0 1 2 3 Decoder D address 2 Costat i Destiatio select MB select Bus A V C N Z G select 4 Zero Detect MF select R0 R1 R2 R3 MD select 0 1 MUX D Bus D 1 0 MUX B 0 1 MUX F F A select A address Bus B 2 2 0 1 MUX 2 3 A data B select B address Fuctio uit 0 1 MUX 2 3 Register file B data A B H select A B 2 S 2:0 C S i B Arithmetic/logic 0 I R Shifter I L 0 uit (ALU) G H Address Out Data Out Chapter 10 Part 1 9 Data I

Αριθμητική Λογική Μονάδα (Arithmetic Logic Uit - ALU) Η ALU αποτελείτε από: Ένα αριθμητικό Κύκλωμα Ένα Λογικό Κύκλωμα Έναν επιλογέα (DEMUX) για επιλογή του αντίστοιχου κυκλώματος Σχεδιασμός Αριθμητικού Κυκλώματος Το αριθμητικό κύκλωμα αποτελείτε από: Ένα -bit παράλληλο αθροιστή (adder) Ένα λογικό μπλοκ το οποίο το οποίο επιλέγει 4 πιθανούς εισόδους του Β στο αθροιστή Chapter 10 Part 1 10

Αριθμητική Λογική Μονάδα Chapter 10 Part 1 11

Σχεδιασμός Αριθμητικού Κυκλώματος Υπάρχουν 4 συναρτήσεις του Β για επιλογή της εισόδου Y στο G = A + Y: C i = 0 C i = 1 G = A G = A + 1 0 B B 1 G = A + B G = A + B G = A 1 G = A + B + 1 G = A + B + 1 G = A Τι συναρτήσεις υλοποιούνται όταν το carry-i στο adder είναι = 0? =1? Chapter 10 Part 1 12

Σχεδιασμός Αριθμητικού Κυκλώματος Οι επιλογείς του μπλοκ Β του ALU Chapter 10 Part 1 13

Μονάδα Ελέγχου (The Cotrol Word) Η Διαδρομή Δεδομένων έχει πολλά σήματα ελέγχου Τα σήματα που ελέγχουν αυτή την μονάδα ορίζονται και οργανώνονται στην μονάδα ελέγχου Για την εκτέλεση μιας μικρολειτουργίας, στέλνουμε τα σήματα από την μονάδα ελέγχου στη Διαδρομή Δεδομένων H μονάδα ελέγχου κωδικοποιεί τα σήματα ελέγχου σε μια λέξη (word) 16 bits Chapter 10 Part 1 14

Τα πεδία της Λέξης Ελέγχου 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 DA AA BA M B FS M D R W Λέξη Ελέγχου Πεδία DA D Address (Καταχωριτής Προορισμού) AA A Address (Πρώτος Καταχωριτής) BA B Address MB Mux B (ΣταθερήτιμήήΤιμήστοBus B) FS Επιλογή Συναρτήσεων (Fuctio Select ) MD Mux D (Δεδομένα απο Μνήμη ή ALU) RW Γράψιμο σε Καταχωρητή (Register Write) Chapter 10 Part 1 15

Cotrol Word Block Diagram Chapter 10 Part 1 16

Cotrol Word Ecodig Ecodig of Cotrol W Chapter 10 Part 1 17

Microoperatios for the Datapath - Symbolic Represetatio Chapter 10 Part 1 18

Microoperatios for the Datapath - Biary Represetatio m Microoperatios from Ta Biary Co o Chapter 10 Part 1 19