Μοντέλα. χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες.

Σχετικά έγγραφα
7 η Θεµατική Ενότητα : Εισαγωγή στις Γλώσσες Περιγραφής Υλικού

Εισαγωγή Η VHDL υποστηρίζει τους εξής τρείς βασικούς και διαφορετικούς τρόπους περιγραφής

Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι. Εισαγωγή στη VHDL

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Εισαγωγικές έννοιες για σχεδιασμό με τη VHDL

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Γενικά χαρακτηριστικά, σύνταξη και τύποι. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 -

VERILOG. Γενικά περί γλώσσας

ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ένα συνδυαστικό κύκλωµα µπορεί να περιγραφεί από: Φεβ-05. n-είσοδοι

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 Συµπληρωµατική ΔΙΑΛΕΞΗ 14: Περιγραφή Ακολουθιακών Κυκλωµάτων στη VHDL

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων

Ακολουθιακές εντολές. (Peter Ashenden, The Students Guide to VHDL)

Κυκλωμάτων» Χειμερινό εξάμηνο

H γλώσσα περιγραφής κυκλωµάτων VHDL

Σχεδίαση µε CAD tools

ς Ποιότητα ξιολόγηση Α

VHDL Εισαγωγικές έννοιες

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

Εισαγωγή στη VHDL Υλοποίηση στο Quartus

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

VHDL για Σχεδιασµό Ακολουθιακών Κυκλωµάτων

ΚΕΦΑΛΑΙΟ Συνδυαστικά Κυκλώµατα. 3.2 Σχεδιασµός Συνδυαστικής Λογικής 3.3 ιαδικασία Ανάλυσης 3.4 ιαδικασία Σχεδιασµού.

Προσοµοίωση Συστηµάτων µε VHDL. (Peter Ashenden, The Students Guide to VHDL)

8 η Θεµατική Ενότητα : Εισαγωγή στις Γλώσσες Περιγραφής Υλικού: Μοντέλα Συνδυαστικών Κυκλωµάτων

Σχεδίαση Ψηφιακών Συστημάτων

Περιεχόμενα. Πρόλογος... XI. Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA Κεφάλαιο 2. Τεχνολογία VLSI Εισαγωγή Βασικές Αρχές...

ΠΕΡΙΕΧΟΜΕΝΑ Υλικό και Λογισμικό Αρχιτεκτονική Υπολογιστών Δομή, Οργάνωση και Λειτουργία Υπολογιστών 6

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Βασικές εντολές και η περιγραφή συνδυαστικών κυκλωµάτων. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 2 -

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Πακέτα και Συστατικά Στοιχεία (Υποκυκλώματα)

Σχεδίαση Υπολογιστικών

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

Πτυχιακή Εργασία Σχεδίαση κυκλωμάτων επικοινωνίας με απλές οθόνες, με τη γλώσσα VHDL και υλοποίηση στις αναπτυξιακές πλακέτες LP-2900 και DE2.

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

ΠΑΡΑΡΤΗΜΑ Β. Verification

Βιβλιογραϕικές σηµειώσεις 59. Ασκήσεις 19

Σύνθεση Ψηφιακών Συστηµάτων. Χ. Καβουσιανός

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. VHDL για Ακολουθιακά Κυκλώματα 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1

Κεφάλαιο 7 : Είδη, Τεχνικές, και Περιβάλλοντα Προγραµµατισµού

Κεφάλαιο 14 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Σχεδιαστικές Μεθοδολογίες 2

Περιεχόµενα. Πρόλογος Εισαγωγή 21

Μοντέλα Αρχιτεκτονικής στην Σύνθεση

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Περιεχόµενα. I Βασικές Γνώσεις 1

ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Δομική περιγραφή και περιγραφή Μηχανών Πεπερασμένων Καταστάσεων

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συντρέχων Κώδικας

Σχεδίαση Ψηφιακών Συστημάτων

Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ

Σχεδίαση Ψηφιακών Συστημάτων

! Εάν ο αριθμός διαθέτει περισσότερα bits, χρησιμοποιούμε μεγαλύτερες δυνάμεις του 2. ! Προσοχή στη θέση του περισσότερο σημαντικού bit!

Σελίδα 1 από 11. Απαντήσεις στο φυλλάδιο 57 Ερώτηση: 1 η : Οι ακροδέκτες αυτοί χρησιµοποιούνται για:

Μελέτη και σχεδίαση µιας υποτυπώδους κεντρικής µονάδας επεξεργασίας στα 32 µπιτ.

Structural VHDL. Structural VHDL

Καταστάσεων. Καταστάσεων

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 18: Διαδικασία Σχεδίασης Ψηφιακών Συστηµάτων - Επανάληψη

VHDL Introduction. Subtitle

ΕΙΣΑΓΩΓΗ. Αρχιτεκτονική Η/Υ ΗΜΟΣ ΜΠΟΛΑΝΑΚΗΣ

Πράξεις με δυαδικούς αριθμούς

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση. Ενότητα 13: Εισαγωγή στην VHDL

4.1 Θεωρητική εισαγωγή

VHDL. ΗΜΥ-210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Απλό παράδειγµα: Entity. Μοντελοποίηση. Απλό παράδειγµα:αρχιτεκτονική. Στοιχεία γλώσσας VHDL

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Εφαρµογές Πληροφορικής Υπολογιστών. Κεφάλαιο 3 Το υλικό του υπολογιστή

Βασικές οµές Μοντελοποίησης. (Peter Ashenden, The Students Guide to VHDL)

Κεφάλαιο 4 Σημασιολογία μιας Απλής Προστακτικής Γλώσσας

Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής

Περιεχόµενα του µαθήµατος 1. στον προγραµµατισµό 2. Λογικά διαγράµµατα 3. Τα βασικά της FORTRAN 4. Μεταβλητές & παράµετροι 5. οµές επανάληψης 6. οµές

ΗΥ-225. Verilog HDL. Τα βασικά...

Εισαγωγή στην πληροφορική

δειγμα ΠΕΡΙΕΧΟΜΕΝΑ 2. Τεχνολογία και P.L.C Βλέποντας το Hardware του Twido P.L.C Γενική δοµή ενός συστήµατος αυτοµατισµού 14

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

Σύνθεση Data Path. ιασύνδεσης. Μονάδες. Αριθµό Μονάδων. Τύπο Μονάδων. Unit Selection Unit Binding. λειτουργιών σε. Μονάδες. Αντιστοίχιση µεταβλητών &

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο Νοε-09. Διδάσκουσα: Μαρία Κ. Μιχαήλ

ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU)

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A].

2 ΟΥ και 7 ΟΥ ΚΕΦΑΛΑΙΟΥ

ΕΞΕΤΑΣΤΕΑ ΥΛΗ (SYLLABUS) ADVANCED αντικειμενοστραφής προγραμματισμός ΕΚΔΟΣΗ 1.0. Σόλωνος 108,Τηλ Φαξ

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ

Εισαγωγή - Βασικές έννοιες. Ι.Ε.Κ ΓΛΥΦΑΔΑΣ Τεχνικός Τεχνολογίας Internet Αλγοριθμική Ι (Ε) Σχολ. Ετος A Εξάμηνο

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία

Ενότητα 6 ΑΝΑΛΥΣΗ & ΣΥΝΘΕΣΗ ΣΥΝΔΥΑΣΤΙΚΗΣ ΛΟΓΙΚΗΣ ΣΥΝΔΥΑΣΤΙΚΑ ΚΥΚΛΩΜΑΤΑ ΠΟΛΛΩΝ ΕΠΙΠΕΔΩΝ

Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211

Αρχιτεκτονική υπολογιστών

Ψηφιακή Λογική και Σχεδίαση

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Μετρητής Ριπής (Ripple Counter) Μετρητές (Counters) Μετρητής Ριπής (συν.

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων

Παράρτηµα Γ. Τα Βασικά της Λογικής Σχεδίασης. Οργάνωση και Σχεδίαση Υπολογιστών Η ιασύνδεση Υλικού και Λογισµικού, 4 η έκδοση

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Τύποι Δεδομένων και Τελεστές

Λογικός Σχεδιασµός και Σχεδιασµός Η/Υ. ΗΜΥ-210: Εαρινό Εξάµηνο Σκοπός του µαθήµατος. Ψηφιακά Συστήµατα. Περίληψη. Εύρος Τάσης (Voltage(

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων

Transcript:

Γλώσσες Περιγραφής

Μοντέλα Ένα µοντέλο ενός κυκλώµατος είναι µία αναπαράσταση που παρουσιάζει χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες. Τα τυπικά µοντέλα έχουν καλά ορισµένη σύνταξη. Τα αυτόµατα εργαλεία σχεδιασµού επεξεργάζονται τις πληροφορίες τους. Παράµετροι µοντελοποίησης κυκλώµατος: 1. επίπεδο αφαίρεσης (αρχιτεκτονικής, λογικό, γεωµετρικό), 2. περιγραφή (συµπεριφοράς, κατασκευής, φυσική), 3. µέσα (γλώσσα, διαγράµµατα, µαθηµατικά µοντέλα). Οι HDLs µοιάζουν µε τις κοινές γλώσσες προγραµµατισµού. Τα αφηρηµένα µοντέλα είναι είναι µαθηµατικά µοντέλα που βασίζονται σε γράφους και Boolean algebra. Γλώσσες Περιγραφής 2

Μοντέλα Aρχιτεκτονική: η συµπεριφορά περιγράφεται µε λειτουργίες (operations) και εξαρτήσεις (dependencies). Συµπεριφορά ακολουθιακών κυκλωµάτων: µηχανή πεπερασµένων καταστάσεων (FSM). Περιγραφή ργρ κατασκευής: διασυνδέσεις λογικών blocks ή πυλών (επίπεδο λογικής) ή resources (επίπεδο αρχιτεκτονικής). Γλώσσες Περιγραφής 3

Γλώσσες Μοντελοποίησης Οι HDLs έχουν µερικές διαφορές από τις κοινές γλώσσες προγραµµατισµού: (Κυκλώµατα = παράλληλες λειτουργίες) (Προγράµµατα = σειριακά). Οι προδιαγραφές κυκλωµάτων περιέχουν και κατασκευαστικές πληροφορίες (structural) όπως θύρες Ι/Ο. Επιπλέον µπορεί να περιέχουν και τυχόν περιορισµούς. Ο χρονισµός των λειτουργιών είναι πολύ απαραίτητος στο Hardware. Στο επίπεδο αρχιτεκτονικής και το λογικό επίπεδο χρησιµοποιούνται οι περιγραφές κατασκευής, συµπεριφοράς και συνδυασµοί. Τα εργαλεία σύνθεσης παρέχουν την δυνατότητα µετατροπής από την περιγραφή συµπεριφοράς στην περιγραφή κατασκευής Γλώσσες Περιγραφής 4

Χαρακτηριστικά των HDLs Οι HDLs έχουν τα ακόλουθα χαρακτηριστικά: Χαρακτηρίζονται από: (α) την σύνταξή τους (δοµή γλώσσας, γραµµατική), (β) τα semantics (έννοιες της γλώσσας), (γ) pragmatics (θέµατα υλοποίησης). ης) Χωρίζονται σε γλώσσες procedural και declarative: (α) Procedural: µία λειτουργία = ακολουθία βηµάτων µε ορισµένη σειρά. (β) Declarative: σύνολο δηλώσεων χωρίς λεπτοµέρειες λύσης. Γλώσσες Περιγραφής 5

Χαρακτηριστικά των HDLs Μαζί µε κάθε γλώσσα υπάρχει και ο εξοµοιωτής (event-driven). H εξοµοίωση είναι η εκτίµηση τιµών σηµάτων σε ένα παράθυρο χρόνου: 1) Τα σήµατα υπολογίζονται και διαδίδονται. 2) Οι processes ενεργοποιούνται από τα σήµατα, εκτελούνται και σταµατούν. Υποστηρίζονται σύγχρονα και ασύγχρονα µοντέλα κυκλωµάτων. Προβλήµατα παρουσιάζονται στην εξοµοίωση όταν δεν είναι γνωστές οι καθυστερήσεις (δεν έχει γίνει η σύνθεση) και δεν µπορούν να εκτιµηθούν. Γλώσσες Περιγραφής 6

Μοιάζουν µε σχηµατικά κυκλωµάτων αφού περιγράφουν διασυνδέσεις σε components. H ιεραρχία χρησιµοποιείται για την τµηµατοποίηση και συµπύκνωση των περιγραφών. Structural HDLs Γλώσσες Περιγραφής 7

Structural HDLs Οι µετα-µεταβλητές (metavariables) χρησιµοποιούνται για να κάνουν τα µοντέλα κυκλωµάτων πιο συµπαγή. εν αναπαριστούν οντότητες υλικού και απορρίπτονται από τα πρώτα βήµατα του Compilation. (πχ ο δείκτης ενός πίνακα) metavariable Γλώσσες Περιγραφής 8

Structural HDLs Γλώσσες Περιγραφής 9

Behavioral HDLs Μοντελοποίηση συµπεριφοράς: κυκλώµατα υψηλής πολυπλοκότητας. Συνδυαστικά λογικά κυκλώµατα: (α) σύνολο θυρών εισόδου/εξόδου (β) σύνολο εξισώσεων που σχετίζουν µεταβλητές µε λογικές εκφράσεις. ιαφορά από κατασκευαστικά µοντέλα: δεν υπάρχει ένα-προς-ένα αντιστοιχία µεταξύ εκφράσεων και λογικών πυλών. Οι Procedural γλώσσες µπορούν να χρησιµοποιηθούν για την περιγραφή συνδυαστικών λογικών κυκλωµάτων Γλώσσες Περιγραφής 10

Behavioral HDLs H µοντελοποίηση συµπεριφοράς σύγχρονων λογικών κυκλωµάτων εξαρτάται από τα Finite State Machines semantics χρονισµού της γλώσσας. Συνήθως χρησιµοποιείται ένα ρολόι αναφοράς και συγχρονισµού Γλώσσες Περιγραφής 11

Behavioral HDLs Μοντελοποίηση συµπεριφοράς (επίπεδο αρχιτεκτονικής): ακολουθία αναθέσεων σε µεταβλητές µέσω δοµών ελέγχου (διακλάδωση, επανάληψη κλπ). Σαφής διαχωρισµός σε λειτουργίες (αναθέσεις) και εξαρτήσεις (control flow). Οι εντολές ελέγχου καθορίζουν τις εξαρτήσεις (dependencies) των λειτουργιών (operations) H µοντελοποίηση συµπεριφοράς αφήνει πολλούς βαθµούς ελευθερίας στην σύνθεση και τα εργαλεία βελτιστοποίησης. Μπορεί να διαφέρει το κύκλωµα της σύνθεσης από το αρχικό µοντέλο. Γλώσσες Περιγραφής 12

Behavioral HDLs Εάν θέλουµε να διασφαλίσουµε την χρονική λειτουργία του κυκλώµατος πρέπει να ακολουθήσουµε ακριβή πολιτική χρονισµού. Παράδειγµα: Καθυστέρηση του Decoding κατά ένα κύκλο για µείωση χρόνου κύκλου ρολογιού. Γλώσσες Περιγραφής 13

Behavioral HDLs Εάν θέλουµε να διασφαλίσουµε την χρονική λειτουργία του κυκλώµατος πρέπει να ακολουθήσουµε ακριβή πολιτική χρονισµού. Κύκλος 1 ος Καθυστέρηση του Decoding κατά ένα κύκλο για µείωση χρόνου κύκλου ρολογιού. Κύκλος 2 ος Γλώσσες Περιγραφής 14

Παράδειγµα Περιγραφής Συµπεριφοράς Πλήρης Αθροιστής Χ Υ CIN COUT SUM 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 Γλώσσες Περιγραφής 15

Παράδειγµα Περιγραφής Συµπεριφοράς Πλήρης Αθροιστής Γλώσσες Περιγραφής 16

Παράδειγµα Περιγραφής Συµπεριφοράς Πλήρης Αθροιστής µετά από σύνθεση Γλώσσες Περιγραφής 17

VHDL ηµιουργήθηκε σαν τµήµα του VHSIC project. Έγινε ΙΕΕΕ πρότυπο το 87. Είχε σαν στόχο την περιγραφή µεγάλων κυκλωµάτων. όθηκε έµφαση στην αναγνωσιµότητα (πολύλογη γλώσσα). Το µοντέλο ενός κυκλώµατος ονοµάζεται σχεδιαστική οντότητα (entity) και αποτελείται από το interface και το σώµα αρχιτεκτονικής. entity HALF_ADDER ADDER is port (a, b: in bit; sum, carry: out bit); end HALF_ADDER To σώµα αρχιτεκτονικής µπορεί να περιγραφεί σε επίπεδο συµπεριφοράς, κατασκευής ή ροής δεδοµένων. Είναι γλώσσα ισχυρών τύπων. Παρέχει σταθερές, µεταβλητές και σήµατα. Γλώσσες Περιγραφής 18

VHDL Οι µεταβλητές δεν σχετίζονται µε hardware. Τα σήµατα έχουν ηλεκτρική υλοποίηση (µε καθυστέρηση). Η δήλωση wait χρησιµοποιείται για τον συγχρονισµό εκτέλεσης γεγονότων. Η VHDL είναι πολύ τµηµατοποιηµένη γλώσσα. Κάθε block ξεκινάει µε την δεσµευµένη λέξη begin και ολοκληρώνεται µε την λέξη end. Παρέχονται λογικοί, σχεσιακοί και αριθµητικοί τελεστές ενώ επιτρέπεται η υπερφόρτωση των τελεστών. Παρέχεται µηχανισµός πακεταρίσµατος (packaging) συναρτήσεων και δηλώσεων. Παρέχεται η δυνατότητα δηµιουργίας και χρήσης βιβλιοθηκών. ίνεται η δυνατότητα τµηµατοποίησης και επαναχρησιµοποίησης µοντέλων. Γλώσσες Περιγραφής 19

Παράδειγµα σε VHDL Γλώσσες Περιγραφής 20

Verilog Η Verilog χρησιµοποιήθηκε για την µοντελοποίηση και εξοµοίωση λογικών κυκλωµάτων σε λειτουργικό επίπεδο (αρχιτεκτονικής), λογικό, και επίπεδο διακοπτών (switch). Είναι πιο συµπαγής από την VHDL και δεν απαιτεί διαχωρισµό δηλώσεων και αρχιτεκτονικής. Structural Behavioral Γλώσσες Περιγραφής 21

Verilog Παρέχει δύο είδη αναθέσεων: continuous (assign) και procedural. To continuous γίνεται άµεσα, ενώ το procedural όταν εκτελεστεί το statement. Έχει δύο είδη τύπων δεδοµένων: register και net. Υποστηρίζει εντολές ελέγχου ροής δεδοµένων και κλήσεις σε υπορουτίνες και συναρτήσεις. Ο συγχρονισµός εκτέλεσης λειτουργιών γίνεται µε την εντολή wait. Τα µοντέλα συµπεριφοράς χωρίζονται σε αρχικοποίηση (initial) και άπειρο βρόγχο (always). Γλώσσες Περιγραφής 22

Verilog Γλώσσες Περιγραφής 23