ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL

Σχετικά έγγραφα
Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι. Εισαγωγή στη VHDL

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 Συµπληρωµατική ΔΙΑΛΕΞΗ 14: Περιγραφή Ακολουθιακών Κυκλωµάτων στη VHDL

VHDL για Σχεδιασµό Ακολουθιακών Κυκλωµάτων

Πανεπιστήµιο Θεσσαλίας

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Δομική περιγραφή και περιγραφή Μηχανών Πεπερασμένων Καταστάσεων

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Structural VHDL. Structural VHDL

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Γενικά χαρακτηριστικά, σύνταξη και τύποι. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 -

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. VHDL για Ακολουθιακά Κυκλώματα 1

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

Σχεδίαση Ψηφιακών Συστημάτων

VHDL Εισαγωγικές έννοιες

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών

ΠΑΡΑΡΤΗΜΑ Β. Verification

Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε.

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Βασικές εντολές και η περιγραφή συνδυαστικών κυκλωµάτων. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 2 -

Σχεδίαση Ψηφιακών Συστημάτων

Εισαγωγή στη Γλώσσα VHDL

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

Library, package και subprograms

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ

Εισαγωγή Η VHDL υποστηρίζει τους εξής τρείς βασικούς και διαφορετικούς τρόπους περιγραφής

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Καταχωρητές και χρονισμός με ρολόι

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Προχωρημένα Θέματα Σχεδιασμού με VHDL

Κυκλωμάτων» Χειμερινό εξάμηνο

Σχεδίαση Ψηφιακών Συστημάτων

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συντρέχων Κώδικας

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

Εισαγωγή στη VHDL Υλοποίηση στο Quartus

Ελίνα Μακρή

Πανεπιστήμιο Πατρών. Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών. Εργαστήριο Σχεδίασης Ολοκληρωμένων Κυκλωμάτων

Ακολουθιακές εντολές. (Peter Ashenden, The Students Guide to VHDL)

VHDL Introduction. Subtitle

H γλώσσα περιγραφής κυκλωµάτων VHDL

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Πακέτα και Συστατικά Στοιχεία (Υποκυκλώματα)

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Εισαγωγικές έννοιες για σχεδιασμό με τη VHDL

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

ΑΣΚΗΣΗ 2: Σχεδίαση και προσομοίωση κυκλωμάτων καταχωρητών και μετρητών

Introduction to IP Cores

ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ένα συνδυαστικό κύκλωµα µπορεί να περιγραφεί από: Φεβ-05. n-είσοδοι

7 η Θεµατική Ενότητα : Εισαγωγή στις Γλώσσες Περιγραφής Υλικού

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συναρτήσεις, Διαδικασίες και Δομές Ελέγχου Λειτουργίας Κυκλωμάτων (testbenches)

Σχεδίαση Ψηφιακών Συστημάτων

Κυκλωμάτων» Χειμερινό εξάμηνο

VHDL για Σχεδιασµό Συνδυαστικών και Ακολουθιακών Ψηφιακών Κυκλωµάτων

ΑΣΚΗΣΗ 9. Tα Flip-Flop

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

Καταστάσεων. Καταστάσεων

Πανεπιστήµιο Κύπρου DEPARTMENT OF COMPUTER SCIENCE

Μελέτη και σχεδίαση µιας υποτυπώδους κεντρικής µονάδας επεξεργασίας στα 32 µπιτ.

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

Σχεδίαση Υπολογιστικών

Πτυχιακή Εργασία Σχεδίαση κυκλωμάτων επικοινωνίας με απλές οθόνες, με τη γλώσσα VHDL και υλοποίηση στις αναπτυξιακές πλακέτες LP-2900 και DE2.

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ

ΛΥΣΕΙΣ 3 ης ΓΡΑΠΤΗΣ ΕΡΓΑΣΙΑΣ

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 10: Συµπληρωµατική Διάλεξη Flip-Flops (Basics) και VHDL)

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ

Μοντελοποίηση Επιπέδου Πύλης. (Peter Ashenden, The Students Guide to VHDL)

VHDL. ΗΜΥ-210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Απλό παράδειγµα: Entity. Μοντελοποίηση. Απλό παράδειγµα:αρχιτεκτονική. Στοιχεία γλώσσας VHDL

Μοντέλα. χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες.

Προσοµοίωση Συστηµάτων µε VHDL. (Peter Ashenden, The Students Guide to VHDL)

ΗΥ-225. Verilog HDL. Τα βασικά...

ΑΣΚΗΣΗ 10 ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ

1 Περίληψη Η εργασία έγινε στα πλαίσια του μαθήματος των Ψηφιακών Ηλεκτρονικών Συστημάτων με σκοπό αρχικά την εκμάθηση της γλώσσας VHDL (Very High Spe

ΚΕΦΑΛΑΙΟ Συνδυαστικά Κυκλώµατα. 3.2 Σχεδιασµός Συνδυαστικής Λογικής 3.3 ιαδικασία Ανάλυσης 3.4 ιαδικασία Σχεδιασµού.

Γλώσσα Περιγραφής Υλικού VHDL Μέρος Α: Σωστή Σύνθεση

Σχεδιασμός Ψηφιακών Συστημάτων

7.1 Θεωρητική εισαγωγή

Πρόγραμμα Μεταπτυχιακών Σπουδών «Πληροφορική και Εφαρμογές»

ΠΟΛΥΤΕΧΝΕΙΟ ΚΡΗΤΗΣ Τµήµα Ηλεκτρονικής & Μηχανικών Υπολογιστών Εργαστήριο Μικροεπεξεργαστών & Υλικού Πολυτεχνειούπολη Χανίων Τ.Κ.

Ακολουθιακά Κυκλώµατα. ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ακολουθιακά Κυκλώµατα (συν.) Ακολουθιακή Λογική: Έννοια

ΑΣΚΗΣΗ 7 FLIP - FLOP

Πτυχιακή Εργασία. Σχεδίαση Εφαρμογών Ψηφιακών Συστημάτων Με Τη Γλώσσα VHDL

constant number_of_bytes : integer := 4; constant number_of_bits : integer := 8 * number_of_bytes;

ΗΥ220: Εργαστήριο σχεδίασης ψηφιακών κυκλωμάτων Χριστόφορος Κάχρης

Τεχνικές σχεδιασμού μονοπατιών ολίσθησης

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση. Ενότητα 13: Εισαγωγή στην VHDL

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τµήµα Εφαρµοσµένης Πληροφορικής & Πολυµέσων. Ψηφιακή Σχεδίαση. Κεφάλαιο 5: Σύγχρονη Ακολουθιακή

Σύγχρονοι Απαριθμητές. Διάλεξη 8

Σχεδιασμός Ψηφιακών Συστημάτων

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

w x y Υλοποίηση της F(w,x,y,z) με πολυπλέκτη 8-σε-1

ΣΧΕΔΙΑΣΜΟΣ ΚΥΚΛΩΜΑΤΩΝ ΠΑΡΑΜΕΤΡΙΚΗΣ ΜΕΤΑΒΟΛΗΣ ΣΥΧΝΟΤΗΤΑΣ ΚΑΙ DUTY CYCLE ΠΑΛΜΟΥ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL

f(x, y, z) = y z + xz

Αρχιτεκτονικές Υπολογιστών

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή

Ενότητα ΑΡΧΕΣ ΑΚΟΛΟΥΘΙΑΚΗΣ ΛΟΓΙΚΗΣ LATCHES & FLIP-FLOPS

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα

Εισαγωγή στον έλεγχο ορθής λειτουργίας ψηφιακών συστημάτων. Δημήτρης Νικολός, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν.

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο Νοε-09. Διδάσκουσα: Μαρία Κ. Μιχαήλ

Transcript:

ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL Προετοιµασία: Παπαδόπουλος Γιώργος Σούρδης Γιάννης Για το µάθηµα Οργάνωσης Υπολογιστών (ΑΡΥ301), 2002

ΕΙΣΑΓΩΓΗ ΣΤΗ STRUCTURAL VHDL Η VHDL είναι µια γλώσσα προγραµµατισµού µε την οποία περιγράφουµε την συµπεριφορά, την δοµή και την υλοποίηση ενός ψηφιακού κυκλώµατος ή συστήµατος. Η γλώσσα αυτή συνιστά ένα εργαλείο CAD και έχει καθιερωθεί σαν ένα πρότυπο (standard) στη σχεδίασση ηλεκτρονικών κυκλωµάτων ASIC (Application Specific Integrated Circuits). Το γεγονός αυτό µας εγγυάται ότι οι νεότερες εκδόσεις εργαλείων σχεδίασης θα υποστηρίζουν το πρότυπο αυτό. Έτσι µια περιγραφή ενός κυκλώµατος που αναπτύχθηκε µε τα σηµερινά εργαλεία σχεδίασης θα είναι µεταφέρσιµη µελλοντικά σε νέα εργαλεία σχεδίασης µε ελάχιστες τροποποιήσεις. Επίσης οι περιγραφές κυκλωµάτων που αναπτύχθηκαν από διάφορους σσχεδιαστές θα είναι διαθέσιµες σε µια κοινή βάση δεδοµένων η χρήση της οποίας θα µας διευκολύνει στην επίλυση παρόµοιων σχεδιαστικών προβληµάτων. Ο όρος VHDL αποτελεί σύντµηση των λέξεων VHSIC Hardware Description Language και αναπτύχθηκε στις αρχές της δεκαετίας του 1980. Επίσης η λέξη VHSIC είναι σύντµηση των λέξεων Very High Speed Integrated Circuit. Το κύριο πρότυπο της γλώσσας VHDL είναι το IEEE 1076-1987 και αποτελεί τη βάση για κάθε πρόγραµµα CAD µε VHDL simulator και synthesis. Τα βελτιωµένα πρότυπα της γλώσσας αυτής είναι το IEEE 1076-1993 και το IEEE 1164. Επίσης στο τέλος του 1995 προστέθηκε στο IEEE 1074.4 που ονοµάστηκε VITAL (VHDL Initiate Toward ASIC Libraries). Η δοµική περιγραφή σσε VHDL (structural VHDL) χρησιµοποιείται για την περιγραφή ενός κυκλώµατος µε βάση τα στοιχειώδη εξαρτήµατα που περιλαµβάνονται σε µια βιβλιοθήκη εξαρτηµάτων. Για παράδειγµα µια περιγραφή ενός κυκλώµατος σε επίπεδο πύλης περιλαµβάνει εξαρτήµατα όπως λογικές πύλες και

flip-flops τα οποία συνδέονται µεταξύ τους έτσι ώστε να σχηµατίσουν τη λογική δοµή του κυκλώµατος. Η συνδεσµολογία αυτήονοµάζεται netlist. Επίσης µία περιγραφή ενός κυκλώµατος σε υψηλότερο επίπεδο περιλαµβάνει εξαρτήµατα τα οποία είναι µεγάλες λειτουργικές δοµές που µας επιτρέπουν να διαχωρίσουµε ένα µεγάλο και πολύπλοκο κύκλωµα σε µικρότερα µέρη που είναι εύκολο να αναλυθούν. Όταν γράφουµε σε structural VHDL, ορίζουµε την λεκτική περιγραφή ενός netlist που είναι µια περιγραφή της συνδεσµολογίας των εξαρτηµάτων µε χρήση των κατάλληλων καλωδίων. Το κάθε ένα από τα ανώτερα εξαρτήµατα µπορεί να αποτελείται από άλλα υποεξαρτήµατα τα οποία είναι επίσης συνδεσµολογηµένα σσύµφωνα µε µια δεδοµένη λογική δοµή. Το αποτέλεσµα της χρήσης τέτοιων εξαρτηµάτων και υποεξαρτηµάτων είναι να δηµιουργήσουµε ένα µοντέλο για το κύκλωµά µας µε πολλαπλά δοµικά επίπεδα. Τότε η ιεραρχία του µοντέλου αποκτά δενδρική µορφή και συνίσταται από δοµικά επίπεδα, έκαστο των οποίων περιγράφεται σε structural VHDL. Παράδειγµα οµική περιγραφή του MUX 2-to-1 Το γραφικό σύµβολο του πολυπλέκτη δύο εισόδων (MUX 2-to-1) φαίνεται στο ακόλουθο σχήµα. sel d0 d1 0 1 q d0 d1 sel mux q

Ο ανωτέρω πολυπλέκτης συνιστά ένα εξάρτηµα το οποίο ονοµάζουµε mux. Το εξάρτηµα αυτό παριστάνεται µε ένα κουτί το οποίο έχει τρία σήµατα εισόδου d0, d1 και sel ένα σήµα εξόδου q, και τα οποία λαµβάνουν δυαδικές τιµές 0 και 1. Η περιγραφή σε VHDL του γραφικού συµβόλου του εξαρτήµατος του πολυπλέκτη δίνεται κατωτέρω: entity mux is d0,d1,sel q end mux; : in std_logic; : out std_logic Το κυκλωµατικό διάγραµµα του πολυπλέκτη µε χρήση λογικών πυλών φαίνεται στο ακόλουθο σχήµα. d0 sel d1 sel_n i1 i2 q d0 sel d1 U1 not sel_n U2 and U3 and i1 i2 U4 or q Παρατηρούµε ότι το δοµικό διάγραµµα αποτελείται από δύο πύλες AND (U2, U3), µια πύλη NOT (U1) και µια πύλη OR (U4) διασυνδεδεµένες µεταξύ τους µε τα εσωτερικά καλώδια i1, i2 και sel_n, και µε τα εξωτερικά καλώδια d0, d1, sel και q. Η περιγραφή του ανωτέρω δοµικού διαγράµµατος του πολυπλέκτη σε VHDL δίνεται παρακάτω:

architecture struct_mux of mux is -- component declaration component and_comp a,b : in std_logic; c : out std_logic end component; component or_comp a,b : in std_logic; c : out std_logic end component; component inv_comp a : in std_logic; c : out std_logic end component; -- internal signal declaration signal i1,i2,sel_n : std_logic; -- component instantiation U1 : inv_comp port map ( a => sel, c => sel_n U2 : and_comp port map ( a => d0, b => sel, c => i1 U3 : and_comp port map ( a => sel_n, b => d1, c => i2 U4 : or_comp port map ( a => i1, b => i2, c => q end struct mux;

Επίσης µια πιο απλη περιγραφή δίνεται στον κώδικα που ακολουθεί: architecture struct_mux of mux is q <= (d0 and sel) or (d1 and not sel end struct mux;

ΕΙΣΑΓΩΓΗ ΣΤΗ BEHAVIORAL VHDL Κάθε περιγραφή ενός σχεδίου κυκλώµατος στη γλώσσα VHDL αποτελείται τουλάχιστον από ένα ζεύγος entity/architecture. Σε µεγάλα σχέδια γράφουµε πολλά ζεύγη entity/architecture τα οποία συνδέουµε µεταξύ τους για να σχηµατίσουµε το πλήρες κύκλωµα. Η σύνταξη του entity είναι: entity entity-name is [interface-signal-declaration] end entity-name; Η σύνταξη του architecture είναι: architecture architecture-name of entity-name is [declarations] -- signals architecture-body end architecture-name; Στην behavioral VHDL, το architecture περιγράφει τη λειτουργία του κυκλώµατος και έχει µορφή κατάλληλη για simulation και synthesis. Η κύρια εντολή που χρησιµοποιείται µέσα στο σώµα του architecture και περιγράφει την συµπεριφορά του κυκλώµατος σε συνάρτηση µε το χρόνο είναι η process.

Η σύνταξη της process είναι: [proces-label:] process (sensitivity-list) [declarations] -- variables sequential-statements end process [process-label]; Η εντολή process εκτελείται κατά τη διάρκεια του simulation µία φορά αρχικά και έπειτα κάθε φορά που αλλάζει τιµή σε ένα σήµα (signal) του sensitivity-list. Οι variables είναι σήµατα εσωτερικά στην process και χρησιµοποιούνται για µεταφορά δεδοµένων στα sequential statements. Τα sequential statements χρησιµοποιούνται για να περιγράψουν ακολουθιακή λογική που περιλαµβάνει στοιχεία µνήµης και συνδυαστική λογική. Παράδειγµα οµική περιγραφή του D - FLIP FLOP i) Το γραφικό σύµβολο του D FLIP FLOP with asynchronous RESET και ο πίνακας αληθείας που περιγράφει τη λειτουργία του φαίνονται παρακάτω: DIN DFF_RA DOUT CLK RESET_A (asynchronous)

Inputs Output RESET_A DIN CLK DOUT Σχόλια 1 X X 0 Clear 0 1 1 Load 1 (SET) 0 0 0 Load 0 (RESET) = clock transition LOW to HIGH X = don t care Η περιγραφή συµπεριφοράς σε VHDL του µοντέλου του D FLIP FLOP with asynchronus RESET δίνεται παρακάτω: entity DFF_RA is CLK,DIN,RESET_A: in std_logic; DOUT end DFF_RA; : out std_logic architecture BEHAVIORAL of DFF_RA is end BEHAVIORAL; process (CLK,RESET_A) if RESET_A= 1' then DOUT<= 0'; elsif (CLK event and CLK= 1') then DOUT<=DIN; end if; end process;

ii) Το γραφικό σύµβολο του D FLIP FLOP with synchronous RESET και ο πίνακας αληθείας που περιγράφει τη λειτουργία του φαίνονται παρακάτω: DIN DFF_RS DOUT CLK RESET_S (synchronous) Inputs Output RESET_A DIN CLK DOUT Σχόλια 1 X X DOUT Hold (do nothing) 1 X 0 Clear 0 1 1 Load 1 (SET) 0 0 0 Load 0 (RESET) = clock transition LOW to HIGH X = don t care Η περιγραφή συµπεριφοράς σε VHDL του µοντέλου του D FLIP FLOP with synchronus RESET δίνεται παρακάτω: entity DFF_RS is CLK,DIN,RESET_S: in std_logic; DOUT end DFF_RS; : out std_logic

architecture BEHAVIORAL of DFF_RS is end BEHAVIORAL; process (CLK,RESET_S) if CLK event and CLK= 1' then if RESET_S= 1' then DOUT<= 0'; else DOUT<=DIN; end if; end if; end process;