Ειςαγωγι ςτθ ςχεδιαςτικι ροι τθσ Xilinx. ISE Design Suite University Of Thessaly Department of Electrical and Computer Engineering CE430

Σχετικά έγγραφα
Εισαγωγή στη σχεδιαστική ροή της Xilinx

Διαχείριςθ του φακζλου "public_html" ςτο ΠΣΔ

Πωσ δθμιουργώ φακζλουσ;

Ηλεκτρονικι Επιχειρθςιακι Δράςθ Εργαςτιριο 1

Joomla! - User Guide

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 2

GNSS Solutions guide. 1. Create new Project

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 3

Οδηγίες Πρόζβαζης ζηο EndNote Web. Πρόζβαζη ζηο EndNote Web

Οδηγίες εγκατάστασης και χρήσης του Quartus

Οδθγόσ εγκατάςταςθσ προγραμμάτων για ανάπτυξθ εφαρμογών ςε iphone

Οδηγίεσ για τη ςφνδεςη του υπολογιςτή ςασ ςτουσ προβολείσ των αιθουςών Ι 1, Ι 2, Ι 3 και του 10 ου ορόφου

Εισαγωγή στη Verilog με το ISE

assessment.gr USER S MANUAL (users)

ΠΑΝΕΠΙΣΘΜΙΟ ΔΤΣΙΚΘ ΜΑΚΕΔΟΝΙΑ ΣΜΘΜΑ ΜΘΧΑΝΙΚΩΝ ΠΛΘΡΟΦΟΡΙΚΘ ΚΑΙ ΣΘΛΕΠΙΚΟΙΝΩΝΙΩΝ. Λειτουργικά υςτιματα, 4 ο Εξάμθνο Ψθφιακι χεδίαςθ ΙΙ, 4 ο Εξάμθνο

ΑΛΕΞΑΝΔΡΕΙΟ ΣΕΙ ΘΕΑΛΟΝΙΚΗ ΣΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗ Σ.Ε. ΜΑΘΗΜΑ : ΑΛΓΟΡΙΘΜΙΚΗ ΚΑΙ ΠΡΟΓΡΑΜΜΑΣΙΜΟ ΔΙΔΑΚΩΝ : ΓΟΤΛΙΑΝΑ ΚΩΣΑ

Πωσ δημιουργώ μάθημα ςτο e-class του ΠΣΔ [επίπεδο 1]

Διαδικασία με βήματα. 1. Αλλάηω το χρϊμα ςκθνικοφ ςε γκρι(#3333).

Κάνουμε κλικ ςτθν επιλογι του οριηόντιου μενοφ «Get Skype»για να κατεβάςουμε ςτον υπολογιςτι μασ το πρόγραμμα του Skype.

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 4

ΟΔΗΓΙΕΣ ΔΗΜΙΟΥΡΓΙΑΣ ΚΑΙ ΡΥΘΜΙΣΗΣ ΔΩΡΕΑΝ ΗΛΕΚΤΡΟΝΙΚΟΥ ΤΑΧΥΔΡΟΜΕΙΟΥ ΣΤΟ YAHOO

ΜΕΣΑΥΗΜΑΣΙΜΟ ΠΑΡΑΓΓΕΛΙΑ(ΩΝ) Ε ΠΑΡΑΣΑΣΙΚΟ ΑΓΟΡΑ

Modellus 4.01 Συ ντομοσ Οδηγο σ

ΦΤΛΛΟ ΕΡΓΑΙΑ ΤΠΗΡΕΙΑ ΗΛΕΚΣΡΟΝΙΚΟΤ ΣΑΥΤΔΡΟΜΕΙΟΤ

ΟΔΗΓΙΕ ΔΗΜΙΟΤΡΓΙΑ ΚΑΙ ΡΤΘΜΙΗ ΔΩΡΕΑΝ ΗΛΕΚΣΡΟΝΙΚΟΤ ΣΑΧΤΔΡΟΜΕΙΟΤ ΣΟ GOOGLE (G-MAIL)

Διαδικαςία Διαχείριςθσ Στθλϊν Βιβλίου Εςόδων - Εξόδων. (v.1.0.7)

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 2 ο Εργαςτιριο Διαχείριςθ Διεργαςιϊν

Είςοδοσ/Εγγραφή ςτη διαχειριςτική ςελίδα του Σχολείου

ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ

Πρόςβαςη και δήλωςη μαθημάτων ςτον Εφδοξο

Οδηγίες αναβάθμισης χαρτών

Σύνθεζη LRGB ζηο CCDstack2

Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο του Άβακα

Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο τησ Αριθμογραμμήσ

Σχεδίαςη Σφγχρονων Ακολουθιακών Κυκλωμάτων

Electronics μαηί με τα ςυνοδευτικά καλϊδια και το αιςκθτιριο κερμοκραςίασ LM335 που περιζχονται

1 Εγκατϊςταςη λογαριαςμού

Πολυπλέκτες. 0 x 0 F = S x 0 + Sx 1 1 x 1

SingularLogic Application. Παραμετροποίηση Galaxy Application Server

1. Κατέβαςμα του VirtueMart

EUROPEAN TRADESMAN PROJECT

1. Εγκατάςταςη κειμενογράφου JCE

ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ

3 θ διάλεξθ Επανάλθψθ, Επιςκόπθςθ των βαςικϊν γνϊςεων τθσ Ψθφιακισ Σχεδίαςθσ

ΕΓΧΕΙΡΙΔΙΟ ΕΓΚΑΣΑΣΑΗ ΠΛΑΣΦΟΡΜΑ TUBE

Virtualization. Στο ςυγκεκριμζνο οδηγό, θα παρουςιαςτεί η ικανότητα δοκιμήσ τησ διανομήσ Ubuntu 9.04, χωρίσ την ανάγκη του format.

1. Διαχείριςη ενθεμάτων

Εγχειρίδιο Χρήςησ Προςωποποιημζνων Υπηρεςιών Γ.Ε.ΜΗ. (Εθνικό Τυπογραφείο)

Lab 2 Manual - Introduction to Xilinx

Δείκτεσ Διαχείριςθ Μνιμθσ. Βαγγζλθσ Οικονόμου Διάλεξθ 8

ΛΕΙΤΟΥΓΙΚΆ ΣΥΣΤΉΜΑΤΑ. 5 ο Εργαςτιριο Ειςαγωγι ςτθ Γραμμι Εντολϊν

Εγχειρίδιο Χρήςησ Support

ΟΝΟΜΑΣΟΛΟΓΙΑ ΠΑΡΑΜΕΣΡΩΝ ΓΙΑ ΠΡΟΑΡΜΟΜΕΝΕ ΑΝΑΦΟΡΕ. παραμζτρου> (Εμφανίηεται ςαν Caption ςτθν φόρμα των φίλτρων).

ΗΛΕΚΣΡΟΝΙΚΗ ΤΠΗΡΕΙΑ ΑΠΟΚΣΗΗ ΑΚΑΔΗΜΑΪΚΗ ΣΑΤΣΟΣΗΣΑ

Εγχειρίδιο Χρήςησ Προςωποποιημζνων Υπηρεςιών Γ.Ε.ΜΗ. (Εθνικό Τυπογραφείο)

Οδηγίες εγκατάστασης. Εκπαιδευτικού Λογισμικού του Γυμνασίου

Εφαρμογές Ψηφιακών Ηλεκτρονικών

DIOSCOURIDES VERSION

ηµιουργία Αρχείου Πρότζεκτ (.qpf)

ΡΟΓΑΜΜΑΤΙΣΤΙΚΟ ΡΕΙΒΑΛΛΟΝ MICRO WORLDS PRO

ΔΙΑΔΙΚΑΙΑ ΑΛΛΑΓΗ ΦΟΡΩΝ

Διαδικασία Δημιοσργίας Ειδικών Λογαριασμών. (v.1.0.7)

Διαχείριςη Εκπαιδευτικού Υλικού (ΠΑΚΕ) Πληροφοριακό Σύςτημα (MIS)

ΕΦΑΡΜΟΓΕ ΒΑΕΩΝ ΔΕΔΟΜΕΝΩΝ ΣΗ ΝΟΗΛΕΤΣΙΚΗ. Φιλιοποφλου Ειρινθ

Σύ ντομος Οδηγο ς χρη σης wikidot για τα projects

ASSOCIATE PLATINUM V7+ USER MANUAL

Αυτόνομοι Πράκτορες. Αναφορά Εργασίας Εξαμήνου. Το αστέρι του Aibo και τα κόκαλα του

2

Σμιμα Marketing & Sales

Εγχειρίδιο Χριςθσ τθσ διαδικτυακισ εφαρμογισ «Υποβολι και παρακολοφκθςθ τθσ ζγκριςθσ Εκπαιδευτικών Πακζτων»

ΔΙΑΔΙΚΑΙΑ ΚΑΙ ΡΤΘΜΙΕΙ ΓΙΑ ΤΝΔΕΗ ΣΟ INTRANET ΣΟΤ ΕΚΕΣΑ-ΙΣΧΗΔ

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 1

ΤΙΤΛΟΣ: "SWITCH-ΠΩ ΝΑ ΚΑΣΑΦΕΡΕΙ ΣΗΝ ΑΛΛΑΓΗ ΟΣΑΝ Η ΑΛΛΑΓΗ ΕΙΝΑΙ ΔΤΚΟΛΗ" Σσγγραφείς: Chip Heath & Dan Heath. Εκδόζεις: Κσριάκος Παπαδόποσλος/ΕΕΔΕ

ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ

ΟΔΗΓΙΕ ΕΓΚΑΣΑΣΑΗ ΣΗ ΕΦΑΡΜΟΓΗ RCFORB (CLIENT)

Ιδιότθτεσ πεδίων Γενικζσ.

ςυςτιματα γραμμικϊν εξιςϊςεων

Σημειώσεις Εργαστηρίου - Παρουσίαση Εργαλείων

Διαδικαςία Προγράμματοσ Ωρομζτρθςθσ. (v.1.0.7)

Οδθγίεσ εγκατάςταςθσ και ρυκμίςεισ του ηυγοφ DIGI SM100

Γίνετε μζλοσ τθσ ομάδασ Panoramio του

Visual C Express - Οδηγός Χρήσης

Megatron ERP Βάςη δεδομζνων Π/Φ - κατηγοριοποίηςη Databox

Ακολουκιακά Λογικά Κυκλώματα

Εφδοξοσ+ Συνδεκείτε ςτθν Εφαρμογι Φοιτθτϊν και μεταβείτε ςτθ ςελίδα «Ανταλλαγι Βιβλίων (Εφδοξοσ+)».

Ελλθνικι Δθμοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 13 : Άλλοι Μετρθτζσ Φϊτιοσ Βαρτηιϊτθσ

ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΠΟΛΟΓΙΣΤΗ. ΚΕΦΑΛΑΙΟ 6: Το γραφικό περιβάλλον Επικοινωνίασ (Γ.Π.Ε)

1 Περίληψη Η εργασία έγινε στα πλαίσια του μαθήματος των Ψηφιακών Ηλεκτρονικών Συστημάτων με σκοπό αρχικά την εκμάθηση της γλώσσας VHDL (Very High Spe

ΤΕΛ ΚΘΤΘΣ - ΤΜΘΜΑ ΜΘΧΑΝΛΚΩΝ ΡΛΘΟΦΟΛΚΘΣ ΑΝΑΡΤΥΞΘ ΣΥΣΤΘΜΑΤΟΣ ΚΑΤΑΝΕΜΘΜΕΝΘΣ ΜΝΘΜΘΣ ΣΕ ΡΟΛΥΡΥΘΝΑ ΕΝΣΩΜΑΤΩΜΕΝΑ ΣΥΣΤΘΜΑΤΑ.

Οδηγός χρήσης Blackboard Learning System για φοιτητές

ΟΔΗΓΙΕ ΓΙΑ ΣΗ ΔΗΜΙΟΤΡΓΙΑ ΚΑΙ ΣΗΝ ΤΠΟΒΟΛΗ ΑΙΣΗΗ ΓΙΑ «ΚΟΤΠΟΝΙ ΚΑΙΝΟΣΟΜΙΑ»

Εγκατάσταση «Μισθός 2005»

Οδηγόσ εγκατάςταςησ και ενεργοποίηςησ

Σφςτημα Κεντρικήσ Υποςτήριξησ τησ Πρακτικήσ Άςκηςησ Φοιτητών ΑΕΙ

My Tax Friend 3 Manual. Οδθγίεσ Χριςθσ My Tax Friend 3. Περιγραφι τθσ βαςικισ οκόνθσ και των κυριότερων λειτουργιϊν τθσ εφαρμογισ My Tax Friend 3.

ΟΔΗΓΙΕ ΓΙΑ ΣΗΝ ΕΙΑΓΩΓΗ ΕΚΔΡΟΜΩΝ & ΝΕΩΝ - ΑΝΑΚΟΙΝΩΕΩΝ ΣΗΝ ΙΣΟΕΛΙΔΑ ΣΗ Δ.Δ.Ε. ΘΕΠΡΩΣΙΑ

Ενσωματωμένα Συστήματα

Παράςταςη ακεραίων ςτο ςυςτημα ςυμπλήρωμα ωσ προσ 2

Ψθφιακά Ηλεκτρονικά. Ενότθτα 7 : Ελαχιςτοποίθςθ και κωδικοποίθςθ καταςτάςεων Φϊτιοσ Βαρτηιϊτθσ

Οδηγίεσ για την Τποβολή Καταςτάςεων υμφωνητικών μζςω xml αρχείου

Transcript:

Πανεπιςτιμιο Θεςςαλίασ, Τμιμα Ηλεκτρολόγων Μθχανικϊν και Μθχανικϊν Υπολογιςτϊν HY430 Εργαςτιριο Ψθφιακϊν Κυκλωμάτων Χειμερινό Εξάμθνο 2013-2014 Ειςαγωγι ςτθ ςχεδιαςτικι ροι τθσ Xilinx ISE Design Suite 14.1 University Of Thessaly Department of Electrical and Computer Engineering CE430 Στόχοι.. Δημιοσργία project Δημιοσργία\Ειζαγφγή μονάδφν Ανηιζηοίτηζη ζημάηφν με pins ηης FPGA RTL Στημαηικό RTL Προζομοίφζη ζε RTL επίπεδο Σύνθεζη, Τοποθέηηζη και διαζύνδεζη Κάηουη LUTs και διαζσνδέζεφν Προζομοίφζη ζε PnR (place & route) επίπεδο Δημιοσργία bit file 1

Δθμιουργία project Π.χ. Ζνασ απλόσ 8 bit μετρθτισ με ςφγχρονο reset: 8 8 8 8 8 1. Ανοίγουμε το ISE Design Suite 14.1 τθσ Xilinx 2. Κλικ New Project.. 3. Ορίηουμε όνομα project και path directory όπου κα αποκθκευτοφν τα αρχεία του project Δθμιουργία project 4. Μετά Next.. 2

Δθμιουργία project A. Ορίηουμε: 1. Τφπο FPGA (οικογζνεια, ςυςκευι και package) 2. Simulator, εδϊ ISim για RTL και post-pnr προςομοίωςθ. Για modelsim επιλζξτε Modelsim-SE Verilog 3. Πατάμε Next.. B. Στο επ. παράκυρο εμφανίηονται περιλθπτικά οι επιλογζσ που κάναμε, αν είναι όλα ςωςτά κλικ Finish. Δθμ.\Ειςαγωγι μονάδων Όταν ζχουμε ζτοιμα τα.v αρχεία κάνουμε Add Source αλλιϊσ New Source.. 1. Ακροιςτισ (adder8.v), 2. 8bit register (reg8.v), 3. Τθ μονάδα που εμφανίηει τισ 2 προθγοφμενεσ και τισ διαςφνδεει (system.v), 4. τo πλαίςιο ελζγχου ι testbench (tb.v), 5. τζλοσ το.ucf αρχείο που αντιςτοιχεί τα ςιματα ειςόδου/εξόδου του κυκλϊματοσ με τα pins τθσ FPGA. Το.ucf αρχείο μποροφμε είτε να το βάλουμε εξαρχισ ςτο ςχζδιο μασ ι να το δθμιουργιςουμε αργότερα μζςω του PlanAhead. 3

Δθμ.\Ειςαγωγι μονάδων 1. Στο παράκυρο που εμφανίηεται, 2. διαλζγουμε το Association: Για το πλαίςιο ελζγχου (tb.v) να είναι simulation Για το αρχείο.ucf να είναι Implementation Για υπόλοιπα all 3. Τζλοσ, ΟΚ και ςυνεχίηουμε Αντιςτοίχθςθ ςθμάτων με pins τθσ FPGA Αν δεν είχαμε το αρχείο.ucf ζτοιμο κα το δθμιουργιςουμε ωσ εξισ: 1. Κλικ ϊςτε το view να ναι ςτο implementation. 2. πατάμε πάνω ςτο top module που είναι το system. 3. διπλό-κλικ το I/O Pin Planning (PlanAhead Pre-Synthesis). 4

Αντιςτοίχθςθ ςθμάτων με pins τθσ FPGA A. Στο κάτω παράκυρο βλζπουμε όλα τα I/O ports. Επιλζγουμε το ςιμα, π.χ. εδϊ επιλζξαμε το system_clock, και πάμε ςτο πάνω παρακυράκι όπου βάηουμε ςτο Site το Τ9. Το Τ9 είναι θ ζξοδοσ του ρολογιοφ τθσ FPGA το οποίο το ξζρουμε από το datasheet (ςελ. 35 του S3BOARD_RM.pdf datasheet) Tο count[7:0] ςυνδζεται με τα LEDs και το reset με ζνα button τθσ FPGA. (βλ. ςελίδα 19 του datasheet). B. Μόλισ τελειϊςουμε κάνουμε File.. Save Design.. Και μετα exit.οπότε το αρχείο ucf δθμιουργικθκε. RTL Εφόςον δεν ζχει ςυντακτικά λάκθ ο κϊδικασ μασ μποροφμε να: 1. Δοφμε το ςχθματικό του κυκλϊματοσ ςε block μορφι 2. Να επαλθκεφςουμε τθν ςυμπεριφορά του κυκλϊματοσ με προςομοίωςθ. Εδώ μποροφμε να δοφμε μονάχα αν το κφκλωμα δουλεφει ςε επίπεδο ςυμπεριφοράσ, δθλαδι αν το κφκλωμα ςυμπεριφζρεται όπωσ κζλουμε πριν γίνει PnR. Πριν πάμε ςτθν επόμενθ φάςθ (PnR) πρζπει οπωςδιποτε να ςυμπεριφζρεται το κφκλωμα μασ όπωσ κα κζλαμε ςε RTL επίπεδο. 5

Σχθματικό RTL 1. Κρατάμε το view ςε implementation, 2. Κάνουμε 2πλό κλικ ςτο View RTL Schematic, 3. τα υπόλοιπα όπωσ φαίνονται δίπλα. 4. Ζτςι μασ εμφανίηει το κφκλωμα από το πιο top module. Με control +Y κατεβαίνουμε ζνα επίπεδο κάτω, Με control +Z ανεβαίνουμε ζνα επίπεδο πάνω. Προς. ςε RTL επίπεδο Ζχοντασ διαλζξει ISim : 1. Αλλάηουμε το view ςε Simulation, Behavioral. 2. Στο Hierarchy κάνουμε highlight το πλαίςιο ελζγχου (tb) 3. Στο Processes 2πλο-κλικ το Simulate Behavioral Model. Ζχοντασ διαλζξει Modelsim: τα ίδια με τα παραπάνω βιματα απλά χρειάηεται λίγο πριν να κάνετε τα βιματα του επόμενου slide: 6

Προς. ςε RTL επίπεδο Modelsim: A. Αν είχατε διαλζξει κατά τθ δθμιουργία project simulator τον modelsim βεβαιωκείτε ότι το path του modelsim.exe είναι OK: 1. Edit Preferences 2. Στο Category κάντε highlight το Integrated tools 3. Βεβαιωκείτε ότι το path είναι ςωςτό, π.χ. F:\modeltech_6.4e\win32\modelsim.exe B. Ζπειτα κάντε compile τθ βιβλιοκικθ μζςω του ISE ωσ εξισ (αυτό το βιμα αρκεί τθ 1θ φορά που δθμιουργιςατε το project ) Στο Hierarchy, highlight τθ ςυςκευι (όχι το πλαίςιο ελζγχου). Μετά ςτο κάτω παράκυρο,2πλο-κλικ το Compile HDL.. C. Επίςθσ ίςωσ χρειαςτεί να κάντε compile τθ βιβλιοκικθ και χειροκίνθτα ανοίγοντασ το modelsim και μετά Compile τα αρχεία που βρίςκονται ςτθ διαδρομι : <xilinx_dir>\ise_ds\ise\verilog\src\simprims\* Παρόλο που είμαςτε ςε RTL level, το ISE 14.1 κζλει να κάνει compile τα libraries, ςε άλλεσ εκδόςεισ (π.χ του εργαςτθρίου) δε κζλει,αλλά πετάει warning, με κλικ ΝΟ είμαςτε ΟΚ. Όταν όμωσ είμαςτε ςε PnR τα βιματα B,C χρειάηονται οπωςδιποτε. Σφνκεςθ, Τοποκζτθςθ και διαςφνδεςθ A. Για να δθμιουργθκεί το netlist, δλδ το αρχείο.v που περιζχει εμφανίςεισ και διαςυνδζςεισ των δομικϊν modules του κυκλϊματοσ (LUTs, ffs κ.α) (που επιλζχτθκαν κατά τθ PnR διαδικαςία) χρειαηόμαςτε: 1. Τθ βιβλιοκικθ (.v αρχεία) που περιγράφεται θ λογικι για κάκε module. 2. Τισ κακυςτεριςεισ για τθ κάκε μονάδα και τθσ κάκε διαςφνδεςθσ. B. Ώςτε, όταν πάμε να κάνουμε προςομοίωςθ να ζχουμε τα αρχεία: 1. netlist ( <project_dir>\netgen\par\system_timesim.v ) 2. Τθ βιβλιοκικθ ( <xilinx_dir>\ise_ds\ise\verilog\src\simprims\* ) 3. Τισ κακυςτεριςεισ ( <proj_dir>\netgen\par\system_timesim.sdf ) Παρατθριςτε ότι το.sdf αρχείο διαβάηεται μζςα από τθν εντολι $sdf_annotate( <your_path\file.sdf>"); και βρίςκεται μζςα ςτο netlist γενικά πάντωσ μπαίνει μζςα ςε πλαίςιο ελζγχου για να διατθρείται το netlist ςε κακαρθ ςυνκζςιμθ μορφι.. 4. Το Πλαίςιο ελζγχου (διατθροφμε το ίδιο πλαίςιο ελζγχου με αυτό που είχαμε κατά τo RTL επίπεδο, το tb.v) 7

Σφνκεςθ, Τοποκζτθςθ και διαςφνδεςθ A. Οπότε, για το PnR χρειαηόμαςτε να: 1. Επανζλκουμε ςτο implementation view, 2. Κάνουμε highlight το top module (system) 3. Διπλό κλικ το Generate Post-P&E Sim. Model B. Και, αφοφ τελειϊςει αυτι θ διαδικαςία μποροφμε ζπειτα να: 1. Δοφμε τθ κάτοψθ του FPGA με τισ διαςυνδζςεισ των LUT μεταξφ τουσ, 2. Δοφμε το slack τθσ κάκε κρίςιμθσ διαδρομισ ( slack = Tclk - Dcritical) 3. Κάνουμε προςομοίωςθ του κυκλϊματοσ μετά το PnR όπου κα φαίνονται οι κακυςτεριςεισ των ςθμάτων λόγω των καλωδίων και τθσ κακυςτζρθςθσ τθσ κάκε πφλθσ που ζγινε mapped ςτο υλικό (configured LUT). Κάτοψθ LUTs & διαςυνδζςεων 1. Διπλό κλικ ςτο Analyze Timing / Floorplan Design και αφοφ ανοίξει ο PlanAhead: Στο κάτω παράκυρο βλζπουμε τα 8 κριςιμότερα μονοπάτια, με το πρϊτο το κριςιμότερο. 2. Κάνοντασ το πρϊτο μονοπάτι highlight εμφανίηεται εςωτερικά τθσ FPGA ολόκλθρθ θ διαδρομι. 8

Κάτοψθ LUTs & διαςυνδζςεων post-pnr simulation Isim: 1. Αλλάηουμε το view ςε simulation, Post- Route 2. Highlight το πλαίςιο ελζγχου 3. 2πλό κλικ το Simulate Behavioral Model Modelsim: τα ίδια βιματα με τον Isim, αρκεί να χουν γίνει πρώτα τα βιματα εδώ. 9

Δθμιουργία bit file Αφοφ πλζον πιςτεφουμε ότι το κφκλωμα μασ κα δουλεφει ςτθ ςυςκευι μποροφμε να παράγουμε το bit file το οποίο κα γίνει download ςτθ πλακζτα ωσ εξισ: A. Αλλαγι ςε implementation view B. Highlight to το top module (εδϊ system) C. 2πλό κλικ ςτο Generate Programming File D. To bit file πλζον βρίςκεται ςτο project directory μασ ωσ system.bit FPGA Κατεβάηουμε το bit file ςτθ πλακζτα και ελζγχουμε αν λειτουργεί το κφκλωμα όπωσ τα αρχικά specifications. Αν δεν ςυμφωνεί ςτα αρχικά specifications κα πρζπει να γυρίςουμε πίςω ςε οποιοδιποτε από τα προθγοφμενα ςτάδια ακλουκϊντασ ξανά τθ ροι. 10