HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 1-3)

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 1-3)"

Transcript

1 HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων Διδάσκων: Ιούλιος Γεωργίου Βοηθοί Διδασκαλίας: Γιώργος Βαρνάβα - Λούκας Πέτρου ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 1-3) Οδηγίες: 1. Φυλάξετε όλα τα αποτελέσματα που θα προκύψουν από τις προσομοιώσεις (π.χ. γραφικές παραστάσεις) σε εικόνες ή σημειωματάριο και καταγράψτε τα συμπεράσματα σας, που θα σας βοηθήσουν στην καλύτερη κατανόηση του εργαστηρίου. To CADENCE είναι ένα εργαλείο σχεδίασης και προσομοίωσης αναλογικών, ψηφιακών και μεικτού σήματος κυκλωμάτων. Στο εργαστήριο αυτό θα ασχοληθούμε κυρίως με την σχεδίαση και προσομοίωση αναλογικών κυκλωματικών διατάξεων. Βασικές εντολές Linux Terminal: pwd : Τυπώνει την τρέχουσα διεύθυνση cd DirectoryName : Είσοδος στη διεύθυνση cd.. : Μετακίνηση στη προηγούμενη διεύθυνση mkdir DirectoryName : Δημιουργία καινούριας διεύθυνσης rmdir DirectoryName : Αφαίρεση μίας διεύθυνσης μόνο όταν είναι άδεια rm -rf * : Διαγράφει όλα τα αρχεία και φακέλους στην τρέχουσα διεύθυνση, αναδρομικά και χωρίς ερώτηση. ls : Εμφανίζει τα περιεχόμενα της τρέχουσας διεύθυνσης ls -al : Εμφανίζει όλα τα περιεχόμενα της διεύθυνσης(ακόμη και τα κρυμμένα αρχεία) less FileName : Εμφανίζει το περιεχόμενο του αρχείου (χωρίς να το ανοίξει) man ls : Πληροφορίες σχετικά με την εντολή ls (man = manual) rm FileName : Αφαίρεση ενός αρχείου 1

2 Γενικές Πληροφορίες: 1. Στην περίπτωση που σε οποιοδήποτε στάδιο εμφανιστεί το μήνυμα Next License τότε επιλέγουμε Yes ή Always για να συνεχίσουμε. 2. Στην περίπτωση που δημιουργηθούν κλειδωμένα αρχεία (.cdslck files) διαγράψτε τα files που τελειώνουν σε.cdslck ή εισάγετε την πιο κάτω εντολή στο terminal: find ~ -name \*cdslck xargs rm Cadence Schematic Shortcuts Esc: Ακυρώνει την τελευταία εντολή. Ctrl + d: Ακύρωση τελευταίας επιλογής αντικειμένου. u: undo shift + x: Check and save q: properties (προεπιλεγμένο στοιχείο) i: Insert Instance w: wire p: insert pin m: move c: copy s: stretch z: zoom f: zoom to fit shift + z: zoom out Shift + Drag object: Copy object Ctrl + Drag object: Move object without nets Ctrl + Scroll: Zoom in/out 2

3 Cadence Waveform Display v: Add a vertical line h: Add a horizontal line m: Create a marker d: Create a delta maker ctrl+e: Delete all markers 3

4 Πρόσβαση στο Περιβάλλον Linux μέσω Virtual Machine 1. Windows Start Menu Ανοίξτε το VMware Workstation Player 2. Επιλέξτε Cadence 6 3. Επιλέξτε Play Virtual Machine 4. Όταν το CentOS φορτώσει, εισάγετε το όνομα χρήστη και τον κωδικό σας για να αποκτήσετε πρόσβαση. 5. Μεγεθύνετε το χώρο εργασίας. 6. Με το πέρας του εργαστηρίου πρέπει να κλείνετε ορθά το Virtual Machine με τον εξής τρόπο: 1. Κλείνουμε το παράθυρο Virtuoso Ok (κλείνουν όλα τα υπόλοιπα παράθυρα του Cadence) 2. System Log Out Log Out 3. Shut Down Shut Down 4. Start menu Log off Προετοιμασία περιβάλλοντος εργασίας για την εκκίνηση του CADENCE στο CentOS 1. Desktop Δεξί κλικ Open Terminal Για την δημιουργία φακέλου εργασίας και την εκκίνηση του CADENCE, ανοίγουμε ένα Terminal και γράφουμε στο παράθυρο Terminal τα εξής (απαραίτητη η δημιουργία διαφορετικού φακέλου για project με διαφορετική τεχνολογία χυτηρίου) : bash Enter (ενεργοποιεί to Bash Unix shell) pwd Enter (αν το path που εμφανίζεται είναι /u/ece/username τότε συνεχίστε με την επόμενη εντολή) mkdir cadence Enter (Δημιουργία directory cadence) cd cadence Enter (Είσοδος στο directory cadence) mkdir LAB_1-3 Enter cd LAB_1-3 Enter (Βεβαιωθείτε ότι βρίσκεστε στην διεύθυνση /u/ece/username/cadence/lab_1-3 ) cadence Enter (αρχικοποίηση) cadence t018 Enter (επιλογή τεχνολογίας χυτηρίου και εκκίνηση Cadence) Για επανεκκίνηση του Cadence στον ίδιο φάκελο εργασίας (δεν χρειάζεται αρχικοποίηση και επιλογή χυτηρίου): cd cadence Enter cd LAB_1-3 Enter cadence Enter (εκκίνηση Cadence) 4

5 2. To περιβάλλον εργασίας του CADENCE έχει ενεργοποιηθεί Εικόνα 1 3. Στο περιβάλλον εργασίας του CADENCE υπάρχουν δύο βασικά παράθυρα: I. Το Virtuoso αποτελεί το κύριο παράθυρο του Cadence που ελέγχει όλα τα υπόλοιπα, και στο οποίο καταγράφονται όλες οι διεργασίες που εκτελούνται. **Αν αυτό κλείσει τότε κλείνουν όλα τα υπόλοιπα παράθυρα του Cadence!** Είναι σημαντικό να παρακολουθούμε αυτό το παράθυρο, γιατί εδώ δίνονται αναλυτικές πληροφορίες σε σχέση με τις διεργασίες και τυχόν λάθη. II. To Library Manager, στο οποίο είναι καταχωρημένες όλες οι βιβλιοθήκες της τρέχουσας τεχνολογίας, οι βιβλιοθήκες γενικής χρήσης, ως επίσης και βιβλιοθήκες οι οποίες έχουν δημιουργηθεί από τον ίδιο τον χρήστη εκ των προτέρων. 5

6 Δημιουργία καινούργιας βιβλιοθήκης εργασίας Library Manager (Virtuoso Tools Library Manager) 1. File New Library 2. Στο πεδίο Name ορίζουμε το όνομα της βιβλιοθήκης που θέλουμε να δημιουργήσουμε Name: mylib OK 3. Technology File for New Library: Attach to an existing technology library OK 4. Attach Library to Technology Library: Επιλέγουμε tsmc18 OK 5. Στο Library Manager στην στήλη Library θα βρούμε τη βιβλιοθήκη που μόλις δημιουργήσαμε Στο Library Manager συμπεριλαμβάνονται δύο βιβλιοθήκες που θα χρησιμοποιήσουμε στα πλαίσια αυτού του εργαστηρίου η tsmc18 και η analoglib. tsmc18: Περιέχει διάφορα στοιχεία της τεχνολογίας που χρησιμοποιούμε π.χ. τρανζίστορ, πυκνωτές, αντιστάσεις κτλ. Τα στοιχεία αυτά είναι μοντέλα στοιχείων που έχουν κατασκευαστεί στην συγκεκριμένη τεχνολογία. Μπορούμε να προσομοιώσουμε ακόμα και κατασκευαστικές ατέλειες. analoglib: Περιέχει διάφορα στοιχεία γενικής χρήσης που δεν υπάγονται σε κάποια συγκεκριμένη τεχνολογία. Αυτά τα στοιχεία είναι ιδανικά και δεν μπορούν να κατασκευαστούν. Η χρήση τους είναι για σκοπούς δοκιμής. Μερικά από τα στοιχεία αυτά είναι η γεννήτρια σημάτων, παλμών, τάσης τροφοδοσίας, κτλ. Δημιουργία καινούργιου Cell 1. Επιλέγουμε τη βιβλιοθήκη mylib από το Library Manager 2. Library Manager: File New Cell View 3. Στο πεδίο Cell ορίζουμε το όνομα του cell που θέλουμε να δημιουργήσουμε Cell όπως φαίνεται στην εικόνα 2: mos_physics OK (το Cell ανοίγει αυτόματα) 4. Περιμένουμε μέχρι να εμφανιστεί ο Virtuoso Schematic Editor 6

7 Εικόνα 2 5. Εμφανίζεται ο Virtuoso Schematic Editor Δημιουργία Σχηματικού στο Schematic Editor 1. Create Instance (Εμφάνιση του παραθύρου Add Instance) 2. Add Instance: Browse (Εμφάνιση του παραθύρου Library Browser - Add Instance) όπως φαίνεται στην εικόνα Library Browse- Add Instance: Από τη στήλη Library επιλέγουμε την tsmc18 Από τη στήλη Cell επιλέγουμε nmos3v Από τη στήλη View επιλέγουμε symbol Close 7

8 Εικόνα 3 4. Add Instance: Εισάγεται τις διαστάσεις του τρανζίστορ (W,L), όπως φαίνεται στη εικόνα 4. Εικόνα 4 8

9 5. Τοποθετήστε το τρανζίστορ στο Schematic Editor με αριστερό κλικ Esc 6. Για επαναπροσδιορισμό των διαστάσεων του στοιχείου, επιλέγουμε το στοιχείο και πατάμε Q. 7. Create Instance 8. Add Instance: Browse 9. Library Browse - Add Instance: Από τη στήλη Library επιλέγουμε την analoglib Επιλέγουμε το πεδίο Show Categories Από τη στήλη Category επιλέγουμε Sources Globals Από τη στήλη Cell επιλέγουμε vdd Από τη στήλη View επιλέγουμε symbol Close 10. Με τον ίδιο τρόπο προσθέτουμε το gnd (Category: Sources Globals) και το vdc (Category: Sources Independent) Σημείωση: Τα global sources όταν χρησιμοποιηθούν με την ίδια ονομασία (Instance Name) σε πολλαπλά σημεία του κυκλώματος, τότε όλα τα σημεία αυτά είναι εικονικά συνδεδεμένα μεταξύ τους ακόμα και αν δεν υπάρχει φυσική σύνδεση (net). 11. Δημιουργείστε το σχηματικό της εικόνας 5 και χρησιμοποιώντας το W (wire) συνδέουμε τα στοιχεία. (Σημείωση: Χρησιμοποιώντας το W επιλέγουμε τον αρχικό κόμβο και έπειτα τον τελικό κόμβο, ενώ χωρίς τη χρήση του W κάνω click+drag από τον αρχικό κόμβο για τη δημιουργία κλάδου (net)) 12. Εισάγουμε τιμές τάσης στις δύο πηγές τροφοδοσίας επιλέγοντας την κάθε μία και πατώντας το Q. Στη συνέχεια πληκτρολογούμε την κατάλληλη τιμή στο πεδίο DC voltage. 13. File Check and Save. Ελέγχουμε το Virtuoso για πιθανά συντακτικά λάθη. Αν εμφανιστεί το μήνυμα Schematic check completed with no errors, όπως φαίνεται στην εικόνα 6, τότε μπορούμε να συνεχίσουμε στο επόμενο βήμα, αλλιώς πρέπει να επιλύσουμε τα πιθανά σφάλματα που θα εμφανιστούν πριν συνεχίσουμε. 9

10 Εικόνα 5 Εικόνα 6 10

11 Προσομοιώσεις Κυκλωματικής Διάταξης χρησιμοποιώντας το Virtuoso Analog Design Environment DC Analyses: Προσομοιώνει το κύκλωμα και υπολογίζει τα DC σημεία λειτουργίας (operating points), με είσοδο σταθερές τάσεις και ρεύματα. 1. Virtuoso Schematic Editor: Launch ADE L 2. Virtuoso Analog Design Environment: Analyses Choose 3. Συμπληρώνουμε την φόρμα Choosing Analyses όπως φαίνεται στην εικόνα 7 και επιλέγουμε OK. Εικόνα 7 4. Simulation Netlist and Run 5. Ελέγχουμε πάντα για πιθανά λάθη (errors) στο παράθυρο spectre.out που εμφανίζεται μετά την εκτέλεση της προσομοίωσης όπως φαίνεται στην εικόνα 8. Αν η προσομοίωση είναι ανεπιτυχής και υπάρχουν λάθη εντοπίστε τους λόγους στο παράθυρο αυτό και διορθώστε τα πιθανά σφάλματα. 11

12 Εικόνα 8 6. Virtuoso Analog Design Environment: Results Annotate DC Node Voltages. Results Annotate DC Operating Points. Εμφανίζονται τα σημεία λειτουργίας του κυκλώματος όπως φαίνεται στην εικόνα 9. 12

13 Εικόνα 9 7. Μπορείτε να χρησιμοποιήσετε το File Export Image για αποθήκευση εικόνων. DC Analyses-Sweep Variable: 1. Επιλέγουμε την πηγή V0 Q και στο πεδίο DC voltage γράφουμε VDS OK. Με αυτό τον τρόπο ορίσαμε την τάση αυτή σαν ανεξάρτητη μεταβλητή. 2. Check and Save 3. Virtuoso Schematic Editor: Launch ADE L 4. Virtuoso Analog Design Environment: Variables Copy from Cellview (εμφανίστηκε στο πεδίο Design Variable η μεταβλητή VDS) Κάνουμε διπλό κλικ στη μεταβλητή VDS και ορίζουμε μια αρχική τιμή τάσης στο πεδίο Value (Expr)=3 OK 5. Analyses Choose 13

14 6. Συμπληρώνουμε την φόρμα Choosing Analyses όπως φαίνεται στην εικόνα 10 και επιλέγουμε OK. (Για την εισαγωγή του Variable Name μπορούμε να επιλέξουμε Select Design Variable) Εικόνα Outputs To Be Plotted Select on Design στο σχηματικό με το ποντίκι επιλέγουμε τον κόμβο της υποδοχής (drain) του τρανζίστορ (η εμφάνιση κύκλου στον κόμβο αυτό δηλώνει την επιλογή του ρεύματος υποδοχής ως την εξαρτημένη μεταβλητή) Esc 14

15 8. Simulation Netlist and Run 9. Με την επιτυχή ολοκλήρωση της προσομοίωσης, αν δεν εμφανιστεί η γραφική παράσταση Id vs VDS, πατήστε το (Plot Outputs). 10. Επαναλάβετε την ίδια διαδικασία ορίζοντας τώρα σαν ανεξάρτητη μεταβλητή το VGS ώστε τελικά να εξάγεται την γραφική παράσταση Id Vs VGS. (V0=3V, V1=VGS V) 11. Για την εξαγωγή της γραφικής παράστασης Log(Id) vs VGS: Στο παράθυρο της γραφικής παράστασης: Επιλέγουμε τη καμπύλη στη γραφική παράσταση. Tools Calculator (Θα εμφανιστεί i("/m0/d"?result "dc") στο Calculator) Function Panel All Επιλέγουμε Log10 και μετά το εικονίδιο Evaluate the buffer If Waveform, plot όπως φαίνεται στην εικόνα 11. Αλλιώς μπορούμε να κάνουμε το ίδιο απλά επιλέγοντας τον άξονα της γραφικής που θέλουμε να λογαριθμίσουμε και πατώντας το δεξί κλικ στο ποντίκι επιλέγουμε το Log Scale. Εικόνα 11 15

16 Parametric Analyses: 1. Επιλέγουμε την πηγή V0 Q και στο πεδίο DC voltage γράφουμε VDS OK. Επιλέγουμε την πηγή V1 Q και στο πεδίο DC voltage γράφουμε VGS OK. Επαναλάβετε τα βήματα 2-7 της προηγούμενης άσκησης (Σημείωση: Αρχικοποιήστε και τις δυο μεταβλητές) 8. Tools Parametric Analysis Στο πεδίο Add Variable επιλέγουμε VGS και συμπληρώνουμε την φόρμα όπως φαίνεται στην εικόνα 12. Εικόνα Analysis Start Selected Transient Analyses: Κατά την ανάλυση transient πρώτα υπολογίζονται τα σημεία λειτουργίας στην αρχική κατάσταση (βασιζόμενα στις dc τιμές). Ακολούθως υπολογίζονται όλες οι στιγμιαίες τιμές των τάσεων και ρευμάτων του κυκλώματος ως αποτέλεσμα των χρονικά εξαρτώμενων πηγών τάσεων και ρευμάτων. 1. Virtuoso Analog Design Environment: Θέτουμε την πηγή V0=3V και αντικαθιστούμε την πηγή τάσης Vdc (V1) που είναι συνδεδεμένη με την πύλη του τρανζίστορ με την πηγή Vsin. 2. Ορίζουμε την τάση πόλωσης, την συχνότητα και το πλάτος της Vsin, όπως στην εικόνα

17 Εικόνα Check and Save 4. Virtuoso Schematic Editor: Launch ADE L 5. Virtuoso Analog Design Environment: Analyses Choose Analysis: tran Stop Time: 5m 6. Outputs To Be Plotted Select on Schematic στο σχηματικό με το ποντίκι επιλέγουμε τον κόμβο της υποδοχής (drain) του τρανζίστορ για αποτύπωση του ρεύματος ID και αντίστοιχα τον κλάδο (net) της πύλης για αποτύπωση της τάσης VGS. 7. Simulation Netlist and Run 17

18 8. Υπολογίστε τη διαγωγιμότητα gm από την γραφική παράσταση. (Σημείωση: Πατώντας Α ή Β δημιουργεί σημείο στη γραφική παράσταση. Πατώντας Η δημιουργεί οριζόντιο άξονα και πατώντας V δημιουργεί κάθετο άξονα) 9. Πώς μπορείτε να βελτιώσετε το gm; Εργασία: Επαναλάβετε τα αντίστοιχα βήματα για ένα PMOS τρανζίστορ. 18

HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 1-3)

HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 1-3) HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων Διδάσκων: Ιούλιος Γεωργίου Βοηθοί Διδασκαλίας: Γιώργος Βαρνάβα - Λούκας Πέτρου ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 1-3) Οδηγίες: 1.

Διαβάστε περισσότερα

HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 1-3)

HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 1-3) HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων Διδάσκων: Ιούλιος Γεωργίου Βοηθοί Διδασκαλίας: Ανδρέου Χαράλαμπος Παναγιώτα Δημοσθένους ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 1-3) Οδηγίες:

Διαβάστε περισσότερα

HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 4-6)

HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 4-6) HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων Διδάσκων: Ιούλιος Γεωργίου Βοηθός Διδασκαλίας: Γιώργος Βαρνάβα - Λούκας Πέτρου ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 4-6) Οδηγίες: Φυλάξετε

Διαβάστε περισσότερα

CADENCE. User Manual

CADENCE. User Manual CADENCE User Manual ΠΕΡΙΕΧΟΜΕΝΑ Αρχικοποίηση Περιβάλλοντος.. 1. Ξεκινώντας το πρόγραμμα Cadence... 1.1 Ανοίγοντας και Χρησιμοποιώντας το Library Manager. 1.2 Δημιουργία μιας Νέας Βιβλιοθήκης... 1.3 Άνοιγμα

Διαβάστε περισσότερα

HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 4-6)

HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 4-6) HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων Διδάσκων: Ιούλιος Γεωργίου Βοηθός Διδασκαλίας: Γιώργος Βαρνάβα ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 4-6) Οδηγίες: Με το πέρας των εργαστηρίων

Διαβάστε περισσότερα

Virtuoso. Εισαγωγή στο. Βοήθημα για το μάθημα των Συστημάτων VLSI. Εργαστήριο Ηλεκτρονικής. Οκτώβριος Διδάσκων : Αλκιβιάδης Χατζόπουλος

Virtuoso. Εισαγωγή στο. Βοήθημα για το μάθημα των Συστημάτων VLSI. Εργαστήριο Ηλεκτρονικής. Οκτώβριος Διδάσκων : Αλκιβιάδης Χατζόπουλος Εργαστήριο Ηλεκτρονικής Εισαγωγή στο Virtuoso Βοήθημα για το μάθημα των Συστημάτων VLSI Οκτώβριος 2014 Διδάσκων : Αλκιβιάδης Χατζόπουλος Επιμέλεια: Θράσος Αξιώτης Νικόλαος Π. Παπαδόπουλος Αναθεώρηση: Καρατζιάς

Διαβάστε περισσότερα

Οδηγίες για την εγκατάσταση του πακέτου Cygwin

Οδηγίες για την εγκατάσταση του πακέτου Cygwin Οδηγίες για την εγκατάσταση του πακέτου Cygwin Ακολουθήστε τις οδηγίες που περιγράφονται σε αυτό το file μόνο αν έχετε κάποιο laptop ή desktop PC που τρέχουν κάποιο version των Microsoft Windows. 1) Copy

Διαβάστε περισσότερα

ηµιουργία Αρχείου Πρότζεκτ (.qpf)

ηµιουργία Αρχείου Πρότζεκτ (.qpf) Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Εισαγωγή στο λογισµικό Quartus II v13 web edition 1 ηµιουργία Αρχείου Πρότζεκτ (.qpf) Με την εκκίνηση της εφαρµογής Quartus II v13.0 SP1 web edition, επιλέξτε File

Διαβάστε περισσότερα

KiCad Create new project Eeschema Electronic schematic editor Eeschema Page settings grid Place component

KiCad Create new project Eeschema Electronic schematic editor Eeschema Page settings grid Place component KiCad Από το εικονίδιο του KiCad ανοίγουμε το πρόγραμμα. Στο παράθυρο του προγράμματος εμφανίζεται το δέντρο της εργασίας αριστερά, ο editor της εργασίας δεξιά, ένα μενού εργασιών και εικονίδια στο επάνω

Διαβάστε περισσότερα

Αντικειμενοστρεφής Προγραμματισμός

Αντικειμενοστρεφής Προγραμματισμός Αντικειμενοστρεφής Προγραμματισμός Διδάσκουσα: Αναπλ. Καθηγήτρια Ανδριάνα Πρέντζα aprentza@unipi.gr Εργαστηριακός Συνεργάτης: Δρ. Βασιλική Κούφη vassok@unipi.gr 1 Δομημένος προγραμματισμός έναντι αντικειμενοστρεφούς

Διαβάστε περισσότερα

ΕΓΚΑΤΑΣΤΑΣΗ ΤΟΥ ΠΡΟΓΡΑΜΜΑΤΟΣ ΔΗΜΙΟΥΡΓΙΑ ΑΡΧΕΙΟΥ ΠΡΟΣΘΗΚΗ ΒΙΒΛΙΟΘΗΚΩΝ

ΕΓΚΑΤΑΣΤΑΣΗ ΤΟΥ ΠΡΟΓΡΑΜΜΑΤΟΣ ΔΗΜΙΟΥΡΓΙΑ ΑΡΧΕΙΟΥ ΠΡΟΣΘΗΚΗ ΒΙΒΛΙΟΘΗΚΩΝ ΕΓΚΑΤΑΣΤΑΣΗ ΤΟΥ ΠΡΟΓΡΑΜΜΑΤΟΣ ΜΑΖΙ ΜΕ ΤΟ ΒΙΒΛΙΟ ΤΟΥ SEDRA-SMITH ΔΙΝΕΤΑΙ ΕΝΑ CD ΠΟΥ ΠΕΡΙΕΧΕΙ ΤΟ ΠΡΟΓΡΑΜΜΑ PSPICE, ΑΝΟΙΓΟΥΜΕ ΤΟ CD (ΑΝΟΙΓΜΑ, ΟΧΙ ΑΥΤΟΜΑΤΗ ΕΚΤΕΛΕΣΗ) ΠΗΓΑΙΝΟΥΜΕ ΣΤΟ ΦΑΚΕΛΟ ORCAD ΚΑΙ ΕΚΤΕΛΟΥΜΕ

Διαβάστε περισσότερα

Εφαρμογές Ψηφιακών Ηλεκτρονικών

Εφαρμογές Ψηφιακών Ηλεκτρονικών ΑΣΚΗΣΗ 1 Εφαρμογές Ψηφιακών Ηλεκτρονικών Εργαστήριο Ηλεκτρονικής Τηλεπικοινωνιών και Εφαρμογών, Τμήμα Φυσικής, Πανεπιστήμιο Ιωαννίνων Βασίλης Χριστοφιλάκης 1 ΑΣΚΗΣΗ 1: ΕΙΣΑΓΩΓΗ ΣΤ Η ΨΗΦΙΑΚΗ ΣΧΕΔΙΑΣΗ ΜΕΣΩ

Διαβάστε περισσότερα

Πανεπιστήµιο Θεσσαλίας

Πανεπιστήµιο Θεσσαλίας Πανεπιστήµιο Θεσσαλίας Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών ΗΥ 130 : Ψηφιακή σχεδίαση Βόλος 2015 1 Εισαγωγή Το Multisim είναι ένα ολοκληρωμένο περιβάλλον προσομοίωσης της συμπεριφοράς

Διαβάστε περισσότερα

CYGWIN Οδηγίες Χρήσης (Συγγραφέας: Γιώργος ούκας)

CYGWIN Οδηγίες Χρήσης (Συγγραφέας: Γιώργος ούκας) Γενικά CYGWIN Οδηγίες Χρήσης (Συγγραφέας: Γιώργος ούκας) Το cygwin είναι ένα περιβάλλον προσοµοίωσης του UNIX/Linux στα Windows. Παρέχει στον χρήστη την δυνατότητα να δηµιουργεί προγράµµατα κάνοντας χρήση

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ

ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ ΘΕΩΡΙΑ 1. Εργαλεία εξομοίωσης, SPICE, αρχεία περιγραφής κυκλωμάτων (netlist) (Παρ. 3.4, σελ 152-155) 2. To transistor ως διακόπτης, πύλη διέλευσης. (Παρ

Διαβάστε περισσότερα

ΜΑΘΗΜΑ 10 Ο ΟΡΓΑΝΩΣΗ ΤΗΣ Β ΓΙΑ ΧΡΗΣΤΕΣ (NON-EXPERTS) Α. ΗΜΙΟΥΡΓΙΑ ΠΙΝΑΚΑ ΕΠΙΛΟΓΩΝ 1. TOOLS DATA UTILITIES SWITCHBOARD MANAGER YES

ΜΑΘΗΜΑ 10 Ο ΟΡΓΑΝΩΣΗ ΤΗΣ Β ΓΙΑ ΧΡΗΣΤΕΣ (NON-EXPERTS) Α. ΗΜΙΟΥΡΓΙΑ ΠΙΝΑΚΑ ΕΠΙΛΟΓΩΝ 1. TOOLS DATA UTILITIES SWITCHBOARD MANAGER YES ΜΑΘΗΜΑ 10 Ο ΟΡΓΑΝΩΣΗ ΤΗΣ Β ΓΙΑ ΧΡΗΣΤΕΣ (NON-EXPERTS) Α. ΗΜΙΟΥΡΓΙΑ ΠΙΝΑΚΑ ΕΠΙΛΟΓΩΝ 1. TOOLS DATA UTILITIES SWITCHBOARD MANAGER YES 2. ΠΑΤΗΣΤΕ EDIT ΑΛΛΑΞΤΕ ΤΟ ΟΝΟΜΑ COMPANY CLOSE 3. ΠΑΤΗΣΤΕ NEW (CREATE NEW)

Διαβάστε περισσότερα

Προτεινόμενες εργασίες Προγραμματισμού Διαδικτύου

Προτεινόμενες εργασίες Προγραμματισμού Διαδικτύου Προτεινόμενες εργασίες Προγραμματισμού Διαδικτύου Ιωάννης Γ. Τσούλος Εργασία Πρώτη - Αριθμομηχανή Με την χρήση του περιβάλλοντος AWT ή του SWING θα πρέπει να δημιουργηθεί αριθμομηχανή για την εκτέλεση

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΜΟΣ ΙΣΤΟΣΕΛΙΔΑΣ ΜΕ ΤΗ ΧΡΗΣΗ "ΠΡΟΤΥΠΟΥ ΙΣΤΟΣΕΛΙΔΑΣ" (TEMPLATE) ΣΤΟ ΠΡΟΓΡΑΜΜΑ MICROSOFT OFFICE SHAREPOINT DESIGNER 2007

ΣΧΕΔΙΑΣΜΟΣ ΙΣΤΟΣΕΛΙΔΑΣ ΜΕ ΤΗ ΧΡΗΣΗ ΠΡΟΤΥΠΟΥ ΙΣΤΟΣΕΛΙΔΑΣ (TEMPLATE) ΣΤΟ ΠΡΟΓΡΑΜΜΑ MICROSOFT OFFICE SHAREPOINT DESIGNER 2007 ΣΧΕΔΙΑΣΜΟΣ ΙΣΤΟΣΕΛΙΔΑΣ ΜΕ ΤΗ ΧΡΗΣΗ "ΠΡΟΤΥΠΟΥ ΙΣΤΟΣΕΛΙΔΑΣ" (TEMPLATE) ΣΤΟ ΠΡΟΓΡΑΜΜΑ MICROSOFT OFFICE SHAREPOINT DESIGNER 2007 Ο σχεδιασμός ιστοσελίδας με τη χρήση του προγράμματος Microsoft Office SharePoint

Διαβάστε περισσότερα

Hase οδηγίες χρήσης.

Hase οδηγίες χρήσης. Hase οδηγίες χρήσης. Το Hase είναι ένα πρόγραμμα προσομοίωσης που έχει αναπτυχθεί στο πανεπιστήμιο του Εδιμβούργου (http://www.icsa.inf.ed.ac.uk/research/groups/hase/) και μπορεί να χρησιμοποιηθεί για

Διαβάστε περισσότερα

Hase οδηγίες χρήσης.

Hase οδηγίες χρήσης. Hase οδηγίες χρήσης. Το Hase είναι ένα πρόγραμμα προσομοίωσης που έχει αναπτυχθεί στο πανεπιστήμιο του Εδιμβούργου (http://www.icsa.inf.ed.ac.uk/research/groups/hase/) και μπορεί να χρησιμοποιηθεί για

Διαβάστε περισσότερα

SPSS Statistical Package for the Social Sciences

SPSS Statistical Package for the Social Sciences SPSS Statistical Package for the Social Sciences Ξεκινώντας την εφαρμογή Εισαγωγή εδομένων Ορισμός Μεταβλητών Εισαγωγή περίπτωσης και μεταβλητής ιαγραφή περιπτώσεων ή και μεταβλητών ΣΤΑΤΙΣΤΙΚΗ Αθανάσιος

Διαβάστε περισσότερα

Επικοινωνιακή Διάταξη Σημείου-προς-Σημείο

Επικοινωνιακή Διάταξη Σημείου-προς-Σημείο Εργαστήριο 1 ΑΤΕΙ ΘΕΣΣΑΛΟΝΙΚΗΣ - ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΜΑΘΗΜΑ: ΤΗΛΕΠΙΚΟΙΝΩΝΙΕΣ ΚΑΙ ΔΙΚΤΥΑ Η/Υ Επικοινωνιακή Διάταξη Σημείου-προς-Σημείο Στόχος Σε αυτό το εισαγωγικό εργαστήριο μελετάται ο τρόπος

Διαβάστε περισσότερα

Δημιουργία μιας εφαρμογής Java με το NetBeans

Δημιουργία μιας εφαρμογής Java με το NetBeans Δημιουργία μιας εφαρμογής Java με το NetBeans Για να δημιουργήσετε μια εφαρμογή Java πρέπει να ακολουθήσετε τα εξής βήματα : Αρχικά πρέπει να δημιουργηθεί ένα project το οποίο θα περιέχει όλα τα αρχεία

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ 3: Προγραμματιστικά Περιβάλλοντα και το Πρώτο Πρόγραμμα C

ΕΡΓΑΣΤΗΡΙΟ 3: Προγραμματιστικά Περιβάλλοντα και το Πρώτο Πρόγραμμα C ΕΡΓΑΣΤΗΡΙΟ 3: Προγραμματιστικά Περιβάλλοντα και το Πρώτο Πρόγραμμα C Στο εργαστήριο αυτό, θα ασχοληθούμε με δύο προγραμματιστικά περιβάλλοντα της γλώσσας C, το Dev-C++, το οποίο είναι εφαρμογή που τρέχει

Διαβάστε περισσότερα

2. Με το εικονίδιο συντόμευσης στην επιφάνεια εργασίας των Windows.

2. Με το εικονίδιο συντόμευσης στην επιφάνεια εργασίας των Windows. 1.1 ΕΚΚΙΝΗΣΗ ΤΟΥ ΠΡΟΓΡΑΜΜΑΤΟΣ Το σχεδιαστικό μας πρόγραμμα ξεκινά με δύο τρόπους: 1. Με την ένδειξη Start στην επιφάνεια εργασίας. Ακολούθως επιλέγουμε την ένδειξη Programs και στην ενότητα του προγράμματος,

Διαβάστε περισσότερα

Προσομείωση ασύρματων δικτύων με τη χρήση του OPNET Modeler

Προσομείωση ασύρματων δικτύων με τη χρήση του OPNET Modeler Προσομείωση ασύρματων δικτύων με τη χρήση του OPNET Modeler ΣΚΟΠΟΙ Σε αυτήν την άσκηση: Θα φτιάξουμε μικρά ασύρματα δίκτυα Θα επιλέξουμε ποια δεδομένα θα συλλέξουμε Θα τρέξουμε την προσομείωση Θα αναλύσουμε

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA)

ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA) ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA) ΣΧΕΔΙΑΣΗ ΚΥΚΛΩΜΑΤΟΣ ΜΕ ΧΡΗΣΗ ΣΧΗΜΑΤΙΚΟΥ ΔΙΑΓΡΑΜΜΑΤΟΣ Σκοπός της άσκησης είναι η εξοικείωση των σπουδαστών με το εργαλείο σχεδιασμού

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΜΟΣ ΙΣΤΟΣΕΛΙΔΑΣ ΜΕ ΤΗ ΧΡΗΣΗ ΠΡΟΤΥΠΟΥ ΙΣΤΟΣΕΛΙΔΑΣ (TEMPLATE) ΣΤΟ ΠΡΟΓΡΑΜΜΑ MICROSOFT OFFICE SHAREPOINT DESIGNER 2007

ΣΧΕΔΙΑΣΜΟΣ ΙΣΤΟΣΕΛΙΔΑΣ ΜΕ ΤΗ ΧΡΗΣΗ ΠΡΟΤΥΠΟΥ ΙΣΤΟΣΕΛΙΔΑΣ (TEMPLATE) ΣΤΟ ΠΡΟΓΡΑΜΜΑ MICROSOFT OFFICE SHAREPOINT DESIGNER 2007 ΣΧΕΔΙΑΣΜΟΣ ΙΣΤΟΣΕΛΙΔΑΣ ΜΕ ΤΗ ΧΡΗΣΗ ΠΡΟΤΥΠΟΥ ΙΣΤΟΣΕΛΙΔΑΣ (TEMPLATE) ΣΤΟ ΠΡΟΓΡΑΜΜΑ MICROSOFT OFFICE SHAREPOINT DESIGNER 2007 Ο σχεδιασμός ιστοσελίδας με τη χρήση του προγράμματος Microsoft Office SharePoint

Διαβάστε περισσότερα

Οδηγίες εγκατάστασης και χρήσης Java σε προσωπικό υπολογιστή

Οδηγίες εγκατάστασης και χρήσης Java σε προσωπικό υπολογιστή Οδηγίες εγκατάστασης και χρήσης Java σε προσωπικό υπολογιστή Οι οδηγίες που ακολουθούν περιγράφουν την εγκατάσταση και τη χρήση (compile & run) της Java για τον προσωπικό σας υπολογιστή. Windows (10, 8.1,

Διαβάστε περισσότερα

Εισαγωγή στην Επιστήμη της Πληροφορικής Εργαστήριο. Εισαγωγή - Windows

Εισαγωγή στην Επιστήμη της Πληροφορικής Εργαστήριο. Εισαγωγή - Windows Πανεπιστήμιο Κύπρου Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη της Πληροφορικής Εργαστήριο ΕΠΛ001 Εισαγωγή στην Επιστήμη της Πληροφορικής Εργαστήριο Εισαγωγή - Windows Παναγιώτης Χατζηχριστοδούλου Εισαγωγή

Διαβάστε περισσότερα

Εγχειρίδιο Χρήσης Ενημέρωσης Λογισμικού Bluetooth Windows 7

Εγχειρίδιο Χρήσης Ενημέρωσης Λογισμικού Bluetooth Windows 7 Εγχειρίδιο Χρήσης Ενημέρωσης Λογισμικού Bluetooth Windows 7 Ισχύει για προϊόντα από το 2012 και μετά CDE-13xBT & CDE-W235BT & CDA-137BTi Αυτό το εγχειρίδιο περιγράφει τα βήματα που απαιτούνται για την

Διαβάστε περισσότερα

Προγραμματισμός Ι. Εργαστήριο 1 ο Περιβάλλον Εργασίας. Νικόλαος Δ. Τσελίκας Κυριακή Θ. Σεκλού

Προγραμματισμός Ι. Εργαστήριο 1 ο Περιβάλλον Εργασίας. Νικόλαος Δ. Τσελίκας Κυριακή Θ. Σεκλού Προγραμματισμός Ι Εργαστήριο 1 ο Περιβάλλον Εργασίας Νικόλαος Δ. Τσελίκας ntsel@uop.gr Κυριακή Θ. Σεκλού kseklou@uop.gr Εργαστήριο στον Προγραμματισμό Ι - Τμήμα Πληροφορικής και Τηλεπικοινωνιών - Πανεπιστήμιο

Διαβάστε περισσότερα

Συνοπτικό εγχειρίδιο χρήσης του Microsoft Visual Studio 2010

Συνοπτικό εγχειρίδιο χρήσης του Microsoft Visual Studio 2010 Τμήμα Πληροφορικής & Επικοινωνιών Τομέας Υπολογιστικών Τεχνικών & Συστημάτων Συνοπτικό εγχειρίδιο χρήσης του Microsoft Visual Studio 2010 Ιωάννης Γεωργουδάκης - Πάρις Μαστοροκώστας Σεπτέμβριος 2011 ΠΕΡΙΕΧΟΜΕΝΑ

Διαβάστε περισσότερα

Microsoft PowerPoint 2010 Πανεπιστήμιο Κύπρου

Microsoft PowerPoint 2010 Πανεπιστήμιο Κύπρου Microsoft PowerPoint 2010 Πανεπιστήμιο Κύπρου Ιούλιος 2017 Copyright 2017 Πανεπιστήμιο Κύπρου. Όλα τα πνευματικά δικαιώματα κατοχυρωμένα. Δημιουργός: Λευτέρης Γ. Ζαχαρία Πίνακας Περιεχομένων 1. Εισαγωγή....

Διαβάστε περισσότερα

Κέντρο υποστήριξης HP. Εισαγωγή. Τι χρειάζεται να γνωρίζετε. Λήψη και εγκατάσταση της λύσης Vista στα Windows 8. 1 of 5 1/7/2014 2:09 μμ

Κέντρο υποστήριξης HP. Εισαγωγή. Τι χρειάζεται να γνωρίζετε. Λήψη και εγκατάσταση της λύσης Vista στα Windows 8. 1 of 5 1/7/2014 2:09 μμ http://h2056wwwhp.com/portal/site/hpsc/template.page/public/psi... 1 of 5 1/7/2014 2:09 μμ Για το σπίτι Για την επιχείρηση Υποστήριξη Είσοδος Εγγραφή Βοήθεια ιστότοπου HP Color LaserJet 3600 Printer series

Διαβάστε περισσότερα

Οδηγός εγκατάστασης προγράμματος TestDrive του Υ.Μ.Ε.

Οδηγός εγκατάστασης προγράμματος TestDrive του Υ.Μ.Ε. [Επιλέξτε ημερομηνία] Οδηγός εγκατάστασης προγράμματος TestDrive του Υ.Μ.Ε. Για Windows Xp Κουϊρουκίδης Γεράσιμος Συντάκτης: Κουϊρουκίδης Γεράσιμος Οδηγός Εγκατάστασης προγράμματος TestDrive του Υ.Μ.Ε.

Διαβάστε περισσότερα

ΠΡΟΓΡΑΜΜΑΤΙΣΤΙΚΕΣ ΤΕΧΝΙΚΕΣ Σύντομη εισαγωγή στο εργαστήριο

ΠΡΟΓΡΑΜΜΑΤΙΣΤΙΚΕΣ ΤΕΧΝΙΚΕΣ Σύντομη εισαγωγή στο εργαστήριο ΠΡΟΓΡΑΜΜΑΤΙΣΤΙΚΕΣ ΤΕΧΝΙΚΕΣ Σύντομη εισαγωγή στο εργαστήριο Στο φετινό εργαστήριο του μαθήματος, έχετε τη δυνατότητα να δουλέψετε σε 2 περιβάλλοντα. Ένα σε περιβάλλον UNIX μέσω απομακρυσμένης σύνδεσης σε

Διαβάστε περισσότερα

Εργαστηριακή άσκηση. Κανόνες σχεδίασης και κατασκευαστικές λεπτομέρειες στη σχεδίασης μασκών (layout) και προσομοίωσης κυκλώματος VLSI

Εργαστηριακή άσκηση. Κανόνες σχεδίασης και κατασκευαστικές λεπτομέρειες στη σχεδίασης μασκών (layout) και προσομοίωσης κυκλώματος VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 3 η Ο ΑΝΤΙΣΤΡΟΦΕΑΣ CMOS

ΑΣΚΗΣΗ 3 η Ο ΑΝΤΙΣΤΡΟΦΕΑΣ CMOS ΑΣΚΗΣΗ 3 η Ο ΑΝΤΙΣΤΡΟΦΕΑΣ CMOS ΘΕΩΡΙΑ Οι ασκήσεις 3 και 4 αφορούν τον αντιστροφέα CMOS, ο οποίος είναι η απλούστερη αλ α ταυτόχρονα και σημαντικότερη πύλη για την κατανόηση της λειτουργίας των Ολοκληρωμένων

Διαβάστε περισσότερα

ΜΑΘΗΜΑ Άνοιγμα Της Εφαρμογής Επεξεργασίας Κειμένου. 2. Κύρια Οθόνη Της Εφαρμογής Κειμένου ΣΤΟΧΟΙ:

ΜΑΘΗΜΑ Άνοιγμα Της Εφαρμογής Επεξεργασίας Κειμένου. 2. Κύρια Οθόνη Της Εφαρμογής Κειμένου ΣΤΟΧΟΙ: ΜΑΘΗΜΑ 1 ΣΤΟΧΟΙ: 1. Άνοιγμα Της Εφαρμογής Επεξεργασίας Κειμένου (Microsoft Word) 2. Κύρια Οθόνη Της Εφαρμογής Κειμένου 3. Δημιουργία Νέου Εγγράφου 4. Δημιουργία Εγγράφου Βασισμένο Σε Πρότυπο 5. Κλείσιμο

Διαβάστε περισσότερα

ΕΘΝΙΚΟ ΚΑΙ ΚΑΠΟΔΙΣΤΡΙΑΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΘΗΝΩΝ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΠΡΟΓΡΑΜΜΑ ΜΕΤΑΠΤΥΧΙΑΚΩΝ ΣΠΟΥΔΩΝ

ΕΘΝΙΚΟ ΚΑΙ ΚΑΠΟΔΙΣΤΡΙΑΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΘΗΝΩΝ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΠΡΟΓΡΑΜΜΑ ΜΕΤΑΠΤΥΧΙΑΚΩΝ ΣΠΟΥΔΩΝ ΕΘΝΙΚΟ ΚΑΙ ΚΑΠΟΔΙΣΤΡΙΑΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΘΗΝΩΝ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΠΡΟΓΡΑΜΜΑ ΜΕΤΑΠΤΥΧΙΑΚΩΝ ΣΠΟΥΔΩΝ Οδηγός Εργαστηρίου για το Μεταπτυχιακό Μάθημα Τεχνολογία Διοίκησης

Διαβάστε περισσότερα

Περιγραφή του βασικού παραθύρου του Cubase SE3. Εικόνα 1

Περιγραφή του βασικού παραθύρου του Cubase SE3. Εικόνα 1 Περιγραφή του βασικού παραθύρου του Cubase SE3 Εικόνα 1 Transport panel Προετοιμασία και εκτέλεση ηχογράφησης σε ένα κανάλι MIDI και Quantize 1. Ανοίγουμε το Cubase, πηγαίνουμε στο μενού File και επιλέγουμε

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΥΛΟΠΟΙΗΣΗ ΕΚΠΑΙΔΕΥΤΙΚΟΥ ΛΟΓΙΣΜΙΚΟΥ «ΗΛΕΚΤΡΟΝΙΚΟΣ» ΓΙΑ ΤΙΣ ΑΝΑΓΚΕΣ ΤΗΣ ΤΕΧΝΙΚΗΣ ΕΠΑΓΓΕΛΜΑΤΙΚΗΣ ΕΚΠΑΙΔΕΥΣΗΣ.

ΣΧΕΔΙΑΣΗ ΥΛΟΠΟΙΗΣΗ ΕΚΠΑΙΔΕΥΤΙΚΟΥ ΛΟΓΙΣΜΙΚΟΥ «ΗΛΕΚΤΡΟΝΙΚΟΣ» ΓΙΑ ΤΙΣ ΑΝΑΓΚΕΣ ΤΗΣ ΤΕΧΝΙΚΗΣ ΕΠΑΓΓΕΛΜΑΤΙΚΗΣ ΕΚΠΑΙΔΕΥΣΗΣ. 478 ΣΧΕΔΙΑΣΗ ΥΛΟΠΟΙΗΣΗ ΕΚΠΑΙΔΕΥΤΙΚΟΥ ΛΟΓΙΣΜΙΚΟΥ «ΗΛΕΚΤΡΟΝΙΚΟΣ» ΓΙΑ ΤΙΣ ΑΝΑΓΚΕΣ ΤΗΣ ΤΕΧΝΙΚΗΣ ΕΠΑΓΓΕΛΜΑΤΙΚΗΣ ΕΚΠΑΙΔΕΥΣΗΣ. Γλάρος Ιωάννης ΤΕ 01 Ηλεκτρονικός Εκπαιδευτικός Δ.Ε http://3tee-rodou.dod.sch.gr

Διαβάστε περισσότερα

Οδηγός σύνδεσης στο δίκτυο του ΤΕΠΑΚ μέσα από την υπηρεσία απομακρυσμένης πρόσβασης VPN Τεχνολογικό Πανεπιστήμιο Κύπρου

Οδηγός σύνδεσης στο δίκτυο του ΤΕΠΑΚ μέσα από την υπηρεσία απομακρυσμένης πρόσβασης VPN Τεχνολογικό Πανεπιστήμιο Κύπρου Οδηγός σύνδεσης στο δίκτυο του ΤΕΠΑΚ μέσα από την υπηρεσία απομακρυσμένης πρόσβασης VPN Τεχνολογικό Πανεπιστήμιο Κύπρου Περιεχόμενα Οδηγός σύνδεσης στο δίκτυο του ΤΕΠΑΚ μέσα από την υπηρεσία απομακρυσμένης

Διαβάστε περισσότερα

Οδηγός Σύνδεσης στο Ασύρματο Δίκτυο cs-wifi του Τμήματος Πληροφορικής του Πανεπιστημίου Κύπρου για Windows 7, CentOS 6, Mac OS, IOS, και Android

Οδηγός Σύνδεσης στο Ασύρματο Δίκτυο cs-wifi του Τμήματος Πληροφορικής του Πανεπιστημίου Κύπρου για Windows 7, CentOS 6, Mac OS, IOS, και Android 4 Δεκεμβρίου 2012 Οδηγός Σύνδεσης στο Ασύρματο Δίκτυο cs-wifi του Τμήματος Πληροφορικής του Πανεπιστημίου Κύπρου για Windows 7, CentOS Πανεπιστήμιο Κύπρου, Τμήμα Πληροφορικής Σάββας Νικηφόρου Version 0.1

Διαβάστε περισσότερα

ΕΓΧΕΙΡΙΔΙΟ ΧΡΗΣΗΣ ΚΑΙ ΔΙΑΧΕΙΡΙΣΗΣ ΨΗΦΙΑΚΩΝ ΠΙΣΤΟΠΟΙΗΤΙΚΩΝ

ΕΓΧΕΙΡΙΔΙΟ ΧΡΗΣΗΣ ΚΑΙ ΔΙΑΧΕΙΡΙΣΗΣ ΨΗΦΙΑΚΩΝ ΠΙΣΤΟΠΟΙΗΤΙΚΩΝ ΕΓΧΕΙΡΙΔΙΟ ΧΡΗΣΗΣ ΚΑΙ ΔΙΑΧΕΙΡΙΣΗΣ ΨΗΦΙΑΚΩΝ ΠΙΣΤΟΠΟΙΗΤΙΚΩΝ 1 Α. ΛΗΨΗ ΑΝΤΙΓΡΑΦΟΥ ΑΣΦΑΛΕΙΑΣ (EXPORT) ΨΗΦΙΑΚΩΝ ΠΙΣΤΟΠΟΙΗΤΙΚΩΝ 3 1. ΜΕ ΧΡΗΣΗ INTERNET EXPLORER 3 2. ΜΕ ΧΡΗΣΗ MOZILLA FIREFOX 10 Β. ΜΕΤΑΦΟΡΑ ΨΗΦΙΑΚΩΝ

Διαβάστε περισσότερα

2.1. Οι λογαριασµοί χρηστών Σύνδεση (login) και αποσύνδεση (logout)

2.1. Οι λογαριασµοί χρηστών Σύνδεση (login) και αποσύνδεση (logout) Πανεπιστήµιο Θεσσαλίας Τµήµα Πληροφορικής µε Εφαρµογές στην Βιοϊατρική Εισαγωγή στον Προγραµµατισµό (εργαστήριο) Εισαγωγή στο λειτουργικό σύστηµα UNIX 1. Σύνδεση στο Εικονικό Ιδιωτικό Δίκτυο (VPN) 1.1.

Διαβάστε περισσότερα

Προπαρασκευαστική παρουσίαση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Quartus II

Προπαρασκευαστική παρουσίαση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Quartus II TMHMA ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΗΜΥ 211-2010 Εισαγωγή Προπαρασκευαστική παρουσίαση για το Εργαστήριο ΗΜΥ 211 και το λογισμικό Altera Quartus II Στο εργαστήριο

Διαβάστε περισσότερα

Χρήσιμες Ρυθμίσεις του Windows 7 στον Η/Υ σας

Χρήσιμες Ρυθμίσεις του Windows 7 στον Η/Υ σας Χρήσιμες Ρυθμίσεις του Windows 7 στον Η/Υ σας Πώς να δημιουργήσουμε ένα νέο λογαριασμό χρήστη (User Account) στα Windows 7 Αρκετές φορές τυχαίνει να χρησιμοποιούν διάφορα άτομα τον Η/Υ σας. Σε τέτοιες

Διαβάστε περισσότερα

Οδηγός Σύνδεσης στο Ασύρματο Δίκτυο cs-wifi του Τμήματος Πληροφορικής του Πανεπιστημίου Κύπρου για Windows 7

Οδηγός Σύνδεσης στο Ασύρματο Δίκτυο cs-wifi του Τμήματος Πληροφορικής του Πανεπιστημίου Κύπρου για Windows 7 16 Νοεμβρίου 2012 Οδηγός Σύνδεσης στο Ασύρματο Δίκτυο cs-wifi του Τμήματος Πληροφορικής του Πανεπιστημίου Κύπρου για Windows 7 Πανεπιστήμιο Κύπρου, Τμήμα Πληροφορικής Σάββας Νικηφόρου Version Number Πίνακας

Διαβάστε περισσότερα

1.Puzzle. ΕΠΙΜΕΛΕΙΑ: ΓΕΩΡΓΙΑ ΚΛΩΣΤΡΑΚΗ Σελίδα 1

1.Puzzle. ΕΠΙΜΕΛΕΙΑ: ΓΕΩΡΓΙΑ ΚΛΩΣΤΡΑΚΗ Σελίδα 1 1.Puzzle Μόλις ανοίξω το πρόγραμμα επιλέγω την εντολή Browse. Στη συνέχεια αναζητώ την εικόνα που έχω αποθηκεύσει σε ένα φάκελο (στην επιφάνεια εργασίας ή στα έγγραφά μου ή στο σκληρό μου δίσκο). Αφού

Διαβάστε περισσότερα

1. PHOTOMOD Montage Desktop (βασικό πρόγραμμα)

1. PHOTOMOD Montage Desktop (βασικό πρόγραμμα) PHOTOMOD 4.4 Lite Προσοχή: Πριν από την εκκίνηση του PHOTOMOD πρέπει να ενεργοποιηθεί η λειτουργία PHOTOMOD System Monitor (παρουσιάζεται με το εικονίδιο ) με την εντολή: START Programs PHOTOMOD Utility

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΑ ΛΟΓΙΣΜΙΚΟΥ

ΤΕΧΝΟΛΟΓΙΑ ΛΟΓΙΣΜΙΚΟΥ ΤΕΧΝΟΛΟΓΙΑ ΛΟΓΙΣΜΙΚΟΥ ΟΔΗΓΙΕΣ ΕΓΚΑΤΑΣΤΑΣΗΣ ΕΦΑΡΜΟΓΩΝ JAIN-SIP-PROXY και SIP-COMMUNICATOR Εισαγωγή Αυτές οι οδηγίες περιγράφουν τα βήματα για να εγκαταστήσουμε τις εφαρμογές JAIN- SIP-Proxy και SIP-Communicator.

Διαβάστε περισσότερα

ΟΔΗΓΙΕΣ ΧΡΗΣΗΣ "PROΩΘΗΣΗ" PROώθηση

ΟΔΗΓΙΕΣ ΧΡΗΣΗΣ PROΩΘΗΣΗ PROώθηση ΟΔΗΓΙΕΣ ΧΡΗΣΗΣ "PROΩΘΗΣΗ" PROώθηση Περιεχόμενα Εγκατάσταση ΠΕΡΙΕΧΟΜΕΝΑ ΣΥΣΚΕΥΑΣΙΑΣ... 3 ΣΥΝΔΕΣΗ ΕΞΟΠΛΙΣΜΟΥ... 3 ΡΥΘΜΙΣΗ Wi-Fi... 4 ΕΙΣΟΔΟΣ ΧΡΗΣΤΗ... 6 ΠΡΟΣΘΗΚΗ ΝΕΑΣ ΣΥΣΚΕΥΗΣ... 6 ΚΑΤΑΣΤΑΣΗ ΣΥΣΚΕΥΗΣ...

Διαβάστε περισσότερα

Εισαγωγή στο SPSS. Για την πρώτη σας προσπάθεια να εξοικειωθείτε με το SPSS, σκεφτείτε το παρακάτω πείραμα.

Εισαγωγή στο SPSS. Για την πρώτη σας προσπάθεια να εξοικειωθείτε με το SPSS, σκεφτείτε το παρακάτω πείραμα. Εισαγωγή στο SPSS Παράδειγμα εισαγωγής δεδομένων Για την πρώτη σας προσπάθεια να εξοικειωθείτε με το SPSS, σκεφτείτε το παρακάτω πείραμα. Ένας ψυχολογος ενδιαφέρεται για την επίδραση της διατροφής στη

Διαβάστε περισσότερα

Αρχιτεκτονικές Δικτύων & Πρωτόκολλα Ι

Αρχιτεκτονικές Δικτύων & Πρωτόκολλα Ι Αρχιτεκτονικές Δικτύων & Πρωτόκολλα Ι Ενότητα : Εισαγωγή στο εργαλείο προσομοίωσης δικτύων Riverbed Modeler - Προσομοίωση δικτύου Ethernet. Όνομα Καθηγητή : Δημήτριος Λυμπερόπουλος, Σπύρος Δενάζης Τμήμα

Διαβάστε περισσότερα

1. Εγκατάσταση λογισμικού SafeNet Authentication Client

1. Εγκατάσταση λογισμικού SafeNet Authentication Client ΕΓΧΕΙΡΙΔΙΟ ΓΡΗΓΟΡΗΣ ΕΚΚΙΝΗΣΗΣ MAC 1. Εγκατάσταση λογισμικού SafeNet Authentication Client Πριν χρησιμοποιήσετε το USB token, είναι απαραίτητο να εγκαταστήσετε το λογισμικό SafeNet Authentication Client

Διαβάστε περισσότερα

Αυτόματος διακόπτης αλλαγής φοράς περιστροφής εναλλασσόμενου τριφασικού κινητήρα βραχυκυκλωμένου δρομέα με έλεγχο PLC

Αυτόματος διακόπτης αλλαγής φοράς περιστροφής εναλλασσόμενου τριφασικού κινητήρα βραχυκυκλωμένου δρομέα με έλεγχο PLC Αυτόματος διακόπτης αλλαγής φοράς περιστροφής εναλλασσόμενου τριφασικού κινητήρα βραχυκυκλωμένου δρομέα με έλεγχο PLC 1 Απαραίτητα εξαρτήματα Για την πραγματοποίηση της άσκησης είναι απαραίτητα τα εξής

Διαβάστε περισσότερα

XnetTrader: ΟΔΗΓΙΕΣ ΓΙΑ ΤΗΝ ΕΝΕΡΓΟΠΟΙΗΣΗ ΤΗΣ ΕΦΑΡΜΟΓΗΣ σε ΔΧΣ Τερματικό

XnetTrader: ΟΔΗΓΙΕΣ ΓΙΑ ΤΗΝ ΕΝΕΡΓΟΠΟΙΗΣΗ ΤΗΣ ΕΦΑΡΜΟΓΗΣ σε ΔΧΣ Τερματικό XnetTrader: ΟΔΗΓΙΕΣ ΓΙΑ ΤΗΝ ΕΝΕΡΓΟΠΟΙΗΣΗ ΤΗΣ ΕΦΑΡΜΟΓΗΣ σε ΔΧΣ Τερματικό Έκδοση : 1.1 23/02/2018 Copyright 2018 - Χρηματιστήριο Αθηνών Α.Ε. ΠΙΝΑΚΑΣ ΠΕΡΙΕΧΟΜΕΝΩΝ 1 ΕΙΣΑΓΩΓΗ... 3 1.1 Πρόλογος... 3 1.2 Σκοπός...

Διαβάστε περισσότερα

Συνοπτικό εγχειρίδιο χρήσης του Microsoft Visual Studio 2010

Συνοπτικό εγχειρίδιο χρήσης του Microsoft Visual Studio 2010 Τμήμα Πληροφορικής & Τηλ/νιών Τομέας Προγ/σμού & Τεχνολογίας Λογισμικού Συνοπτικό εγχειρίδιο χρήσης του Microsoft Visual Studio 2010 Δρ. Νικόλαος Θ. Λιόλιος Καθηγητής Φεβρουάριος 2012 1. Εισαγωγή Το Visual

Διαβάστε περισσότερα

Ενότητα 18 Οργάνωση των Βιβλίων Εργασίας

Ενότητα 18 Οργάνωση των Βιβλίων Εργασίας Ενότητα 18 Οργάνωση των Βιβλίων Εργασίας Ένα βιβλίο εργασίας μπορεί να περιέχει όσα φύλλα εργασίας θέλετε. Το Excel έχει σαν προκαθορισμένο αριθμό 3 φύλλα. Μπορείτε να προσθέσετε ή να αφαιρέσετε όσα θέλετε.

Διαβάστε περισσότερα

Ηλεκτρολογικό Σχέδιο στο AutoCAD

Ηλεκτρολογικό Σχέδιο στο AutoCAD Ηλεκτρολογικό Σχέδιο στο AutoCAD Δημιουργία Παλέτας Ηλεκτρολογικών Στοιχείων, Σχεδίαση σε Επίπεδα ΣΧΕΔΙΑΣΗ ΣΤΟΙΧΕΙΩΝ Αρχικά σχεδιάζουμε τα στοιχεία που θέλουμε να εισάγουμε στην παλέτα. Καλό να βρίσκονται

Διαβάστε περισσότερα

1 η Εργαστηριακή Άσκηση MATLAB Εισαγωγή

1 η Εργαστηριακή Άσκηση MATLAB Εισαγωγή ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΗΠΕΙΡΟΥ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Τ.Ε. Εργαστήριο Επεξεργασία Εικόνας & Βίντεο 1 η Εργαστηριακή Άσκηση MATLAB Εισαγωγή Νικόλαος Γιαννακέας Άρτα 2018 1 Εισαγωγή Το Matlab

Διαβάστε περισσότερα

Οδηγίες Εγκατάστασης της εφαρμογής Readium και Readium για μαθητές με αμβλυωπία για την ανάγνωση βιβλίων epub σε Υπολογιστή.

Οδηγίες Εγκατάστασης της εφαρμογής Readium και Readium για μαθητές με αμβλυωπία για την ανάγνωση βιβλίων epub σε Υπολογιστή. Οδηγίες Εγκατάστασης της εφαρμογής Readium και Readium για μαθητές με αμβλυωπία για την ανάγνωση βιβλίων epub σε Υπολογιστή. Βήμα 1 ο : Εγκατάσταση εφαρμογής ανάγνωσης Readium και Readium για μαθητές με

Διαβάστε περισσότερα

Εισαγωγή στην Επιστήμη Υπολογιστών. Εισαγωγή στο Λ.Σ. UNIX

Εισαγωγή στην Επιστήμη Υπολογιστών. Εισαγωγή στο Λ.Σ. UNIX Εισαγωγή στην Επιστήμη Υπολογιστών Εισαγωγή στο Λ.Σ. UNIX FTP Σύνδεση ftp με το niovi.aueb.gr Στο μενού «Έναρξη» / «Start» των Windows επιλέγουμε την εντολή «Εκτέλεση» / «Run». ftp niovi.aueb.gr Στο παράθυρο

Διαβάστε περισσότερα

ΔΙΑΔΙΚΑΣΙA ΜΕΤΑΦΟΡΑΣ ΥΛΙΚΟΥ ΜΑΘΗΜΑΤΟΣ ΑΠΟ BLACKBOARD VISTA ΣΕ MOODLE

ΔΙΑΔΙΚΑΣΙA ΜΕΤΑΦΟΡΑΣ ΥΛΙΚΟΥ ΜΑΘΗΜΑΤΟΣ ΑΠΟ BLACKBOARD VISTA ΣΕ MOODLE ΔΙΑΔΙΚΑΣΙA ΜΕΤΑΦΟΡΑΣ ΥΛΙΚΟΥ ΜΑΘΗΜΑΤΟΣ ΑΠΟ BLACKBOARD VISTA ΣΕ MOODLE Η διαδικασία μεταφοράς του υλικού ενός μαθήματος από την πλατφόρμα Blackboard Vista στην πλατφόρμα Moodle σε βήματα είναι η ακόλουθη:

Διαβάστε περισσότερα

Εργαστήριο «Τεχνολογία Πολιτισμικού Λογισμικού» Ενότητα. Επεξεργασία πινάκων

Εργαστήριο «Τεχνολογία Πολιτισμικού Λογισμικού» Ενότητα. Επεξεργασία πινάκων Ενότητα 4 Επεξεργασία πινάκων 36 37 4.1 Προσθήκη πεδίων Για να εισάγετε ένα πεδίο σε ένα πίνακα που υπάρχει ήδη στη βάση δεδομένων σας, βάζετε τον κέρσορα του ποντικιού στο πεδίο πάνω από το οποίο θέλετε

Διαβάστε περισσότερα

ΜΑΘΗΜΑ Προσθήκη Κειμένου. 2. Ελληνική Διάταξη Πληκτρολογίου ΣΤΟΧΟΙ:

ΜΑΘΗΜΑ Προσθήκη Κειμένου. 2. Ελληνική Διάταξη Πληκτρολογίου ΣΤΟΧΟΙ: ΜΑΘΗΜΑ 2 ΣΤΟΧΟΙ: 1. Προσθήκη Κειμένου 2. Ελληνική Διάταξη Πληκτρολογίου 3. Αλλαγή Παρουσίασης Σελίδας 4. Εισαγωγή Συμβόλων/Ειδικών Χαρακτήρων 5. Παρουσίαση Και Απόκρυψη Μη Εκτυπώσιμων Χαρακτήρων 6. Επιλογή

Διαβάστε περισσότερα

ΒΑΣΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ

ΒΑΣΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ Εργαστήριο Τεχνολογίας Υλικού & Αρχιτεκτονικής Υπολογιστών ΒΑΣΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ 4.1 MOS Τρανζίστορ ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ ΙV ΤΟ MOS ΤΡΑΝΖΙΣΤΟΡ 4.1.1 Εισαγωγή: Αντικείµενο της εργαστηριακής

Διαβάστε περισσότερα

Εργαστήριο Java. Διδάσκουσα: Εργαστηριακοί Συνεργάτες:

Εργαστήριο Java. Διδάσκουσα: Εργαστηριακοί Συνεργάτες: Εργαστήριο Java Διδάσκουσα: Πρέντζα Ανδριάνα aprentza@unipi.gr Εργαστηριακοί Συνεργάτες: Γεωργιοπούλου Ρούλα Λύβας Χρήστος roulageorio@ssl-unipi.gr clyvas@unipi.gr Εργαστήρια Δευτέρα 18:00-20:00,Τρίτη

Διαβάστε περισσότερα

Συστήματα Αυτομάτου Ελέγχου ΙΙ Γιώργος Σούλτης 167

Συστήματα Αυτομάτου Ελέγχου ΙΙ Γιώργος Σούλτης 167 Προσομοίωση πραγματικών συστημάτων στο MATLAB Είδαμε μέχρι τώρα πως μπορούμε να υπολογίσουμε την συνάρτηση μεταφοράς σε πραγματικά συστήματα. Ο υπολογισμός της συνάρτησης μεταφοράς στη ουσία είναι η «γραμμικοποίηση»

Διαβάστε περισσότερα

PRISMA WIN APPLICATION SERVER. ιαχείριση υπηρεσιών στοιχείων (COM+) Οδηγός διαχείρισης δικαιωµάτων πρόσβασης & εκκίνησης PRISMA Win Application Server

PRISMA WIN APPLICATION SERVER. ιαχείριση υπηρεσιών στοιχείων (COM+) Οδηγός διαχείρισης δικαιωµάτων πρόσβασης & εκκίνησης PRISMA Win Application Server PRISMA WIN APPLICATION SERVER ιαχείριση υπηρεσιών στοιχείων (COM+) Οδηγός διαχείρισης δικαιωµάτων πρόσβασης & εκκίνησης PRISMA Win Application Server Πέντε βασικά βήµατα για τη ρύθµιση δικαιωµάτων πρόσβασης

Διαβάστε περισσότερα

Σύνδεση στον CallCatcher Server (βλ. Σελ.4) Παραμετροποίηση συνδέσεων (βλ. Σελ.4) Επιλογή χειροκίνητης εγγραφής (βλ. Σελ.15)

Σύνδεση στον CallCatcher Server (βλ. Σελ.4) Παραμετροποίηση συνδέσεων (βλ. Σελ.4) Επιλογή χειροκίνητης εγγραφής (βλ. Σελ.15) CallPlayer Manual Περιεχόμενα 1 CallPlayer... 3 1.1 Επεξήγηση κουμπιών αρχικής οθόνης... 3 1.2 Διαδικασία Log-in... 4 1.3 Αρχική οθόνη CallPlayer... 5 1.4 Επεξήγηση Flags... 6 1.5 Παράθυρο Extended Information...

Διαβάστε περισσότερα

Προπαρασκευαστική Άσκηση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Max +Plus II

Προπαρασκευαστική Άσκηση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Max +Plus II TMHMA ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΗΜΥ 211-2007 Εισαγωγή Προπαρασκευαστική Άσκηση για το Εργαστήριο ΗΜΥ 211 και το λογισμικό Altera Max +Plus II Στο εργαστήριο ΗΜΥ

Διαβάστε περισσότερα

1. Γνωριμία Με Το Περιβάλλον Του Ηλεκτρονικού Υπολογιστή

1. Γνωριμία Με Το Περιβάλλον Του Ηλεκτρονικού Υπολογιστή WINDOWS ΣΤΟΧΟΙ: 1. Γνωριμία Με Το Περιβάλλον Του Ηλεκτρονικού Υπολογιστή 2. Γνωριμία Με Την Επιφάνεια Εργασίας (Desktop) 3. Ta Βασικά Εικονίδια Της Επιφάνειας Εργασίας (Desktop) 4. Κουμπιά Παραθύρων 5.

Διαβάστε περισσότερα

Unity Editor #03 Κεντρικό Μενού: Edit

Unity Editor #03 Κεντρικό Μενού: Edit Unity Editor #03 Κεντρικό Μενού: Edit Συνεχίζουμε λοιπόν με το κεντρικό μενού. Ας δούμε τι μας κρύβει η επιλογή Edit. Όπως βλέπεις στην εικόνα, μας δίνει πολλές δυνατότητες. Πολλές βέβαια από αυτές είναι

Διαβάστε περισσότερα

Active Directory Users and Computers. Τεχνικός Δικτύων & Τηλεπικοινωνιών - Διαχείριση Δικτύων ΙΙ

Active Directory Users and Computers. Τεχνικός Δικτύων & Τηλεπικοινωνιών - Διαχείριση Δικτύων ΙΙ Active Directory Users and Computers Τεχνικός Δικτύων & Τηλεπικοινωνιών - Διαχείριση Δικτύων ΙΙ Active Directory Users and Computers console Η κονσόλα Active Directory Users and Computers είναι το κύριο

Διαβάστε περισσότερα

Εισαγωγή στην Επιστήμη της Πληροφορικής και Πληροφοριακά Συστήματα Εργαστήριο. Εισαγωγή - Windows

Εισαγωγή στην Επιστήμη της Πληροφορικής και Πληροφοριακά Συστήματα Εργαστήριο. Εισαγωγή - Windows Πανεπιστήμιο Κύπρου Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη της Πληροφορικής και Πληροφοριακά Συστήματα Εργαστήριο - ΕΠΛ003 Εισαγωγή στην Επιστήμη της Πληροφορικής και Πληροφοριακά Συστήματα Εργαστήριο

Διαβάστε περισσότερα

ΟΔΗΓΙΕΣ ΧΕΙΡΙΣΜΟΥ ΠΡΟΓΡΑΜΜΑΤΟΣ DYMO LABEL V.8

ΟΔΗΓΙΕΣ ΧΕΙΡΙΣΜΟΥ ΠΡΟΓΡΑΜΜΑΤΟΣ DYMO LABEL V.8 Β.ΠΑΠΑΚΩΣΤΑ & ΣΙΑ ΟΕ ΕΙΣΑΓΩΓΕΣ ΕΜΠΟΡΙΟ ΕΚΤΥΠΩΤΩΝ ΕΤΙΚΕΤΩΝ & ΑΝΑΛΩΣΙΜΩΝ ΔΕΞΑΜΕΝΗΣ 30, ΜΕΤΑΜΟΡΦΩΣΗ ΑΤΤΙΚΗΣ, ΤΚ:14452 ΑΦΜ: 998587823, Δ.Ο.Υ. : ΝΕΑΣ ΙΩΝΙΑΣ ΤΗΛ: 2102844831 ΦΑΞ: 2102828703 www.sigma-hellas.gr,

Διαβάστε περισσότερα

Ενότητα 17 Εκτύπωση Φύλλων Εργασίας και Γραφικών Παραστάσεων

Ενότητα 17 Εκτύπωση Φύλλων Εργασίας και Γραφικών Παραστάσεων Ενότητα 17 Εκτύπωση Φύλλων Εργασίας και Γραφικών Παραστάσεων 17.1 Το παράθυρο Print Preview Θέλουμε να τυπώσουμε το φύλλο εργασίας μας. Πρέπει όμως να σιγουρευτούμε ότι οι σωστές γραμμές και στήλες θα

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ Σχολή Θετικών Επιστημών και Τεχνολογίας Τμήμα Επιστήμης και Τεχνολογίας Τηλεπικοινωνιών ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ ΕΠΙΚΟΙΝΩΝΙΕΣ ΙI Βασική Θεωρία Εργαστήριο 1 ο : Εισαγωγή στο Simulink

Διαβάστε περισσότερα

ΤΟ MICROSOFT WORD XP. Ας ξεκινήσουμε λοιπόν!

ΤΟ MICROSOFT WORD XP. Ας ξεκινήσουμε λοιπόν! XP ΚΑΡΤΕΛΑ ΕΡΓΑΣΙΑΣ 1 Το Microsoft Word είναι ένα πρόγραμμα στον υπολογιστή που σας βοηθά να γράφετε όμορφα κείμενα στα οποία μπορείτε να προσθέσετε εικόνες, γραφικά ακόμα και ήχους. Aφού γράψετε ένα κείμενο,

Διαβάστε περισσότερα

1. Εγκατάσταση λογισμικού SafeNet Authentication Client

1. Εγκατάσταση λογισμικού SafeNet Authentication Client ΕΓΧΕΙΡΙΔΙΟ ΓΡΗΓΟΡΗΣ ΕΚΚΙΝΗΣΗΣ - WINDOWS 1. Εγκατάσταση λογισμικού SafeNet Authentication Client Πριν χρησιμοποιήσετε το USB token, είναι απαραίτητο να εγκαταστήσετε το λογισμικό SafeNet Authentication

Διαβάστε περισσότερα

ΣΥΝΤΟΜΟ ΕΓΧΕΙΡΙ ΙΟ ΤΟΥ ΛΟΓΙΣΜΙΚΟΥ ΠΡΟΣΟΜΟΙΩΣΗΣ ΚΥΚΛΩΜΑΤΩΝ ΜULTISIM

ΣΥΝΤΟΜΟ ΕΓΧΕΙΡΙ ΙΟ ΤΟΥ ΛΟΓΙΣΜΙΚΟΥ ΠΡΟΣΟΜΟΙΩΣΗΣ ΚΥΚΛΩΜΑΤΩΝ ΜULTISIM ΤΕΙ ΠΕΙΡΑΙΑ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜΗΜΑ ΗΛΕΚΤΡΟΝΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΑ Ι ΣΥΝΤΟΜΟ ΕΓΧΕΙΡΙ ΙΟ ΤΟΥ ΛΟΓΙΣΜΙΚΟΥ ΠΡΟΣΟΜΟΙΩΣΗΣ ΚΥΚΛΩΜΑΤΩΝ ΜULTISIM ΕΑΡΙΝΟ ΕΞΑΜΗΝΟ 2007-08 ΣΥΝΤΟΜΟ ΕΓΧΕΙΡΙ ΙΟ ΤΟΥ ΛΟΓΙΣΜΙΚΟΥ

Διαβάστε περισσότερα

Computing and Information Systems Service. Windows XP

Computing and Information Systems Service. Windows XP Windows XP Όλοι οι υπολογιστές ανοικτής πρόσβασης στο Frederick χρησιµοποιούν Windows (2000 και XP), ένα λειτουργικό σύστηµα µε Γραφικό Περιβάλλον Εργασίας (Graphical User Interface), το οποίο χρησιµοποιεί

Διαβάστε περισσότερα

Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών

Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2010 Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών

Διαβάστε περισσότερα

Εγχειρίδιο διαχείρισης χρηστών και λιστών διανομής για τον Υπεύθυνο Φορέα του Δικτύου "Σύζευξις" -1-

Εγχειρίδιο διαχείρισης χρηστών και λιστών διανομής για τον Υπεύθυνο Φορέα του Δικτύου Σύζευξις -1- -1- 1 Διαχείριση Χρηστών...3 1.1 Υπηρεσίες...5 1.1.1 Δημιουργία νέου χρήστη...6 1.1.2 Αναζήτηση χρήστη...7 1.1.2 Επεξεργασία στοιχείων χρήστη...8 1.1.3 Δημιουργία /Επεξεργασία mailbox plan...10 1.1.4 Ενεργοποίηση

Διαβάστε περισσότερα

ΓΕΝΙΚΟ ΝΟΣΟΚΟΜΕΙΟ ΡΟΔΟΥ ΤΜΗΜΑ ΟΡΓΑΝΩΣΗΣ & ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΦΑΡΜΟΓΗ ΔΙΑΧΕΙΡΙΣΗΣ ΝΟΣΗΛΕΙΑΣ ΑΣΘΕΝΩΝ ΕΓΧΕΙΡΙΔΙΟ ΧΡΗΣΗΣ ΕΚΔΟΣΗ 1.0

ΓΕΝΙΚΟ ΝΟΣΟΚΟΜΕΙΟ ΡΟΔΟΥ ΤΜΗΜΑ ΟΡΓΑΝΩΣΗΣ & ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΦΑΡΜΟΓΗ ΔΙΑΧΕΙΡΙΣΗΣ ΝΟΣΗΛΕΙΑΣ ΑΣΘΕΝΩΝ ΕΓΧΕΙΡΙΔΙΟ ΧΡΗΣΗΣ ΕΚΔΟΣΗ 1.0 ΓΕΝΙΚΟ ΝΟΣΟΚΟΜΕΙΟ ΡΟΔΟΥ ΤΜΗΜΑ ΟΡΓΑΝΩΣΗΣ & ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΦΑΡΜΟΓΗ ΔΙΑΧΕΙΡΙΣΗΣ ΝΟΣΗΛΕΙΑΣ ΑΣΘΕΝΩΝ ΕΓΧΕΙΡΙΔΙΟ ΧΡΗΣΗΣ ΕΚΔΟΣΗ 1.0 2012 Τµήµα Οργάνωσης & Πληροφορικής - 1 - ΠΙΝΑΚΑΣ ΠΕΡΙΕΧΟΜΕΝΩΝ ΠΙΝΑΚΑΣ ΠΕΡΙΕΧΟΜΕΝΩΝ

Διαβάστε περισσότερα

Οδηγίες Χρήσης της MySQL

Οδηγίες Χρήσης της MySQL ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΕΙΡΑΙΩΣ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΣΗΜΕΙΩΣΕΙΣ ΣΕ ΒΑΣΕΙΣ ΔΕΔΟΜΕΝΩΝ Οδηγίες Χρήσης της MySQL Διδάσκων: Γιάννης Θεοδωρίδης Συντάκτης Κειμένου: Βαγγέλης Κατσικάρος Νοέμβριος 2007 1 Περιεχόμενα Εισαγωγή...2

Διαβάστε περισσότερα

Εγχειρίδιο Χρήσης V3.0

Εγχειρίδιο Χρήσης V3.0 ΕΦΑΡΜΟΓΗ ΔΙΑΧΕΙΡΙΣΗΣ ΠΕΡΙΕΧΟΜΕΝΟΥ Εγχειρίδιο Χρήσης V3.0 Πίνακας Περιεχομένων: 1. Σύνδεση με την συσκευή 3 1.1 Σύνδεση μέσω Wi-Fi Direct.... 3 1.2 Ενσύρματη σύνδεση (LAN) 3 1.3 Ασύρματη σύνδεση (WiFi).

Διαβάστε περισσότερα

Περιεχόμενα. Λίγα λόγια από το συγγραφέα... 7 Κεφάλαιο 1: Windows XP Κεφάλαιο 2: Επιφάνεια εργασίας (desktop)... 15

Περιεχόμενα. Λίγα λόγια από το συγγραφέα... 7 Κεφάλαιο 1: Windows XP Κεφάλαιο 2: Επιφάνεια εργασίας (desktop)... 15 Περιεχόμενα Λίγα λόγια από το συγγραφέα... 7 Κεφάλαιο 1: Windows XP... 9 Κεφάλαιο 2: Επιφάνεια εργασίας (desktop)... 15 Κεφάλαιο 3: Γραμμή εργασιών (taskbar)... 26 Κεφάλαιο 4: Χειρισμός παραθύρων... 44

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ ΤΜΗΜΑ ΜΗΧΑΝΟΛΟΓΩΝ & ΑΕΡΟΝΑΥΠΗΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΤΑΣΚΕΥΑΣΤΙΚΟΣ ΤΟΜΕΑΣ ΕΚΠΑΙΔΕΥΤΙΚΟ ΠΑΡΑΔΕΙΓΜΑ 2

ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ ΤΜΗΜΑ ΜΗΧΑΝΟΛΟΓΩΝ & ΑΕΡΟΝΑΥΠΗΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΤΑΣΚΕΥΑΣΤΙΚΟΣ ΤΟΜΕΑΣ ΕΚΠΑΙΔΕΥΤΙΚΟ ΠΑΡΑΔΕΙΓΜΑ 2 ΒΗΜΑ 1. Άνοιγμα προτύπου (template) οριζόντιου Α3 (που δίδεται με την εκφώνηση της άσκησης), εισαγωγή των στοιχείων μας στο υπάρχον υπόμνημα και αποθήκευση του προτύπου με τα προσωπικά μας δεδομένα (αυτό

Διαβάστε περισσότερα

Πως θα κατασκευάσω το πρώτο πρόγραμμα;

Πως θα κατασκευάσω το πρώτο πρόγραμμα; Εργαστήριο Δομημένος Προγραμματισμός (C#) Τμήμα Μηχανολογίας Νικόλαος Ζ. Ζάχαρης Καθηγητής Εφαρμογών Σκοπός Να γίνει εξοικείωση το μαθητών με τον ΗΥ και το λειτουργικό σύστημα. - Επίδειξη του My Computer

Διαβάστε περισσότερα

2. Κάντε κλικ στο παράθυρο όψης Top για να το ενεργοποιήσετε, ώστε να σχεδιάσετε το πάτωµα του δωµατίου.

2. Κάντε κλικ στο παράθυρο όψης Top για να το ενεργοποιήσετε, ώστε να σχεδιάσετε το πάτωµα του δωµατίου. Άσκηση 7 Σύνθετα Αντικείµενα Στόχος της άσκησης Στόχος της παρούσας άσκησης είναι η εξοικείωση µε τη δηµιουργία σύνθετων αντικειµένων που δηµιουργούνται από τον συνδυασµό δύο ή περισσότερων τρισδιάστατων

Διαβάστε περισσότερα

Εργαστήριο του Μαθήματος: ΕΠΛ 001: Εισαγωγή στην Επιστήμη της Πληροφορικής

Εργαστήριο του Μαθήματος: ΕΠΛ 001: Εισαγωγή στην Επιστήμη της Πληροφορικής Εργαστήριο του Μαθήματος: ΕΠΛ 001: Εισαγωγή στην Επιστήμη της Πληροφορικής Λίγα λόγια για το Εργαστήριο Ώρες Εργαστηρίου: Email: katerina.tortouri@outlook.com, ktorto01@cs.ucy.ac.cy Ιστοσελίδα: http://epl001lab.weebly.com/

Διαβάστε περισσότερα

Mendeley - εισαγωγή βιβλιογραφικών παραπομπών στον κειμενογράφο

Mendeley - εισαγωγή βιβλιογραφικών παραπομπών στον κειμενογράφο Mendeley - εισαγωγή βιβλιογραφικών παραπομπών στον κειμενογράφο Περιεχόμενα Εισαγωγή... 1 0. Εγκατάσταση του Plug-in... 2 Στο MS Word... 2 Στο LibreOffice... 3 1. Εισαγωγή Βιβλιογραφικών Αναφορών στον

Διαβάστε περισσότερα

Υπηρεσία Πληροφορικών Συστημάτων Τομέας Συστημάτων

Υπηρεσία Πληροφορικών Συστημάτων Τομέας Συστημάτων Υπηρεσία Πληροφορικών Συστημάτων Τομέας Συστημάτων Οδηγός σύνδεσης Office Outlook 2013 με την Υπηρεσία Ηλεκτρονικού Ταχυδρομείου μέσω Zimbra Outlook Connector Υπηρεσία Πληροφορικών Συστημάτων Τομέας Συστημάτων

Διαβάστε περισσότερα

ΟΔΗΓΙΕΣ ΕΓΚΑΤΑΣΤΑΣΗΣ & ΧΡΗΣΗΣ ΟΛΟΚΛΗΡΩΜΕΝΟΥ ΠΕΡΙΒΑΛΛΟΝΤΟΣ ΑΝΑΠΤΥΞΗΣ BloodShed Dev-C++

ΟΔΗΓΙΕΣ ΕΓΚΑΤΑΣΤΑΣΗΣ & ΧΡΗΣΗΣ ΟΛΟΚΛΗΡΩΜΕΝΟΥ ΠΕΡΙΒΑΛΛΟΝΤΟΣ ΑΝΑΠΤΥΞΗΣ BloodShed Dev-C++ ΟΔΗΓΙΕΣ ΕΓΚΑΤΑΣΤΑΣΗΣ & ΧΡΗΣΗΣ ΟΛΟΚΛΗΡΩΜΕΝΟΥ ΠΕΡΙΒΑΛΛΟΝΤΟΣ ΑΝΑΠΤΥΞΗΣ BloodShed Dev-C++ Α. ΠΡΙΝ ΤΗΝ ΕΓΚΑΤΑΣΤΑΣΗ Το πρόγραμμα BloodShed Dev-C++ είναι ένα ολοκληρωμένο περιβάλλον ανάπτυξης* κώδικα για γλώσσες

Διαβάστε περισσότερα

Εθνική Πύλη ermis.gov.gr

Εθνική Πύλη ermis.gov.gr Εθνική Πύλη ermis.gov.gr Έκδοση και εγκατάσταση ψηφιακών πιστοποιητικών χαλαρής αποθήκευσης Έκδοση 1.1 Εγκατάσταση Ψηφιακών Πιστοποιητικών Χαλαρής Αποθήκευσης σελίδα 1 / 31 Πίνακας Περιεχομένων 1. ΕΙΣΑΓΩΓΗ

Διαβάστε περισσότερα

2. ΞΕΚΙΝΩΝΤΑΣ ΤΟ PSPICE

2. ΞΕΚΙΝΩΝΤΑΣ ΤΟ PSPICE 2. ΞΕΚΙΝΩΝΤΑΣ ΤΟ PSPICE Για την εκκίνηση του πακέτου εξοµοίωσης PSpice 9.1. (Student Version) είναι απαραίτητη η εκτέλεση του αρχείου Capture.exe. Αυτό κατά κανόνα βρίσκεται στο φάκελο όπου είναι εγκατεστηµένο

Διαβάστε περισσότερα