HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 1-3)

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 1-3)"

Transcript

1 HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων Διδάσκων: Ιούλιος Γεωργίου Βοηθοί Διδασκαλίας: Ανδρέου Χαράλαμπος Παναγιώτα Δημοσθένους ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 1-3) Οδηγίες: 1. Με το πέρας των εργαστηρίων του Cadence 1-3 πρέπει να καλέσετε τον καθηγητή ή ένα από τους βοηθούς διδασκαλίας για να υπογράψει στο τέλος αυτού του εγχειριδίου. Για την υπογραφή απαιτείται να του δείξετε στον υπολογιστή (Cadence) τις σχεδιάσεις σας καθώς και τα αποτελέσματα που προέκυψαν από αυτές. Όποιος δεν παραδώσει τις υπογραφές μαζί με την αναφορά θα θεωρείται ότι δεν έχει κάνει το εργαστήριο. 2. Συμπεριλάβετε όλα τα αποτελέσματα και επεξηγήσεις αναλύσεις των αποτελεσμάτων του εργαστηρίου στην αναφορά σας. Η αναφορά πρέπει να παραδοθεί ηλεκτρονικά (μέσω ) στη/ον βοηθό διδασκαλίας μέχρι τα μεσάνυχτα, της ημέρας του εργαστηρίου 3 του Cadence. Στην αναφορά πρέπει να παρατίθενται όλα τα αποτελέσματα των εργαστηριακών ασκήσεων και σχόλια- επεξηγήσεις που να αποδεικνύουν πλήρη κατανόηση των ασκήσεων καθώς και διασύνδεση των αποτελεσμάτων με σημαντικά στοιχεία από την θεωρία (ΗΜΥ 305). Οι αναφορές πρέπει να είναι ουσιώδης και όχι μακροσκελής χωρίς ουσία. Οι αντιγραφές απαγορεύονται και αν εντοπιστούν θα έχουν ως συνέπεια τον μηδενισμό της εργαστηριακής άσκησης όλων των εμπλεκομένων. To CADENCE είναι ένα εργαλείο σχεδίασης και προσομοίωσης αναλογικών, ψηφιακών και μικτού σήματος κυκλωμάτων. Στο εργαστήριο αυτό θα ασχοληθούμε κυρίως με την σχεδίαση και προσομοίωση αναλογικών κυκλωματικών διατάξεων. Βασικές εντολές Linux Terminal: mkdir Directory Name: Δημιουργία καινούριου αρχείου cd Directory Name: Είσοδος στο αρχείο ls: Εμφανίζει το περιεχόμενο του τρέχοντος αρχείου 1

2 less File Name: Εμφανίζει το περιεχόμενο του φακέλου man ls: Help rm: Αφαίρεση ενός φακέλου rmdir: Αφαίρεση ενός αρχείου μόνο όταν είναι άδειο cd.. : Έξοδος από ένα αρχείο Γενικές Πληροφορίες: 1. Στην περίπτωση που σε οποιοδήποτε στάδιο εμφανιστεί το μήνυμα Next License τότε επιλέγουμε Yes ή Always για να συνεχίσουμε. Bindkeys: Esc: Ακυρώνει την τελευταία εντολή. Ctrl + d: Ακύρωση τελευταίας επιλογής αντικειμένου. I: Insert Instance w: wire p: insert pin m: move c: copy s:stretch z: zoom q: properties (προεπιλεγμένο στοιχείο) 2

3 Πρόσβαση στο Περιβάλλον Linux μέσω Virtual Machine Windows! Desktop! Ανοίξτε το VMWARE Player! Open a Virtual Machine Ανοίξτε το αρχείο ECE/virtualmachine/cadence/centOS5- PythVM Επιλέξτε Play Virtual Machine Όταν το CentOS φορτώσει, εισάγετε το όνομα χρήστη και τον κωδικό σας για να αποκτήσετε πρόσβαση. Με το πέρας του εργαστηρίου πρέπει να κλείνετε το Virtual Machine. Προετοιμασία περιβάλλοντος εργασίας για την εκκίνηση του CADENCE στο CentOS 1. Desktop Δεξί κλικ Open Terminal Για την δημιουργία φακέλου εργασίας και την εκκίνηση του CADENCE, ανοίγουμε ένα Terminal και γράφουμε στο παράθυρο Terminal τα εξής: pwd Enter (αν το path που εμφανίζεται είναι /u/ece/username τότε συνεχίστε με την επόμενη εντολή) mkdir cadence Enter (Δημιουργία directory cadence) cd cadence Enter (Είσοδος στο directory cadence) mkdir LAB_1-3 Enter cd LAB_1-3 Enter cadence Enter (αρχικοποίηση) cadence ams35v4.10 Enter (επιλογή τεχνολογίας χυτηρίου) cadence Enter (εκκίνηση Cadence) Για επανεκκίνηση του Cadence στον ίδιο φάκελο εργασίας (δεν χρειάζεται αρχικοποίηση και επιλογή χυτηρίου): cd cadence Enter cd LAB_1-3 Enter cadence Enter (εκκίνηση Cadence) 3

4 2. To περιβάλλον εργασίας του CADENCE έχει ενεργοποιηθεί Εικόνα 1 3. Στο παράθυρο Select Process Option επιλέγουμε την τεχνολογία C35B4C3 όπως φαίνεται στην εικόνα 1 ΟΚ 4. Στο περιβάλλον εργασίας του CADENCE υπάρχουν δύο βασικά παράθυρα: I. Το Virtuoso αποτελεί το κύριο παράθυρο του Cadence που ελέγχει όλα τα υπόλοιπα, και στο οποίο καταγράφονται όλες οι διεργασίες που εκτελούνται. **Αν αυτό κλείσει τότε κλείνουν όλα τα υπόλοιπα παράθυρα του Cadence!** Είναι σημαντικό να παρακολουθούμε αυτό το παράθυρο, γιατί εδώ δίνονται αναλυτικές πληροφορίες σε σχέση με τις διεργασίες και τυχόν λάθη. II. To Library Manager, στο οποίο είναι καταχωρημένες όλες οι βιβλιοθήκες της τρέχουσας τεχνολογίας, οι βιβλιοθήκες γενικής χρήσης, ως επίσης και βιβλιοθήκες οι οποίες έχουν δημιουργηθεί από τον ίδιο τον χρήστη εκ τον προτέρων. 4

5 Δημιουργία καινούργιας βιβλιοθήκης εργασίας Library Manager (Virtuoso Tools Library Manager) 1. File New Library 2. Στο πεδίο Name ορίζουμε το όνομα της βιβλιοθήκης που θέλουμε να δημιουργήσουμε Name: mylib OK 3. Technology File for New Library: Attach to an existing technology library OK 4. Attach Library to Technology Library: Επιλέγουμε TECH_C35B4 OK 5. Στο Library Manager στην στήλη Library θα βρούμε τη βιβλιοθήκη που μόλις δημιουργήσαμε Στο Library Manager συμπεριλαμβάνονται δύο βιβλιοθήκες που θα χρησιμοποιήσουμε στα πλαίσια αυτού του εργαστηρίου η PRIMLIB και η analoglib. PRIMLIB: Περιέχει διάφορα στοιχεία της τεχνολογίας που χρησιμοποιούμε π.χ. τρανζίστορ, πυκνωτές, αντιστάσεις.. κτλ. Τα στοιχεία αυτά είναι μοντέλα στοιχείων που έχουν κατασκευαστεί στην συγκεκριμένη τεχνολογία. Μπορούμε να προσομοιώσουμε ακόμα και κατασκευαστικές ατέλειες. AnalogLib: Περιέχει διάφορα στοιχεία γενικής χρήσης που δεν υπάγονται σε κάποια συγκεκριμένη τεχνολογία. Αυτά τα στοιχεία είναι ιδανικά και δεν μπορούν να κατασκευαστούν. Η χρήση τους είναι για σκοπούς δοκιμής. Μερικά από τα στοιχεία αυτά είναι η γεννήτρια σημάτων, παλμών, τάσης τροφοδοσίας, κτλ. Δημιουργία καινούργιου Cell 1. Επιλέγουμε τη βιβλιοθήκη mylib από το Library Manager 2. Library Manager: File New Cell View 3. Στο πεδίο Cell ορίζουμε το όνομα του cell που θέλουμε να δημιουργήσουμε Cell όπως φαίνεται στην εικόνα 2: mos_physics OK 5

6 Εικόνα 2 4. Εμφανίζεται ο Virtuoso Schematic Editor Δημιουργία Σχηματικού στο Schematic Editor 1. Create Instance (Εμφάνιση του παραθύρου Add Instance ) 2. Add Instance: Browse (Εμφάνιση του παραθύρου Library Browse- Add Instance) όπως φαίνεται στην εικόνα Library Browse- Add Instance: Από τη στήλη Library επιλέγουμε την PRIMLIB Από τη στήλη Cell επιλέγουμε nmos4 Από τη στήλη View επιλέγουμε symbol Close 6

7 Εικόνα 3 4. Εισάγεται τις διαστάσεις του τρανζίστορ (W,L) όπως φαίνεται στη εικόνα 4. Εικόνα 4 7

8 5. Τοποθετήστε το τρανζίστορ στο Schematic Editor με αριστερό κλικ 6. Για επαναπροσδιορισμό των διαστάσεων του στοιχείου το επιλέγουμε και πατούμε Q 7. Create Instance 8. Add Instance: Browse 9. Library Browse - Add Instance: Από τη στήλη Library επιλέγουμε την analoglib Επιλέγουμε το πεδίο Show Categories Από τη στήλη Category επιλέγουμε Sources Globals Από τη στήλη Cell επιλέγουμε vdd Από τη στήλη View επιλέγουμε symbol Close 10. Με τον ίδιο τρόπο προσθέτουμε το gnd (Category: Sources global) και το vdc (Category: Sources Independent) Τα global sources όταν χρησιμοποιηθούν με την ίδια ονομασία (Instance Name) σε πολλαπλά σημεία του κυκλώματος, τότε όλα τα σημεία αυτά είναι εικονικά συνδεδεμένα μεταξύ τους ακόμα και αν δεν υπάρχει φυσική σύνδεση. 11. Χρησιμοποιώντας το W (wire) συνδέουμε τα στοιχεία όπως φαίνεται στην εικόνα 5. Εικόνα Εισάγουμε τιμές τάσης στις δύο πηγές τροφοδοσίας επιλέγοντας την κάθε μία και πατώντας το Q. Στη συνέχεια πληκτρολογούμε την κατάλληλη τιμή στο πεδίο DC voltage. 8

9 13. File Check and Save. Ελέγχουμε το Virtuoso για πιθανά συντακτικά λάθη. Αν εμφανιστεί το μήνυμα Schematic check completed with no errors όπως φαίνεται στην εικόνα 6 τότε μπορούμε να συνεχίσουμε στο επόμενο βήμα, αλλιώς πρέπει να επιλύσουμε τα πιθανά σφάλματα που θα εμφανιστούν πριν συνεχίσουμε. Εικόνα 6 Προσομοιώσεις Κυκλωματικής Διάταξης χρησιμοποιώντας το Virtuoso Analog Design Environment DC Analyses: Προσομοιώνει το κύκλωμα και υπολογίζει τα DC σημεία λειτουργίας (operating points), με είσοδο σταθερές τάσεις και ρεύματα. Virtuoso Schematic Editor: Launch ADE L 1. Virtuoso Analog Design Environment: Analyses Choose 2. Συμπληρώνουμε την φόρμα Choosing Analyses όπως φαίνεται στην εικόνα 7 και επιλέγουμε OK. 9

10 Εικόνα 7 3. Simulation Netlist and Run 4. Ελέγχουμε πάντα για πιθανά λάθη (errors) στο παράθυρο spectre.out που εμφανίζεται μετά την εκτέλεση της προσομοίωσης όπως φαίνεται στην εικόνα 8. Αν η προσομοίωση είναι ανεπιτυχής και υπάρχουν λάθη εντοπίστε τους λόγους στο παράθυρο αυτό και διορθώστε τα πιθανά σφάλματα. 10

11 Εικόνα 8 5. Virtuoso Analog Design Environment: Results Annotate DC Node Voltages. Results Annotate DC Operating Points. Εμφανίζονται τα σημεία λειτουργίας του κυκλώματος όπως φαίνεται στην εικόνα 9. 11

12 Εικόνα 9 6. Συμπεριλάβετε τα αποτελέσματα που βλέπεται καθώς και το κύκλωμα στην αναφορά σας (μπορείτε να χρησιμοποιήσετε το print screen για αποθήκευση των εικόνων). DC Analyses- Sweep Variable: 1. Επιλέγουμε την πηγή V0 Q και στο πεδίο DC voltage γράφουμε VDS OK. Με αυτό τον τρόπο ορίσαμε την τάση αυτή σαν ανεξάρτητη μεταβλητή. 2. Check and Save 3. Virtuoso Schematic Editor: Launch ADE L 4. Virtuoso Analog Design Environment: Variables Copy from Cellview (εμφανίστηκε στο πεδίο Design Variable η μεταβλητή VDS) Κάνουμε διπλό κλικ στη μεταβλητή VDS και ορίζουμε μια αρχική τιμή τάσης στο πεδίο Value (Expr)=1 OK 5. Analyses Choose 6. Συμπληρώνουμε την φόρμα Choosing Analyses όπως φαίνεται στην εικόνα 10 και επιλέγουμε OK. 12

13 Εικόνα 10 Outputs To Be Plotted Select on Schematic με το ποντίκι επιλέγουμε τον κόμβο της υποδοχή (drain) του τρανζίστορ (η εμφάνιση κύκλου στον κόμβο αυτό δηλώνει την επιλογή του ρεύματος υποδοχής σαν την εξαρτημένη μεταβλητή) 7. Simulation Netlist and Run 8. Με την επιτυχή ολοκλήρωση της προσομοίωσης έχουμε την εμφάνιση της γραφικής Id Vs VDS. 9. Επαναλάβετε την ίδια διαδικασία ορίζοντας τώρα σαν ανεξάρτητη μεταβλητή το VGS ώστε τελικά να εξάγεται την γραφική παράσταση Id Vs VGS 10. Για την εξαγωγή της γραφικής παράστασης Log(Id) Vs VGS: Στο παράθυρο της γραφικής παράστασης: Tools Calculator Log10 Επιλέγουμε το εικονίδιο Evaluate the buffer If Waveform, plot όπως φαίνεται στην εικόνα 11. Αλλιώς μπορούμε να κάνουμε το ίδιο απλά επιλέγοντας τον άξονα της γραφικής που θέλουμε να λογαριθμίσουμε και πατώντας το δεξί κλικ στο ποντίκι επιλέγουμε το Log Scale. 13

14 Εικόνα Συμπεριλάβετε στην αναφορά σας τα μέχρι στιγμής αποτελέσματα καθώς και την ανάλυσή τους με βάση την θεωρία. Parametric Analyses: Επαναλάβετε τα βήματα 1-8 της προηγούμενης άσκησης με τις ακόλουθες αλλαγές: 1. Επιλέγουμε την πηγή V1 Q και στο πεδίο DC voltage γράφουμε VGS OK. 2. Check and Save 3. Virtuoso Analog Design Environment: Variables Copy from Cellview 4. Tools Parametric Analyses Στο πεδίο Add Variable επιλέγουμε VGS και συμπληρώνουμε την φόρμα όπως φαίνεται στην εικόνα

15 Εικόνα Analyses Start Selected 6. Συμπεριλάβετε στην αναφορά σας την γραφική παράσταση καθώς και τις παρατηρήσεις σας σχετικά με τα αποτελέσματα. Transient Analyses: Κατά την ανάλυση transient πρώτα υπολογίζονται τα σημεία λειτουργίας στην αρχική κατάσταση (βασιζόμενα στις dc τιμές). Ακολούθως υπολογίζονται όλες οι στιγμιαίες τιμές των τάσεων και ρευμάτων του κυκλώματος ως αποτέλεσμα των χρονικά εξαρτώμενων πηγών τάσεων και ρευμάτων. 1. Virtuoso Analog Design Environment: Αντικαθιστούμε την πηγή τάσης Vdc που είναι συνδεδεμένη με την πύλη του τρανζίστορ με την πηγή Vsin. 2. Ορίζουμε την τάση πόλωσης, την συχνότητα και το πλάτος της Vsin όπως στην εικόνα

16 Εικόνα Check and Save 4. Virtuoso Schematic Editor: Launch ADE L 5. Virtuoso Analog Design Environment: Variables Copy from Cellview Ορίζουμε το VDS=3V 6. Analyses Choose Analysis: tran Stop Time: 5m 7. Outputs To Be Plotted Select on Schematic με το ποντίκι επιλέγουμε τον κόμβο της υποδοχή (drain) του τρανζίστορ για αποτύπωση του ρεύματος ID και αντίστοιχα τον κλάδο της πύλης για αποτύπωση του VGS 8. Netlist and Run 9. Συμπεριλάβετε στην αναφορά σας την γραφική παράσταση και μέσα από αυτήν υπολογίστε την διαγωγιμότητα gm του τρανζίστορ 10. Βελτιώστε το gm Εργασία: Επαναλάβετε τα αντίστοιχα βήματα για ένα PMOS τρανζίστορ και συμπεριλάβετε όλα τα αποτελέσματα και τα συμπεράσματα στην αναφορά σας. Υπογραφή Καθηγητή ή Βοηθού διδασκαλίας: 16

HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 1-3)

HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 1-3) HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων Διδάσκων: Ιούλιος Γεωργίου Βοηθοί Διδασκαλίας: Γιώργος Βαρνάβα - Λούκας Πέτρου ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 1-3) Οδηγίες: 1.

Διαβάστε περισσότερα

HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 1-3)

HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 1-3) HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων Διδάσκων: Ιούλιος Γεωργίου Βοηθοί Διδασκαλίας: Γιώργος Βαρνάβα - Λούκας Πέτρου ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 1-3) Οδηγίες: 1.

Διαβάστε περισσότερα

CADENCE. User Manual

CADENCE. User Manual CADENCE User Manual ΠΕΡΙΕΧΟΜΕΝΑ Αρχικοποίηση Περιβάλλοντος.. 1. Ξεκινώντας το πρόγραμμα Cadence... 1.1 Ανοίγοντας και Χρησιμοποιώντας το Library Manager. 1.2 Δημιουργία μιας Νέας Βιβλιοθήκης... 1.3 Άνοιγμα

Διαβάστε περισσότερα

HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 4-6)

HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 4-6) HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων Διδάσκων: Ιούλιος Γεωργίου Βοηθός Διδασκαλίας: Γιώργος Βαρνάβα ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 4-6) Οδηγίες: Με το πέρας των εργαστηρίων

Διαβάστε περισσότερα

HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 4-6)

HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 4-6) HMY 306 Εργαστήριο Σχεδίασης Ηλεκτρονικών Κυκλωμάτων Διδάσκων: Ιούλιος Γεωργίου Βοηθός Διδασκαλίας: Γιώργος Βαρνάβα - Λούκας Πέτρου ΒΟΗΘΗΤΙΚΕΣ ΟΔΗΓΙΕΣ ΓΙΑ ΤΟ ΕΡΓΑΣΤΗΡΙΟ ΤΟΥ CADENCE (LAB 4-6) Οδηγίες: Φυλάξετε

Διαβάστε περισσότερα

Virtuoso. Εισαγωγή στο. Βοήθημα για το μάθημα των Συστημάτων VLSI. Εργαστήριο Ηλεκτρονικής. Οκτώβριος Διδάσκων : Αλκιβιάδης Χατζόπουλος

Virtuoso. Εισαγωγή στο. Βοήθημα για το μάθημα των Συστημάτων VLSI. Εργαστήριο Ηλεκτρονικής. Οκτώβριος Διδάσκων : Αλκιβιάδης Χατζόπουλος Εργαστήριο Ηλεκτρονικής Εισαγωγή στο Virtuoso Βοήθημα για το μάθημα των Συστημάτων VLSI Οκτώβριος 2014 Διδάσκων : Αλκιβιάδης Χατζόπουλος Επιμέλεια: Θράσος Αξιώτης Νικόλαος Π. Παπαδόπουλος Αναθεώρηση: Καρατζιάς

Διαβάστε περισσότερα

ηµιουργία Αρχείου Πρότζεκτ (.qpf)

ηµιουργία Αρχείου Πρότζεκτ (.qpf) Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Εισαγωγή στο λογισµικό Quartus II v13 web edition 1 ηµιουργία Αρχείου Πρότζεκτ (.qpf) Με την εκκίνηση της εφαρµογής Quartus II v13.0 SP1 web edition, επιλέξτε File

Διαβάστε περισσότερα

Οδηγίες για την εγκατάσταση του πακέτου Cygwin

Οδηγίες για την εγκατάσταση του πακέτου Cygwin Οδηγίες για την εγκατάσταση του πακέτου Cygwin Ακολουθήστε τις οδηγίες που περιγράφονται σε αυτό το file μόνο αν έχετε κάποιο laptop ή desktop PC που τρέχουν κάποιο version των Microsoft Windows. 1) Copy

Διαβάστε περισσότερα

ΜΑΘΗΜΑ 10 Ο ΟΡΓΑΝΩΣΗ ΤΗΣ Β ΓΙΑ ΧΡΗΣΤΕΣ (NON-EXPERTS) Α. ΗΜΙΟΥΡΓΙΑ ΠΙΝΑΚΑ ΕΠΙΛΟΓΩΝ 1. TOOLS DATA UTILITIES SWITCHBOARD MANAGER YES

ΜΑΘΗΜΑ 10 Ο ΟΡΓΑΝΩΣΗ ΤΗΣ Β ΓΙΑ ΧΡΗΣΤΕΣ (NON-EXPERTS) Α. ΗΜΙΟΥΡΓΙΑ ΠΙΝΑΚΑ ΕΠΙΛΟΓΩΝ 1. TOOLS DATA UTILITIES SWITCHBOARD MANAGER YES ΜΑΘΗΜΑ 10 Ο ΟΡΓΑΝΩΣΗ ΤΗΣ Β ΓΙΑ ΧΡΗΣΤΕΣ (NON-EXPERTS) Α. ΗΜΙΟΥΡΓΙΑ ΠΙΝΑΚΑ ΕΠΙΛΟΓΩΝ 1. TOOLS DATA UTILITIES SWITCHBOARD MANAGER YES 2. ΠΑΤΗΣΤΕ EDIT ΑΛΛΑΞΤΕ ΤΟ ΟΝΟΜΑ COMPANY CLOSE 3. ΠΑΤΗΣΤΕ NEW (CREATE NEW)

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 3 η Ο ΑΝΤΙΣΤΡΟΦΕΑΣ CMOS

ΑΣΚΗΣΗ 3 η Ο ΑΝΤΙΣΤΡΟΦΕΑΣ CMOS ΑΣΚΗΣΗ 3 η Ο ΑΝΤΙΣΤΡΟΦΕΑΣ CMOS ΘΕΩΡΙΑ Οι ασκήσεις 3 και 4 αφορούν τον αντιστροφέα CMOS, ο οποίος είναι η απλούστερη αλ α ταυτόχρονα και σημαντικότερη πύλη για την κατανόηση της λειτουργίας των Ολοκληρωμένων

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ

ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ ΘΕΩΡΙΑ 1. Εργαλεία εξομοίωσης, SPICE, αρχεία περιγραφής κυκλωμάτων (netlist) (Παρ. 3.4, σελ 152-155) 2. To transistor ως διακόπτης, πύλη διέλευσης. (Παρ

Διαβάστε περισσότερα

Εφαρμογές Ψηφιακών Ηλεκτρονικών

Εφαρμογές Ψηφιακών Ηλεκτρονικών ΑΣΚΗΣΗ 1 Εφαρμογές Ψηφιακών Ηλεκτρονικών Εργαστήριο Ηλεκτρονικής Τηλεπικοινωνιών και Εφαρμογών, Τμήμα Φυσικής, Πανεπιστήμιο Ιωαννίνων Βασίλης Χριστοφιλάκης 1 ΑΣΚΗΣΗ 1: ΕΙΣΑΓΩΓΗ ΣΤ Η ΨΗΦΙΑΚΗ ΣΧΕΔΙΑΣΗ ΜΕΣΩ

Διαβάστε περισσότερα

KiCad Create new project Eeschema Electronic schematic editor Eeschema Page settings grid Place component

KiCad Create new project Eeschema Electronic schematic editor Eeschema Page settings grid Place component KiCad Από το εικονίδιο του KiCad ανοίγουμε το πρόγραμμα. Στο παράθυρο του προγράμματος εμφανίζεται το δέντρο της εργασίας αριστερά, ο editor της εργασίας δεξιά, ένα μενού εργασιών και εικονίδια στο επάνω

Διαβάστε περισσότερα

Πανεπιστήµιο Θεσσαλίας

Πανεπιστήµιο Θεσσαλίας Πανεπιστήµιο Θεσσαλίας Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών ΗΥ 130 : Ψηφιακή σχεδίαση Βόλος 2015 1 Εισαγωγή Το Multisim είναι ένα ολοκληρωμένο περιβάλλον προσομοίωσης της συμπεριφοράς

Διαβάστε περισσότερα

Εργαστηριακή άσκηση. Κανόνες σχεδίασης και κατασκευαστικές λεπτομέρειες στη σχεδίασης μασκών (layout) και προσομοίωσης κυκλώματος VLSI

Εργαστηριακή άσκηση. Κανόνες σχεδίασης και κατασκευαστικές λεπτομέρειες στη σχεδίασης μασκών (layout) και προσομοίωσης κυκλώματος VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

ΕΓΚΑΤΑΣΤΑΣΗ ΤΟΥ ΠΡΟΓΡΑΜΜΑΤΟΣ ΔΗΜΙΟΥΡΓΙΑ ΑΡΧΕΙΟΥ ΠΡΟΣΘΗΚΗ ΒΙΒΛΙΟΘΗΚΩΝ

ΕΓΚΑΤΑΣΤΑΣΗ ΤΟΥ ΠΡΟΓΡΑΜΜΑΤΟΣ ΔΗΜΙΟΥΡΓΙΑ ΑΡΧΕΙΟΥ ΠΡΟΣΘΗΚΗ ΒΙΒΛΙΟΘΗΚΩΝ ΕΓΚΑΤΑΣΤΑΣΗ ΤΟΥ ΠΡΟΓΡΑΜΜΑΤΟΣ ΜΑΖΙ ΜΕ ΤΟ ΒΙΒΛΙΟ ΤΟΥ SEDRA-SMITH ΔΙΝΕΤΑΙ ΕΝΑ CD ΠΟΥ ΠΕΡΙΕΧΕΙ ΤΟ ΠΡΟΓΡΑΜΜΑ PSPICE, ΑΝΟΙΓΟΥΜΕ ΤΟ CD (ΑΝΟΙΓΜΑ, ΟΧΙ ΑΥΤΟΜΑΤΗ ΕΚΤΕΛΕΣΗ) ΠΗΓΑΙΝΟΥΜΕ ΣΤΟ ΦΑΚΕΛΟ ORCAD ΚΑΙ ΕΚΤΕΛΟΥΜΕ

Διαβάστε περισσότερα

Hase οδηγίες χρήσης.

Hase οδηγίες χρήσης. Hase οδηγίες χρήσης. Το Hase είναι ένα πρόγραμμα προσομοίωσης που έχει αναπτυχθεί στο πανεπιστήμιο του Εδιμβούργου (http://www.icsa.inf.ed.ac.uk/research/groups/hase/) και μπορεί να χρησιμοποιηθεί για

Διαβάστε περισσότερα

Αντικειμενοστρεφής Προγραμματισμός

Αντικειμενοστρεφής Προγραμματισμός Αντικειμενοστρεφής Προγραμματισμός Διδάσκουσα: Αναπλ. Καθηγήτρια Ανδριάνα Πρέντζα aprentza@unipi.gr Εργαστηριακός Συνεργάτης: Δρ. Βασιλική Κούφη vassok@unipi.gr 1 Δομημένος προγραμματισμός έναντι αντικειμενοστρεφούς

Διαβάστε περισσότερα

Hase οδηγίες χρήσης.

Hase οδηγίες χρήσης. Hase οδηγίες χρήσης. Το Hase είναι ένα πρόγραμμα προσομοίωσης που έχει αναπτυχθεί στο πανεπιστήμιο του Εδιμβούργου (http://www.icsa.inf.ed.ac.uk/research/groups/hase/) και μπορεί να χρησιμοποιηθεί για

Διαβάστε περισσότερα

SPSS Statistical Package for the Social Sciences

SPSS Statistical Package for the Social Sciences SPSS Statistical Package for the Social Sciences Ξεκινώντας την εφαρμογή Εισαγωγή εδομένων Ορισμός Μεταβλητών Εισαγωγή περίπτωσης και μεταβλητής ιαγραφή περιπτώσεων ή και μεταβλητών ΣΤΑΤΙΣΤΙΚΗ Αθανάσιος

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΜΟΣ ΙΣΤΟΣΕΛΙΔΑΣ ΜΕ ΤΗ ΧΡΗΣΗ "ΠΡΟΤΥΠΟΥ ΙΣΤΟΣΕΛΙΔΑΣ" (TEMPLATE) ΣΤΟ ΠΡΟΓΡΑΜΜΑ MICROSOFT OFFICE SHAREPOINT DESIGNER 2007

ΣΧΕΔΙΑΣΜΟΣ ΙΣΤΟΣΕΛΙΔΑΣ ΜΕ ΤΗ ΧΡΗΣΗ ΠΡΟΤΥΠΟΥ ΙΣΤΟΣΕΛΙΔΑΣ (TEMPLATE) ΣΤΟ ΠΡΟΓΡΑΜΜΑ MICROSOFT OFFICE SHAREPOINT DESIGNER 2007 ΣΧΕΔΙΑΣΜΟΣ ΙΣΤΟΣΕΛΙΔΑΣ ΜΕ ΤΗ ΧΡΗΣΗ "ΠΡΟΤΥΠΟΥ ΙΣΤΟΣΕΛΙΔΑΣ" (TEMPLATE) ΣΤΟ ΠΡΟΓΡΑΜΜΑ MICROSOFT OFFICE SHAREPOINT DESIGNER 2007 Ο σχεδιασμός ιστοσελίδας με τη χρήση του προγράμματος Microsoft Office SharePoint

Διαβάστε περισσότερα

Προσομείωση ασύρματων δικτύων με τη χρήση του OPNET Modeler

Προσομείωση ασύρματων δικτύων με τη χρήση του OPNET Modeler Προσομείωση ασύρματων δικτύων με τη χρήση του OPNET Modeler ΣΚΟΠΟΙ Σε αυτήν την άσκηση: Θα φτιάξουμε μικρά ασύρματα δίκτυα Θα επιλέξουμε ποια δεδομένα θα συλλέξουμε Θα τρέξουμε την προσομείωση Θα αναλύσουμε

Διαβάστε περισσότερα

ΒΑΣΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ

ΒΑΣΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ Εργαστήριο Τεχνολογίας Υλικού & Αρχιτεκτονικής Υπολογιστών ΒΑΣΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ 4.1 MOS Τρανζίστορ ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ ΙV ΤΟ MOS ΤΡΑΝΖΙΣΤΟΡ 4.1.1 Εισαγωγή: Αντικείµενο της εργαστηριακής

Διαβάστε περισσότερα

Προτεινόμενες εργασίες Προγραμματισμού Διαδικτύου

Προτεινόμενες εργασίες Προγραμματισμού Διαδικτύου Προτεινόμενες εργασίες Προγραμματισμού Διαδικτύου Ιωάννης Γ. Τσούλος Εργασία Πρώτη - Αριθμομηχανή Με την χρήση του περιβάλλοντος AWT ή του SWING θα πρέπει να δημιουργηθεί αριθμομηχανή για την εκτέλεση

Διαβάστε περισσότερα

ΕΘΝΙΚΟ ΚΑΙ ΚΑΠΟΔΙΣΤΡΙΑΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΘΗΝΩΝ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΠΡΟΓΡΑΜΜΑ ΜΕΤΑΠΤΥΧΙΑΚΩΝ ΣΠΟΥΔΩΝ

ΕΘΝΙΚΟ ΚΑΙ ΚΑΠΟΔΙΣΤΡΙΑΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΘΗΝΩΝ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΠΡΟΓΡΑΜΜΑ ΜΕΤΑΠΤΥΧΙΑΚΩΝ ΣΠΟΥΔΩΝ ΕΘΝΙΚΟ ΚΑΙ ΚΑΠΟΔΙΣΤΡΙΑΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΘΗΝΩΝ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΠΡΟΓΡΑΜΜΑ ΜΕΤΑΠΤΥΧΙΑΚΩΝ ΣΠΟΥΔΩΝ Οδηγός Εργαστηρίου για το Μεταπτυχιακό Μάθημα Τεχνολογία Διοίκησης

Διαβάστε περισσότερα

Δημιουργία μιας εφαρμογής Java με το NetBeans

Δημιουργία μιας εφαρμογής Java με το NetBeans Δημιουργία μιας εφαρμογής Java με το NetBeans Για να δημιουργήσετε μια εφαρμογή Java πρέπει να ακολουθήσετε τα εξής βήματα : Αρχικά πρέπει να δημιουργηθεί ένα project το οποίο θα περιέχει όλα τα αρχεία

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΜΟΣ ΙΣΤΟΣΕΛΙΔΑΣ ΜΕ ΤΗ ΧΡΗΣΗ ΠΡΟΤΥΠΟΥ ΙΣΤΟΣΕΛΙΔΑΣ (TEMPLATE) ΣΤΟ ΠΡΟΓΡΑΜΜΑ MICROSOFT OFFICE SHAREPOINT DESIGNER 2007

ΣΧΕΔΙΑΣΜΟΣ ΙΣΤΟΣΕΛΙΔΑΣ ΜΕ ΤΗ ΧΡΗΣΗ ΠΡΟΤΥΠΟΥ ΙΣΤΟΣΕΛΙΔΑΣ (TEMPLATE) ΣΤΟ ΠΡΟΓΡΑΜΜΑ MICROSOFT OFFICE SHAREPOINT DESIGNER 2007 ΣΧΕΔΙΑΣΜΟΣ ΙΣΤΟΣΕΛΙΔΑΣ ΜΕ ΤΗ ΧΡΗΣΗ ΠΡΟΤΥΠΟΥ ΙΣΤΟΣΕΛΙΔΑΣ (TEMPLATE) ΣΤΟ ΠΡΟΓΡΑΜΜΑ MICROSOFT OFFICE SHAREPOINT DESIGNER 2007 Ο σχεδιασμός ιστοσελίδας με τη χρήση του προγράμματος Microsoft Office SharePoint

Διαβάστε περισσότερα

ΠΡΟΓΡΑΜΜΑΤΙΣΤΙΚΕΣ ΤΕΧΝΙΚΕΣ Σύντομη εισαγωγή στο εργαστήριο

ΠΡΟΓΡΑΜΜΑΤΙΣΤΙΚΕΣ ΤΕΧΝΙΚΕΣ Σύντομη εισαγωγή στο εργαστήριο ΠΡΟΓΡΑΜΜΑΤΙΣΤΙΚΕΣ ΤΕΧΝΙΚΕΣ Σύντομη εισαγωγή στο εργαστήριο Στο φετινό εργαστήριο του μαθήματος, έχετε τη δυνατότητα να δουλέψετε σε 2 περιβάλλοντα. Ένα σε περιβάλλον UNIX μέσω απομακρυσμένης σύνδεσης σε

Διαβάστε περισσότερα

Οδηγίες εγκατάστασης και χρήσης Java σε προσωπικό υπολογιστή

Οδηγίες εγκατάστασης και χρήσης Java σε προσωπικό υπολογιστή Οδηγίες εγκατάστασης και χρήσης Java σε προσωπικό υπολογιστή Οι οδηγίες που ακολουθούν περιγράφουν την εγκατάσταση και τη χρήση (compile & run) της Java για τον προσωπικό σας υπολογιστή. Windows (10, 8.1,

Διαβάστε περισσότερα

Επικοινωνιακή Διάταξη Σημείου-προς-Σημείο

Επικοινωνιακή Διάταξη Σημείου-προς-Σημείο Εργαστήριο 1 ΑΤΕΙ ΘΕΣΣΑΛΟΝΙΚΗΣ - ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΜΑΘΗΜΑ: ΤΗΛΕΠΙΚΟΙΝΩΝΙΕΣ ΚΑΙ ΔΙΚΤΥΑ Η/Υ Επικοινωνιακή Διάταξη Σημείου-προς-Σημείο Στόχος Σε αυτό το εισαγωγικό εργαστήριο μελετάται ο τρόπος

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (10 η σειρά διαφανειών)

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (10 η σειρά διαφανειών) ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Δρ. Δ. Λαμπάκης (10 η σειρά διαφανειών) Σχεδιασμός και Προσομοίωση Βασικών Κυκλωμάτων Τεχνολογίας CMOS Με βάση το εργαλείο σχεδιασμού Microwind Σκοπός: η

Διαβάστε περισσότερα

1 η Εργαστηριακή Άσκηση MATLAB Εισαγωγή

1 η Εργαστηριακή Άσκηση MATLAB Εισαγωγή ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΗΠΕΙΡΟΥ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Τ.Ε. Εργαστήριο Επεξεργασία Εικόνας & Βίντεο 1 η Εργαστηριακή Άσκηση MATLAB Εισαγωγή Νικόλαος Γιαννακέας Άρτα 2018 1 Εισαγωγή Το Matlab

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 5. Matlab GUI για FWSVM και Global SVM

ΚΕΦΑΛΑΙΟ 5. Matlab GUI για FWSVM και Global SVM ΚΕΦΑΛΑΙΟ 5 Matlab GUI για FWSVM και Global SVM Προκειμένου να γίνουν οι πειραματικές προσομοιώσεις του κεφαλαίου 4, αναπτύξαμε ένα γραφικό περιβάλλον (Graphical User Interface) που εξασφαλίζει την εύκολη

Διαβάστε περισσότερα

Αυτόματος διακόπτης αλλαγής φοράς περιστροφής εναλλασσόμενου τριφασικού κινητήρα βραχυκυκλωμένου δρομέα με έλεγχο PLC

Αυτόματος διακόπτης αλλαγής φοράς περιστροφής εναλλασσόμενου τριφασικού κινητήρα βραχυκυκλωμένου δρομέα με έλεγχο PLC Αυτόματος διακόπτης αλλαγής φοράς περιστροφής εναλλασσόμενου τριφασικού κινητήρα βραχυκυκλωμένου δρομέα με έλεγχο PLC 1 Απαραίτητα εξαρτήματα Για την πραγματοποίηση της άσκησης είναι απαραίτητα τα εξής

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA)

ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA) ΑΣΚΗΣΗ 4 η ΕΙΣΑΓΩΓΗ ΣΤΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕ ΧΡΗΣΗ Η/Υ (QUARTUS II ALTERA) ΣΧΕΔΙΑΣΗ ΚΥΚΛΩΜΑΤΟΣ ΜΕ ΧΡΗΣΗ ΣΧΗΜΑΤΙΚΟΥ ΔΙΑΓΡΑΜΜΑΤΟΣ Σκοπός της άσκησης είναι η εξοικείωση των σπουδαστών με το εργαλείο σχεδιασμού

Διαβάστε περισσότερα

ΜΑΘΗΜΑ Άνοιγμα Της Εφαρμογής Επεξεργασίας Κειμένου. 2. Κύρια Οθόνη Της Εφαρμογής Κειμένου ΣΤΟΧΟΙ:

ΜΑΘΗΜΑ Άνοιγμα Της Εφαρμογής Επεξεργασίας Κειμένου. 2. Κύρια Οθόνη Της Εφαρμογής Κειμένου ΣΤΟΧΟΙ: ΜΑΘΗΜΑ 1 ΣΤΟΧΟΙ: 1. Άνοιγμα Της Εφαρμογής Επεξεργασίας Κειμένου (Microsoft Word) 2. Κύρια Οθόνη Της Εφαρμογής Κειμένου 3. Δημιουργία Νέου Εγγράφου 4. Δημιουργία Εγγράφου Βασισμένο Σε Πρότυπο 5. Κλείσιμο

Διαβάστε περισσότερα

Καθορισμός μεταβλητών και εισαγωγή δεδομένων

Καθορισμός μεταβλητών και εισαγωγή δεδομένων Καθορισμός μεταβλητών και εισαγωγή δεδομένων Καθορισμός μεταβλητών (variables) Το πρώτο βήμα κατά την εισαγωγή των δεδομένων είναι η δημιουργία των μεταβλητών. Ανοίγοντας το στατιστικό πρόγραμμα SPSS 12

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΑ ΛΟΓΙΣΜΙΚΟΥ

ΤΕΧΝΟΛΟΓΙΑ ΛΟΓΙΣΜΙΚΟΥ ΤΕΧΝΟΛΟΓΙΑ ΛΟΓΙΣΜΙΚΟΥ ΟΔΗΓΙΕΣ ΕΓΚΑΤΑΣΤΑΣΗΣ ΕΦΑΡΜΟΓΩΝ JAIN-SIP-PROXY και SIP-COMMUNICATOR Εισαγωγή Αυτές οι οδηγίες περιγράφουν τα βήματα για να εγκαταστήσουμε τις εφαρμογές JAIN- SIP-Proxy και SIP-Communicator.

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ 3: Προγραμματιστικά Περιβάλλοντα και το Πρώτο Πρόγραμμα C

ΕΡΓΑΣΤΗΡΙΟ 3: Προγραμματιστικά Περιβάλλοντα και το Πρώτο Πρόγραμμα C ΕΡΓΑΣΤΗΡΙΟ 3: Προγραμματιστικά Περιβάλλοντα και το Πρώτο Πρόγραμμα C Στο εργαστήριο αυτό, θα ασχοληθούμε με δύο προγραμματιστικά περιβάλλοντα της γλώσσας C, το Dev-C++, το οποίο είναι εφαρμογή που τρέχει

Διαβάστε περισσότερα

Microsoft PowerPoint 2010 Πανεπιστήμιο Κύπρου

Microsoft PowerPoint 2010 Πανεπιστήμιο Κύπρου Microsoft PowerPoint 2010 Πανεπιστήμιο Κύπρου Ιούλιος 2017 Copyright 2017 Πανεπιστήμιο Κύπρου. Όλα τα πνευματικά δικαιώματα κατοχυρωμένα. Δημιουργός: Λευτέρης Γ. Ζαχαρία Πίνακας Περιεχομένων 1. Εισαγωγή....

Διαβάστε περισσότερα

Εργαστήριο Java. Διδάσκουσα: Εργαστηριακοί Συνεργάτες:

Εργαστήριο Java. Διδάσκουσα: Εργαστηριακοί Συνεργάτες: Εργαστήριο Java Διδάσκουσα: Πρέντζα Ανδριάνα aprentza@unipi.gr Εργαστηριακοί Συνεργάτες: Γεωργιοπούλου Ρούλα Λύβας Χρήστος roulageorio@ssl-unipi.gr clyvas@unipi.gr Εργαστήρια Δευτέρα 18:00-20:00,Τρίτη

Διαβάστε περισσότερα

Οδηγός εγκατάστασης προγράμματος TestDrive του Υ.Μ.Ε.

Οδηγός εγκατάστασης προγράμματος TestDrive του Υ.Μ.Ε. [Επιλέξτε ημερομηνία] Οδηγός εγκατάστασης προγράμματος TestDrive του Υ.Μ.Ε. Για Windows Xp Κουϊρουκίδης Γεράσιμος Συντάκτης: Κουϊρουκίδης Γεράσιμος Οδηγός Εγκατάστασης προγράμματος TestDrive του Υ.Μ.Ε.

Διαβάστε περισσότερα

2. ΞΕΚΙΝΩΝΤΑΣ ΤΟ PSPICE

2. ΞΕΚΙΝΩΝΤΑΣ ΤΟ PSPICE 2. ΞΕΚΙΝΩΝΤΑΣ ΤΟ PSPICE Για την εκκίνηση του πακέτου εξοµοίωσης PSpice 9.1. (Student Version) είναι απαραίτητη η εκτέλεση του αρχείου Capture.exe. Αυτό κατά κανόνα βρίσκεται στο φάκελο όπου είναι εγκατεστηµένο

Διαβάστε περισσότερα

Ηλεκτρολογικό Σχέδιο στο AutoCAD

Ηλεκτρολογικό Σχέδιο στο AutoCAD Ηλεκτρολογικό Σχέδιο στο AutoCAD Δημιουργία Παλέτας Ηλεκτρολογικών Στοιχείων, Σχεδίαση σε Επίπεδα ΣΧΕΔΙΑΣΗ ΣΤΟΙΧΕΙΩΝ Αρχικά σχεδιάζουμε τα στοιχεία που θέλουμε να εισάγουμε στην παλέτα. Καλό να βρίσκονται

Διαβάστε περισσότερα

PRISMA WIN APPLICATION SERVER. ιαχείριση υπηρεσιών στοιχείων (COM+) Οδηγός διαχείρισης δικαιωµάτων πρόσβασης & εκκίνησης PRISMA Win Application Server

PRISMA WIN APPLICATION SERVER. ιαχείριση υπηρεσιών στοιχείων (COM+) Οδηγός διαχείρισης δικαιωµάτων πρόσβασης & εκκίνησης PRISMA Win Application Server PRISMA WIN APPLICATION SERVER ιαχείριση υπηρεσιών στοιχείων (COM+) Οδηγός διαχείρισης δικαιωµάτων πρόσβασης & εκκίνησης PRISMA Win Application Server Πέντε βασικά βήµατα για τη ρύθµιση δικαιωµάτων πρόσβασης

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ VLSI. Δρ. ΕΥΣΤΑΘΙΟΣ ΚΥΡΙΑΚΗΣ-ΜΠΙΤΖΑΡΟΣ ΑΝΑΠΛΗΡΩΤΗΣ ΚΑΘΗΓΗΤΗΣ

ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ VLSI. Δρ. ΕΥΣΤΑΘΙΟΣ ΚΥΡΙΑΚΗΣ-ΜΠΙΤΖΑΡΟΣ ΑΝΑΠΛΗΡΩΤΗΣ ΚΑΘΗΓΗΤΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ VLSI Δρ. ΕΥΣΤΑΘΙΟΣ ΚΥΡΙΑΚΗΣ-ΜΠΙΤΖΑΡΟΣ ΑΝΑΠΛΗΡΩΤΗΣ ΚΑΘΗΓΗΤΗΣ ΤΜΗΜΑ ΗΛΕΚΤΡΟΝΙΚΗΣ ΤΕΙ ΠΕΙΡΑΙΑ ΦΕΒΡΟΥΑΡΙΟΣ 2011 ΠΡΟΛΟΓΟΣ Στο φυλλάδιο αυτό περιλαμβάνονται οι ασκήσεις του Eργαστηρίου

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ - VLSI Ενότητα: Το Τρανζίστορ Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. 1 Άδειες Χρήσης

Διαβάστε περισσότερα

Προπαρασκευαστική παρουσίαση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Quartus II

Προπαρασκευαστική παρουσίαση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Quartus II TMHMA ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΗΜΥ 211-2010 Εισαγωγή Προπαρασκευαστική παρουσίαση για το Εργαστήριο ΗΜΥ 211 και το λογισμικό Altera Quartus II Στο εργαστήριο

Διαβάστε περισσότερα

Εγχειρίδιο διαχείρισης χρηστών και λιστών διανομής για τον Υπεύθυνο Φορέα του Δικτύου "Σύζευξις" -1-

Εγχειρίδιο διαχείρισης χρηστών και λιστών διανομής για τον Υπεύθυνο Φορέα του Δικτύου Σύζευξις -1- -1- 1 Διαχείριση Χρηστών...3 1.1 Υπηρεσίες...5 1.1.1 Δημιουργία νέου χρήστη...6 1.1.2 Αναζήτηση χρήστη...7 1.1.2 Επεξεργασία στοιχείων χρήστη...8 1.1.3 Δημιουργία /Επεξεργασία mailbox plan...10 1.1.4 Ενεργοποίηση

Διαβάστε περισσότερα

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

Προγραμματισμός Ι. Εργαστήριο 1 ο Περιβάλλον Εργασίας. Νικόλαος Δ. Τσελίκας Κυριακή Θ. Σεκλού

Προγραμματισμός Ι. Εργαστήριο 1 ο Περιβάλλον Εργασίας. Νικόλαος Δ. Τσελίκας Κυριακή Θ. Σεκλού Προγραμματισμός Ι Εργαστήριο 1 ο Περιβάλλον Εργασίας Νικόλαος Δ. Τσελίκας ntsel@uop.gr Κυριακή Θ. Σεκλού kseklou@uop.gr Εργαστήριο στον Προγραμματισμό Ι - Τμήμα Πληροφορικής και Τηλεπικοινωνιών - Πανεπιστήμιο

Διαβάστε περισσότερα

ΣΥΝΤΟΜΟ ΕΓΧΕΙΡΙ ΙΟ ΤΟΥ ΛΟΓΙΣΜΙΚΟΥ ΠΡΟΣΟΜΟΙΩΣΗΣ ΚΥΚΛΩΜΑΤΩΝ ΜULTISIM

ΣΥΝΤΟΜΟ ΕΓΧΕΙΡΙ ΙΟ ΤΟΥ ΛΟΓΙΣΜΙΚΟΥ ΠΡΟΣΟΜΟΙΩΣΗΣ ΚΥΚΛΩΜΑΤΩΝ ΜULTISIM ΤΕΙ ΠΕΙΡΑΙΑ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜΗΜΑ ΗΛΕΚΤΡΟΝΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΑ Ι ΣΥΝΤΟΜΟ ΕΓΧΕΙΡΙ ΙΟ ΤΟΥ ΛΟΓΙΣΜΙΚΟΥ ΠΡΟΣΟΜΟΙΩΣΗΣ ΚΥΚΛΩΜΑΤΩΝ ΜULTISIM ΕΑΡΙΝΟ ΕΞΑΜΗΝΟ 2007-08 ΣΥΝΤΟΜΟ ΕΓΧΕΙΡΙ ΙΟ ΤΟΥ ΛΟΓΙΣΜΙΚΟΥ

Διαβάστε περισσότερα

ΙΚΤΥΑ ΕΠΙΚΟΙΝΩΝΙΩΝ Εργαστηριακή Άσκηση 1

ΙΚΤΥΑ ΕΠΙΚΟΙΝΩΝΙΩΝ Εργαστηριακή Άσκηση 1 ΙΚΤΥΑ ΕΠΙΚΟΙΝΩΝΙΩΝ Εργαστηριακή Άσκηση 1 1. Εισαγωγή στο Network Simulator v2 (NS2) Το NS2 (Network Simulator version 2) είναι ένα πρόγραμμα για προσομοιώσεις δικτύων που διατίθεται δωρεάν. Ο δικτυακός

Διαβάστε περισσότερα

Κέντρο υποστήριξης HP. Εισαγωγή. Τι χρειάζεται να γνωρίζετε. Λήψη και εγκατάσταση της λύσης Vista στα Windows 8. 1 of 5 1/7/2014 2:09 μμ

Κέντρο υποστήριξης HP. Εισαγωγή. Τι χρειάζεται να γνωρίζετε. Λήψη και εγκατάσταση της λύσης Vista στα Windows 8. 1 of 5 1/7/2014 2:09 μμ http://h2056wwwhp.com/portal/site/hpsc/template.page/public/psi... 1 of 5 1/7/2014 2:09 μμ Για το σπίτι Για την επιχείρηση Υποστήριξη Είσοδος Εγγραφή Βοήθεια ιστότοπου HP Color LaserJet 3600 Printer series

Διαβάστε περισσότερα

ΗΛΕΚΤΡΙΚΑ ΚΥΚΛΩΜΑΤΑ Φάση 1 η Γνωριμία με το λογισμικό Tina Pro: ΛΟΓΙΣΜΙΚΟ ΣΧΕΔΙΑΣΗΣ ΠΡΟΣΟΜΟΙΩΣΗΣ Χρόνος υλοποίησης: 15

ΗΛΕΚΤΡΙΚΑ ΚΥΚΛΩΜΑΤΑ Φάση 1 η Γνωριμία με το λογισμικό Tina Pro: ΛΟΓΙΣΜΙΚΟ ΣΧΕΔΙΑΣΗΣ ΠΡΟΣΟΜΟΙΩΣΗΣ Χρόνος υλοποίησης: 15 Δημήτριος Καβαλιέρος Msc. Ηλεκτρολόγος Μηχανικός www.jimkava.com & jimch70@gmail.com ΗΛΕΚΤΡΙΚΑ ΚΥΚΛΩΜΑΤΑ Φάση 1 η Γνωριμία με το λογισμικό Tina Pro: ΛΟΓΙΣΜΙΚΟ ΣΧΕΔΙΑΣΗΣ ΠΡΟΣΟΜΟΙΩΣΗΣ Χρόνος υλοποίησης:

Διαβάστε περισσότερα

ΜΑΘΗΜΑ Άνοιγμα Της Εφαρμογής Υπολογιστικών Φύλλων. 2. Κύρια Οθόνη Της Εφαρμογής Υπολογιστικών Φύλλων ΣΤΟΧΟΙ:

ΜΑΘΗΜΑ Άνοιγμα Της Εφαρμογής Υπολογιστικών Φύλλων. 2. Κύρια Οθόνη Της Εφαρμογής Υπολογιστικών Φύλλων ΣΤΟΧΟΙ: ΜΑΘΗΜΑ 1 ΣΤΟΧΟΙ: 1. Άνοιγμα Της Εφαρμογής Υπολογιστικών Φύλλων (Microsoft Excel) 2. Κύρια Οθόνη Της Εφαρμογής Υπολογιστικών Φύλλων 3. Δημιουργία Νέου Υπολογιστικού Φύλλου 4. Δημιουργία Υπολογιστικού Φύλλου

Διαβάστε περισσότερα

Active Directory Users and Computers. Τεχνικός Δικτύων & Τηλεπικοινωνιών - Διαχείριση Δικτύων ΙΙ

Active Directory Users and Computers. Τεχνικός Δικτύων & Τηλεπικοινωνιών - Διαχείριση Δικτύων ΙΙ Active Directory Users and Computers Τεχνικός Δικτύων & Τηλεπικοινωνιών - Διαχείριση Δικτύων ΙΙ Active Directory Users and Computers console Η κονσόλα Active Directory Users and Computers είναι το κύριο

Διαβάστε περισσότερα

Εργαστηριακή Άσκηση 1

Εργαστηριακή Άσκηση 1 Εργαστηριακή Άσκηση 1 1. Εισαγωγή στο Network Simulator v2 (NS2) Το NS2 (Network Simulator version 2) είναι ένα δωρεάν διαθέσιμο πρόγραμμα για προσομοιώσεις δικτύων. Ο δικτυακός τόπος της ομάδας εξέλιξης

Διαβάστε περισσότερα

Εισαγωγή στο περιβάλλον Code::Blocks

Εισαγωγή στο περιβάλλον Code::Blocks Εισαγωγή στο περιβάλλον Code::Blocks Στο παρόν εγχειρίδιο παρουσιάζεται η διαδικασία ανάπτυξης, μεταγλώττισης και εκτέλεσης ενός προγράμματος C στο περιβάλλον του Code::Blocks. Η διαδικασία αυτή παρουσιάζεται

Διαβάστε περισσότερα

Εγχειρίδιο Χρήσης Ενημέρωσης Λογισμικού Bluetooth Windows 7

Εγχειρίδιο Χρήσης Ενημέρωσης Λογισμικού Bluetooth Windows 7 Εγχειρίδιο Χρήσης Ενημέρωσης Λογισμικού Bluetooth Windows 7 Ισχύει για προϊόντα από το 2012 και μετά CDE-13xBT & CDE-W235BT & CDA-137BTi Αυτό το εγχειρίδιο περιγράφει τα βήματα που απαιτούνται για την

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΥΠΗΡΕΣΙΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΥΠΟΔΟΜΗΣ ΟΔΗΓΟΣ ΧΡΗΣΗΣ ΤΗΣ ΥΠΗΡΕΣΙΑΣ ΤΗΛΕΟΜΟΙΟΤΥΠΟΥ (FAX) ΜΕΣΩ ΤΗΣ ΔΙΑΔΙΚΤΥΑΚΗΣ ΕΦΑΡΜΟΓΗΣ WEBUTIL

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΥΠΗΡΕΣΙΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΥΠΟΔΟΜΗΣ ΟΔΗΓΟΣ ΧΡΗΣΗΣ ΤΗΣ ΥΠΗΡΕΣΙΑΣ ΤΗΛΕΟΜΟΙΟΤΥΠΟΥ (FAX) ΜΕΣΩ ΤΗΣ ΔΙΑΔΙΚΤΥΑΚΗΣ ΕΦΑΡΜΟΓΗΣ WEBUTIL ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΥΠΗΡΕΣΙΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΥΠΟΔΟΜΗΣ ΟΔΗΓΟΣ ΧΡΗΣΗΣ ΤΗΣ ΥΠΗΡΕΣΙΑΣ ΤΗΛΕΟΜΟΙΟΤΥΠΟΥ (FAX) ΜΕΣΩ ΤΗΣ ΔΙΑΔΙΚΤΥΑΚΗΣ ΕΦΑΡΜΟΓΗΣ WEBUTIL ΥΠΗΡΕΣΙΑ ΠΛΗΡΟΦΟΡΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΥΠΣ-ΕΔ/97 11/01/2017 Περιεχόμενα

Διαβάστε περισσότερα

Οδηγός Σύνδεσης στο Ασύρματο Δίκτυο cs-wifi του Τμήματος Πληροφορικής του Πανεπιστημίου Κύπρου για Windows 7, CentOS 6, Mac OS, IOS, και Android

Οδηγός Σύνδεσης στο Ασύρματο Δίκτυο cs-wifi του Τμήματος Πληροφορικής του Πανεπιστημίου Κύπρου για Windows 7, CentOS 6, Mac OS, IOS, και Android 4 Δεκεμβρίου 2012 Οδηγός Σύνδεσης στο Ασύρματο Δίκτυο cs-wifi του Τμήματος Πληροφορικής του Πανεπιστημίου Κύπρου για Windows 7, CentOS Πανεπιστήμιο Κύπρου, Τμήμα Πληροφορικής Σάββας Νικηφόρου Version 0.1

Διαβάστε περισσότερα

1. Εγκατάσταση λογισμικού SafeNet Authentication Client

1. Εγκατάσταση λογισμικού SafeNet Authentication Client ΕΓΧΕΙΡΙΔΙΟ ΓΡΗΓΟΡΗΣ ΕΚΚΙΝΗΣΗΣ MAC 1. Εγκατάσταση λογισμικού SafeNet Authentication Client Πριν χρησιμοποιήσετε το USB token, είναι απαραίτητο να εγκαταστήσετε το λογισμικό SafeNet Authentication Client

Διαβάστε περισσότερα

(3) Να επιλέξουμε Microsoft Access. (2) Να σύρουμε το ποντίκι στην επιλογή All Programs. Δημιουργία Πινάκων στην ACCESS 1

(3) Να επιλέξουμε Microsoft Access. (2) Να σύρουμε το ποντίκι στην επιλογή All Programs. Δημιουργία Πινάκων στην ACCESS 1 ΕΙΣΑΓΩΓΗ ΣΤΗΝ ACCESS Δημιουργία Πίνακα στην ACCESS Για να δημιουργήσουμε ένα πίνακα σε Ηλεκτρονική μορφή θα πρέπει πρώτα να τον σχεδιάσουμε. Η σχεδίαση ενός πίνακα αρχίζει με τον καθορισμό των πεδίων.

Διαβάστε περισσότερα

Αντιγραφή με χρήση της γυάλινης επιφάνειας σάρωσης

Αντιγραφή με χρήση της γυάλινης επιφάνειας σάρωσης Γρήγορη αναφορά Αντιγραφή Δημιουργία αντιγράφων Γρήγορη δημιουργία αντιγράφου 3 Στον πίνακα ελέγχου του εκτυπωτή πατήστε το κουμπί αντίγραφο 4 Εάν τοποθετήσατε το έγγραφο στη γυάλινη επιφάνεια σάρωσης

Διαβάστε περισσότερα

ΗΜΥ 213 Εργαστήριο Οργάνωσης Υπολογιστών και Μικροεπεξεργαστών

ΗΜΥ 213 Εργαστήριο Οργάνωσης Υπολογιστών και Μικροεπεξεργαστών ΗΜΥ 213 Εργαστήριο Οργάνωσης Υπολογιστών και Μικροεπεξεργαστών Διδάσκων: Γιώργος Ζάγγουλος Email: zaggoulos.george@ucy.ac.cy www.ece.ucy.ac.cy/courses/ece213 Συμβόλαιο Μαθήματος Στόχος Μαθήματος Να προσφέρει

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΥΛΟΠΟΙΗΣΗ ΕΚΠΑΙΔΕΥΤΙΚΟΥ ΛΟΓΙΣΜΙΚΟΥ «ΗΛΕΚΤΡΟΝΙΚΟΣ» ΓΙΑ ΤΙΣ ΑΝΑΓΚΕΣ ΤΗΣ ΤΕΧΝΙΚΗΣ ΕΠΑΓΓΕΛΜΑΤΙΚΗΣ ΕΚΠΑΙΔΕΥΣΗΣ.

ΣΧΕΔΙΑΣΗ ΥΛΟΠΟΙΗΣΗ ΕΚΠΑΙΔΕΥΤΙΚΟΥ ΛΟΓΙΣΜΙΚΟΥ «ΗΛΕΚΤΡΟΝΙΚΟΣ» ΓΙΑ ΤΙΣ ΑΝΑΓΚΕΣ ΤΗΣ ΤΕΧΝΙΚΗΣ ΕΠΑΓΓΕΛΜΑΤΙΚΗΣ ΕΚΠΑΙΔΕΥΣΗΣ. 478 ΣΧΕΔΙΑΣΗ ΥΛΟΠΟΙΗΣΗ ΕΚΠΑΙΔΕΥΤΙΚΟΥ ΛΟΓΙΣΜΙΚΟΥ «ΗΛΕΚΤΡΟΝΙΚΟΣ» ΓΙΑ ΤΙΣ ΑΝΑΓΚΕΣ ΤΗΣ ΤΕΧΝΙΚΗΣ ΕΠΑΓΓΕΛΜΑΤΙΚΗΣ ΕΚΠΑΙΔΕΥΣΗΣ. Γλάρος Ιωάννης ΤΕ 01 Ηλεκτρονικός Εκπαιδευτικός Δ.Ε http://3tee-rodou.dod.sch.gr

Διαβάστε περισσότερα

Εισαγωγή στην Επιστήμη της Πληροφορικής Εργαστήριο. UNIX Μέρος 1

Εισαγωγή στην Επιστήμη της Πληροφορικής Εργαστήριο. UNIX Μέρος 1 Πανεπιστήμιο Κύπρου Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη της Πληροφορικής και Πληροφοριακά Συστήματα Εργαστήριο - ΕΠΛ003 Εισαγωγή στην Επιστήμη της Πληροφορικής Εργαστήριο UNIX Μέρος 1 Παναγιώτης

Διαβάστε περισσότερα

Λογισμικά για Στατιστική Ανάλυση. Minitab, R (ελεύθερο λογισμικό), Sas, S-Plus, Stata, StatGraphics, Mathematica (εξειδικευμένο λογισμικό για

Λογισμικά για Στατιστική Ανάλυση. Minitab, R (ελεύθερο λογισμικό), Sas, S-Plus, Stata, StatGraphics, Mathematica (εξειδικευμένο λογισμικό για ΒΙΟΣΤΑΤΙΣΤΙΚΗ Εργαστήριο 1ο Τι είναι το SPSS; Statistical Package for the Social Sciences Λογισμικό για διαχείριση και στατιστική ανάλυση δεδομένων σε γραφικό περιβάλλον http://en.wikipedia.org/wiki/spss

Διαβάστε περισσότερα

XnetTrader: ΟΔΗΓΙΕΣ ΓΙΑ ΤΗΝ ΕΝΕΡΓΟΠΟΙΗΣΗ ΤΗΣ ΕΦΑΡΜΟΓΗΣ σε ΔΧΣ Τερματικό

XnetTrader: ΟΔΗΓΙΕΣ ΓΙΑ ΤΗΝ ΕΝΕΡΓΟΠΟΙΗΣΗ ΤΗΣ ΕΦΑΡΜΟΓΗΣ σε ΔΧΣ Τερματικό XnetTrader: ΟΔΗΓΙΕΣ ΓΙΑ ΤΗΝ ΕΝΕΡΓΟΠΟΙΗΣΗ ΤΗΣ ΕΦΑΡΜΟΓΗΣ σε ΔΧΣ Τερματικό Έκδοση : 1.1 23/02/2018 Copyright 2018 - Χρηματιστήριο Αθηνών Α.Ε. ΠΙΝΑΚΑΣ ΠΕΡΙΕΧΟΜΕΝΩΝ 1 ΕΙΣΑΓΩΓΗ... 3 1.1 Πρόλογος... 3 1.2 Σκοπός...

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ - VLSI Ενότητα: Ο Αντιστροφέας CMOS Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. 1 Άδειες

Διαβάστε περισσότερα

Συνοπτικό εγχειρίδιο χρήσης του Microsoft Visual Studio 2010

Συνοπτικό εγχειρίδιο χρήσης του Microsoft Visual Studio 2010 Τμήμα Πληροφορικής & Επικοινωνιών Τομέας Υπολογιστικών Τεχνικών & Συστημάτων Συνοπτικό εγχειρίδιο χρήσης του Microsoft Visual Studio 2010 Ιωάννης Γεωργουδάκης - Πάρις Μαστοροκώστας Σεπτέμβριος 2011 ΠΕΡΙΕΧΟΜΕΝΑ

Διαβάστε περισσότερα

1.Puzzle. ΕΠΙΜΕΛΕΙΑ: ΓΕΩΡΓΙΑ ΚΛΩΣΤΡΑΚΗ Σελίδα 1

1.Puzzle. ΕΠΙΜΕΛΕΙΑ: ΓΕΩΡΓΙΑ ΚΛΩΣΤΡΑΚΗ Σελίδα 1 1.Puzzle Μόλις ανοίξω το πρόγραμμα επιλέγω την εντολή Browse. Στη συνέχεια αναζητώ την εικόνα που έχω αποθηκεύσει σε ένα φάκελο (στην επιφάνεια εργασίας ή στα έγγραφά μου ή στο σκληρό μου δίσκο). Αφού

Διαβάστε περισσότερα

Android Studio για Linux

Android Studio για Linux Android Studio για Linux 1. Οδηγίες Εγκατάστασης: 1. Κατεβάστε το Android Studio από εδώ (τελευταία έκδοση 0.4.6, 496 MB): http://developer.android.com/sdk/installing/studio.html 2. Επιλέξτε το πακέτο

Διαβάστε περισσότερα

EAGLE ΕΓΧΕΙΡΙΔΙΟ ΕΓΚΑΤΑΣΤΑΣΗΣ

EAGLE ΕΓΧΕΙΡΙΔΙΟ ΕΓΚΑΤΑΣΤΑΣΗΣ ΥΠΟΥΡΓΕΙΟ ΕΘΝΙΚΗΣ ΠΑΙΔΕΙΑΣ ΚΑΙ ΘΡΗΣΚΕΥΜΑΤΩΝ EAGLE ΕΓΧΕΙΡΙΔΙΟ ΕΓΚΑΤΑΣΤΑΣΗΣ ΠΑΡΑΓΩΓΗ Σας ενημερώνουμε ότι στο πλαίσιο της Πράξης «Επαγγελματικό λογισμικό στην ΤΕΕ: επιμόρφωση και εφαρμογή» λειτουργεί Υπηρεσία

Διαβάστε περισσότερα

1. Εγκατάσταση λογισμικού SafeNet Authentication Client

1. Εγκατάσταση λογισμικού SafeNet Authentication Client ΕΓΧΕΙΡΙΔΙΟ ΓΡΗΓΟΡΗΣ ΕΚΚΙΝΗΣΗΣ - WINDOWS 1. Εγκατάσταση λογισμικού SafeNet Authentication Client Πριν χρησιμοποιήσετε το USB token, είναι απαραίτητο να εγκαταστήσετε το λογισμικό SafeNet Authentication

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Λογισμικό Προσομοίωσης LogiSim καιχρήση KarnaughMaps Διδάσκοντες: Δρ. Αγαθοκλής Παπαδόπουλος & Δρ. Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών

Διαβάστε περισσότερα

ΔΙΑΔΙΚΑΣΙA ΜΕΤΑΦΟΡΑΣ ΥΛΙΚΟΥ ΜΑΘΗΜΑΤΟΣ ΑΠΟ BLACKBOARD VISTA ΣΕ OPEN ECLASS

ΔΙΑΔΙΚΑΣΙA ΜΕΤΑΦΟΡΑΣ ΥΛΙΚΟΥ ΜΑΘΗΜΑΤΟΣ ΑΠΟ BLACKBOARD VISTA ΣΕ OPEN ECLASS ΔΙΑΔΙΚΑΣΙA ΜΕΤΑΦΟΡΑΣ ΥΛΙΚΟΥ ΜΑΘΗΜΑΤΟΣ ΑΠΟ BLACKBOARD VISTA ΣΕ OPEN ECLASS Η διαδικασία μεταφοράς του υλικού ενός μαθήματος από την πλατφόρμα Blackboard Vista στην πλατφόρμα Open eclass σε βήματα είναι

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ Σχολή Θετικών Επιστημών και Τεχνολογίας Τμήμα Επιστήμης και Τεχνολογίας Τηλεπικοινωνιών ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ ΕΠΙΚΟΙΝΩΝΙΕΣ ΙI Βασική Θεωρία Εργαστήριο 1 ο : Εισαγωγή στο Simulink

Διαβάστε περισσότερα

Εισαγωγή στο SPSS. Για την πρώτη σας προσπάθεια να εξοικειωθείτε με το SPSS, σκεφτείτε το παρακάτω πείραμα.

Εισαγωγή στο SPSS. Για την πρώτη σας προσπάθεια να εξοικειωθείτε με το SPSS, σκεφτείτε το παρακάτω πείραμα. Εισαγωγή στο SPSS Παράδειγμα εισαγωγής δεδομένων Για την πρώτη σας προσπάθεια να εξοικειωθείτε με το SPSS, σκεφτείτε το παρακάτω πείραμα. Ένας ψυχολογος ενδιαφέρεται για την επίδραση της διατροφής στη

Διαβάστε περισσότερα

X-Order Client: ΟΔΗΓΙΕΣ ΓΙΑ ΤΗΝ ΕΝΕΡΓΟΠΟΙΗΣΗ ΤΗΣ ΕΦΑΡΜΟΓΗΣ σε ΔΧΣ Τερματικό

X-Order Client: ΟΔΗΓΙΕΣ ΓΙΑ ΤΗΝ ΕΝΕΡΓΟΠΟΙΗΣΗ ΤΗΣ ΕΦΑΡΜΟΓΗΣ σε ΔΧΣ Τερματικό X-Order Client: ΟΔΗΓΙΕΣ ΓΙΑ ΤΗΝ ΕΝΕΡΓΟΠΟΙΗΣΗ ΤΗΣ ΕΦΑΡΜΟΓΗΣ σε ΔΧΣ Τερματικό Έκδοση : 1.4 21/09/2010 Copyright 2010 - Χρηματιστήριο Αθηνών Α.Ε. ΠΙΝΑΚΑΣ ΠΕΡΙΕΧΟΜΕΝΩΝ 1 ΕΙΣΑΓΩΓΗ...2 1.1 Πρόλογος...2 1.2 Σκοπός...2

Διαβάστε περισσότερα

Συστήματα Αυτομάτου Ελέγχου ΙΙ Γιώργος Σούλτης 167

Συστήματα Αυτομάτου Ελέγχου ΙΙ Γιώργος Σούλτης 167 Προσομοίωση πραγματικών συστημάτων στο MATLAB Είδαμε μέχρι τώρα πως μπορούμε να υπολογίσουμε την συνάρτηση μεταφοράς σε πραγματικά συστήματα. Ο υπολογισμός της συνάρτησης μεταφοράς στη ουσία είναι η «γραμμικοποίηση»

Διαβάστε περισσότερα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 1 η Εργαστηριακή Άσκηση Σχεδιασμός και Προσομοίωση Βασικών Κυκλωμάτων Τεχνολογίας CMOS Άδειες Χρήσης Το παρόν υλικό διατίθεται με τους όρους της άδειας χρήσης

Διαβάστε περισσότερα

Οδηγός σύνδεσης στο δίκτυο του ΤΕΠΑΚ μέσα από την υπηρεσία απομακρυσμένης πρόσβασης VPN Τεχνολογικό Πανεπιστήμιο Κύπρου

Οδηγός σύνδεσης στο δίκτυο του ΤΕΠΑΚ μέσα από την υπηρεσία απομακρυσμένης πρόσβασης VPN Τεχνολογικό Πανεπιστήμιο Κύπρου Οδηγός σύνδεσης στο δίκτυο του ΤΕΠΑΚ μέσα από την υπηρεσία απομακρυσμένης πρόσβασης VPN Τεχνολογικό Πανεπιστήμιο Κύπρου Περιεχόμενα Οδηγός σύνδεσης στο δίκτυο του ΤΕΠΑΚ μέσα από την υπηρεσία απομακρυσμένης

Διαβάστε περισσότερα

Πως θα κατασκευάσω το πρώτο πρόγραμμα;

Πως θα κατασκευάσω το πρώτο πρόγραμμα; Εργαστήριο Δομημένος Προγραμματισμός (C#) Τμήμα Μηχανολογίας Νικόλαος Ζ. Ζάχαρης Καθηγητής Εφαρμογών Σκοπός Να γίνει εξοικείωση το μαθητών με τον ΗΥ και το λειτουργικό σύστημα. - Επίδειξη του My Computer

Διαβάστε περισσότερα

Εθνική Πύλη ermis.gov.gr

Εθνική Πύλη ermis.gov.gr Εθνική Πύλη ermis.gov.gr Έκδοση και εγκατάσταση ψηφιακών πιστοποιητικών χαλαρής αποθήκευσης Έκδοση 1.1 Εγκατάσταση Ψηφιακών Πιστοποιητικών Χαλαρής Αποθήκευσης σελίδα 1 / 31 Πίνακας Περιεχομένων 1. ΕΙΣΑΓΩΓΗ

Διαβάστε περισσότερα

Εγκατάσταση του Arduino IDE

Εγκατάσταση του Arduino IDE ΑΣΠΑΙΤΕ Συλλογή και Επεξεργασία Δεδομένων Εργαστήριο ΠΕΡΙΕΧΟΜΕΝΑ: Πώς να κατεβάσετε και να εγκαταστήσετε το Ολοκληρωμένο Περιβάλλον Ανάπτυξης (IDE), για το προγραμματισμό του Arduino. Χρησιμοποιώντας το

Διαβάστε περισσότερα

Εισαγωγή στην Επιστήμη της Πληροφορικής Εργαστήριο. Εισαγωγή - Windows

Εισαγωγή στην Επιστήμη της Πληροφορικής Εργαστήριο. Εισαγωγή - Windows Πανεπιστήμιο Κύπρου Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη της Πληροφορικής Εργαστήριο ΕΠΛ001 Εισαγωγή στην Επιστήμη της Πληροφορικής Εργαστήριο Εισαγωγή - Windows Παναγιώτης Χατζηχριστοδούλου Εισαγωγή

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ

ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ ΘΕΩΡΙΑ Αντικείμενο της άσκησης είναι ο λογικός σχεδιασμός, και η εξομοίωση μίας αριθμητικήςλογικής μονάδας τεσσάρων δυαδικών

Διαβάστε περισσότερα

Προπαρασκευαστική Άσκηση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Max +Plus II

Προπαρασκευαστική Άσκηση. για το Εργαστήριο ΗΜΥ 211. και το λογισμικό Altera Max +Plus II TMHMA ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΗΜΥ 211-2007 Εισαγωγή Προπαρασκευαστική Άσκηση για το Εργαστήριο ΗΜΥ 211 και το λογισμικό Altera Max +Plus II Στο εργαστήριο ΗΜΥ

Διαβάστε περισσότερα

Οδηγός Σύνδεσης στο Ασύρματο Δίκτυο cs-wifi του Τμήματος Πληροφορικής του Πανεπιστημίου Κύπρου για Windows 7

Οδηγός Σύνδεσης στο Ασύρματο Δίκτυο cs-wifi του Τμήματος Πληροφορικής του Πανεπιστημίου Κύπρου για Windows 7 16 Νοεμβρίου 2012 Οδηγός Σύνδεσης στο Ασύρματο Δίκτυο cs-wifi του Τμήματος Πληροφορικής του Πανεπιστημίου Κύπρου για Windows 7 Πανεπιστήμιο Κύπρου, Τμήμα Πληροφορικής Σάββας Νικηφόρου Version Number Πίνακας

Διαβάστε περισσότερα

Σχεδιασμός Αποκωδικοποιητή και υλοποίηση του στο Logisim και στο Quartus. Εισαγωγή στο Logisim

Σχεδιασμός Αποκωδικοποιητή και υλοποίηση του στο Logisim και στο Quartus. Εισαγωγή στο Logisim ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Σχεδιασμός Αποκωδικοποιητή και υλοποίηση του στο Logisim και στο Quartus. Εισαγωγή στο Logisim Διδάσκoντες: Δρ. Γιώργος Ζάγγουλος και Δρ. Παναγιώτα Μ. Δημοσθένους

Διαβάστε περισσότερα

CYGWIN Οδηγίες Χρήσης (Συγγραφέας: Γιώργος ούκας)

CYGWIN Οδηγίες Χρήσης (Συγγραφέας: Γιώργος ούκας) Γενικά CYGWIN Οδηγίες Χρήσης (Συγγραφέας: Γιώργος ούκας) Το cygwin είναι ένα περιβάλλον προσοµοίωσης του UNIX/Linux στα Windows. Παρέχει στον χρήστη την δυνατότητα να δηµιουργεί προγράµµατα κάνοντας χρήση

Διαβάστε περισσότερα

Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών

Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡOY ΗΜΥ 211-2010 Εισαγωγή στις πύλες NAND, NOR και XOR Σχεδιασμός Ελεγκτή Λαμπτήρων με πολλαπλούς διακόπτες και Ανιχνευτή Πρώτων Αριθμών

Διαβάστε περισσότερα

Αρχιτεκτονικές Δικτύων & Πρωτόκολλα Ι

Αρχιτεκτονικές Δικτύων & Πρωτόκολλα Ι Αρχιτεκτονικές Δικτύων & Πρωτόκολλα Ι Ενότητα : Εισαγωγή στο εργαλείο προσομοίωσης δικτύων Riverbed Modeler - Προσομοίωση δικτύου Ethernet. Όνομα Καθηγητή : Δημήτριος Λυμπερόπουλος, Σπύρος Δενάζης Τμήμα

Διαβάστε περισσότερα

Mendeley - εισαγωγή βιβλιογραφικών παραπομπών στον κειμενογράφο

Mendeley - εισαγωγή βιβλιογραφικών παραπομπών στον κειμενογράφο Mendeley - εισαγωγή βιβλιογραφικών παραπομπών στον κειμενογράφο Περιεχόμενα Εισαγωγή... 1 0. Εγκατάσταση του Plug-in... 2 Στο MS Word... 2 Στο LibreOffice... 3 1. Εισαγωγή Βιβλιογραφικών Αναφορών στον

Διαβάστε περισσότερα

Tools, Help.

Tools, Help. Εισαγωγή Το LTspice είναι ένα πρόγραµµα εξοµοίωσης της συµπεριφοράς των ηλεκτρονικών εξαρτηµάτων και κυκλωµάτων. Το πρόγραµµα διατίθεται δωρεάν και µπορείτε να το κατεβάσετε από την παρακάτω ηλεκτρονική

Διαβάστε περισσότερα

Οδηγίες εγκατάστασης και χρήσης του Quartus

Οδηγίες εγκατάστασης και χρήσης του Quartus Εγκατάσταση του Quartus Οδηγίες εγκατάστασης και χρήσης του Quartus Δημήτρης Μαγγίρας, Γιώργος Δημητρακόπουλος 1. Κατεβάζουμε την έκδοση 13.0SP1 από εδώ ακολουθώντας τις οδηγίες που φαίνονται στην εικόνα.

Διαβάστε περισσότερα

BHMATA ΓΙΑ ΑΝΑΒΑΘΜΙΣΗ ΣΤΟ 3S/I.T.P.

BHMATA ΓΙΑ ΑΝΑΒΑΘΜΙΣΗ ΣΤΟ 3S/I.T.P. BHMATA ΓΙΑ ΑΝΑΒΑΘΜΙΣΗ ΣΤΟ 3S/I.T.P. Πριν την έναρξη της διαδικασίας θα θέλαμε να σας ενημερώσουμε ότι η αναβάθμιση διαφέρει σε κάποιες λεπτομέρειες, ανάλογα με το τύπο της βάσης δεδομένων της κάθε χρήσης.

Διαβάστε περισσότερα

Εισαγωγή στη σχεδιαστική ροή της Xilinx

Εισαγωγή στη σχεδιαστική ροή της Xilinx Πανεπιστήμιο Κρήτης, Τμήμα Επιστήμης Υπολογιστών HY220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2014-2015 Εισαγωγή στη σχεδιαστική ροή της Xilinx ISE Design Suite 14.7 Στόχοι.. 1. Δημιουργία project

Διαβάστε περισσότερα