Υ07 Παράλληλα Συστήματα /11/2013 Οργάμωση κοιμής μμήμης (ΙΙ)

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Υ07 Παράλληλα Συστήματα /11/2013 Οργάμωση κοιμής μμήμης (ΙΙ)"

Transcript

1 Υ07 Παράλληλα Συστήματα /11/2013 Οργάμωση κοιμής μμήμης (ΙΙ) Η λειτουργία της μμήμης Β. Δημακόπουλοσ

2 Ιεραρχία μνιμθσ & cache Επεξεργαςτισ: ταχφτατοσ Μνιμθ: αργι (και μάλιςτα θ διαφορά ταχφτθτασ αυξάνεται) Βαςικι λφςθ: κρυφι μνιμθ (cache) ΕΠ ΕΠ Ρολφ γριγορθ, πολφ ακριβι, πολφ μικρι cache Στθρίηεται ςτο γεγονόσ ότι οι εφαρμογζσ διακζτουν χωρικι και χρονικι τοπικότθτα (locality) Ροςοςτά επιτυχίασ (hit rate) 70% 95% δεν είναι ςπάνια Μ Μ Επικοινωνία με επεξεργαςτι: λέξεις Επικοινωνία με μνιμθ: blocks λέξεων >> τοποκετοφνται ςτισ γραμμζσ τθσ cache (cache lines) #2

3 (Κάποια από τα) Ηθτιματα των cache Μζγεκοσ cache vs. μζγεκοσ cache line Τφποσ αποκικευςθσ: Split (ξεχωριςτοί χώροι για αποκικευςθ εντολών / δεδομζνων) Unified (ενιαίοσ χώροσ για όλα) Οργάνωςθ / ςυςχετιςτικότθτα Direct-mapped Set-associative (2- or 4-ways common) Fully associative Ρολιτικζσ αντικατάςταςθσ (replacement) LRU, FIFO, random, (pseudo-lru common) #3

4 Συμπεριφορά ςε αναγνώςεισ (read) Read hit Θ ηθτοφμενθ λζξθ ξεχωρίηει από τθν γραμμι τθσ cache και παραδίδεται ςτον επεξεργαςτι. Read miss Το μπλοκ που περιλαμβάνει τθ ηθτοφμενθ λζξθ προςκομίηεται από τθ μνιμθ, τοποκετείται ςε μία γραμμι τθσ cache και θ λζξθ παραδίδεται ςτον επεξεργαςτι Μεγάλθ κακυςτζρθςθ Hit ration = # προςπελάςεων που ιταν hit / ςυνολικό # προςπελάςεων #4

5 Συμπεριφορά ςε εγγραφζσ 1. Στθν περίπτωςθ που το δεδομζνο υπάρχει ςτθν cache (write hit) Διεγγραφι (write-through): άμεςθ εγγραφι και ςτθν κφρια μνιμθ Υςτεροεγγραφι (write-back): ΔΕΝ ενθμερώνεται θ κφρια μνιμθ Ρώσ / πότε ενθμερώνεται θ κφρια μνιμθ; Μόνο όταν ζρκει θ ώρα να αντικαταςτακεί το τροποποιθμζνο (dirty) δεδομζνο ςτθν cache. Θ υςτεροεγγραφι προτιμάται: δθμιουργεί λιγότερθ κίνθςθ προσ τθ μνιμθ (αλλά επίςθσ και προβλιματα ενθμζρωςθσ ). Βελτίωςθ τθσ διεγγραφισ γίνεται με write-buffers. 2. Στθν περίπτωςθ που το δεδομζνο ΔΕΝ υπάρχει ςτθν cache (write miss) No-allocate: γίνεται εγγραφι κατευκείαν ςτθν κφρια μνιμθ Write-allocate: το δεδομζνο ζρχεται πρώτα ςτθν cache (όπωσ ςτο read) και ςτθ ςυνζχεια τροποποιείται (πιο χρονοβόρο/χωροβόρο αλλά προτιμάται μιασ και ελπίηουμε ότι κα υπάρξει read αργότερα ζτςι κι αλλιώσ) #5

6 Γενίκευςθ ςε πολλαπλά επίπεδα (ιεραρχία caches) Για μείωςθ του κόςτουσ αςτοχίασ (miss) 2-3 επίπεδα από αυξανόμενου μεγζκουσ και μειοφμενου κόςτουσ / ταχφτθτασ caches: ΕΠ I$ D$ L1 cache L2 cache ~ KB, 1-4 cycles, split, write-through ~ 256 KB 8 MB, 6-15 cycles L3 cache ~ 4 32 MB, cycles Μ ~ Gbytes, 100+ cycles #6

7 Inclusion / exclusion Ροφ αποκθκεφεται ζνα δεδομζνο (δθλ. ςε ποιο από όλα τα επίπεδα); Τρεισ βαςικζσ επιλογζσ: Inclusive cache: Θ cache μεγαλφτερου επιπζδου φυλάει ΟΛΕΣ τισ γραμμζσ των μικρότερων επιπζδων. Ρ.χ. L3 cache ςτουσ Intel Nehalem (Xeon 55xx) Exclusive cache: Θ cache μεγαλφτερου επιπζδου δεν φυλάει καμία γραμμι των μικρότερων επιπζδων (άρα κάκε επίπεδο αποκθκεφει εντελώσ διαφορετικά πράγματα). Ρ.χ. L3 cache ςτουσ AMD Shangai (Opteron 238x) Non-Inclusive/Non-exclusive cache: Δεν εξαςφαλίηεται τίποτε από τα δφο παραπάνω. Ρ.χ. θ L2 των Intel Sandy Bridge Ρλεονεκτιματα / μειονεκτιματα; Οι inclusive είναι γενικά πιο εφκολεσ ςτον χειριςμό των misses αλλά απαιτοφν πολφ μεγαλφτερο χώρο (π.χ. θ L2 κα πρζπει ζνα μζροσ τθσ να το αφιερώςει ςτο να κρατά αντίγραφο τθσ L1). Στισ inclusive ακφρωςθ (π.χ. αντικατάςταςθ) ςε μεγαλφτερο επίπεδο απαιτεί ακφρωςθ και ςτα μικρότερα #7

8 Caches ςε παράλλθλα ςυςτιματα κοινισ μνιμθσ Εκτόσ του λόγου τθσ «αργισ» κφριασ μνιμθσ, είναι απαραίτθτεσ διότι επιπλζον: Υπάρχει ςυναγωνιςμόσ επεξεργαςτών ςτισ κοινζσ μνιμεσ Το δίκτυο ςφνδεςθσ επεξεργαςτών-μνθμών ειςάγει επιπλζον κακυςτεριςεισ ΕΠ 1 ΕΠ 2 ΕΠ Ν cache cache cache ΔΙΚΣΤΟ ΔΙΑΤΝΔΕΗ ΕΠΕΞΕΡΓΑΣΩΝ ΜΝΗΜΩΝ Μ 1 Μ 2 Μ Μ #8

9 Το πρόβλθμα Ρρόβλθμα συνοχής εφόςον κάποιοσ μπορεί να τροποποιιςει το δικό του αντίγραφο (cache coherence) ΕΠ 1 ΕΠ 2 ΕΠ Ν cache Χ cache Χ cache ΔΙΚΣΤΟ ΔΙΑΤΝΔΕΗ ΕΠΕΞΕΡΓΑΣΩΝ ΜΝΗΜΩΝ Χ Μ 1 Μ 2 Μ Μ #9

10 Λφςεισ Αποτροπι του προβλιματοσ (δεν αφινουμε το πρόβλθμα να εμφανιςτεί καν): Μόνο με software με ειδικό compiler που διαχωρίηει τα κοινά δεδομζνα και δεν τουσ επιτρζπει να αντιγραφτοφν ςτισ κρυφζσ μνιμεσ Με software και hardware: Ειδικι διάταξθ που λειτουργεί ςε ςυνδυαςμό με τον compiler Το αφινουμε και το λφνουμε κατά τθν εκτζλεςθ, με hardware πρωτόκολλα ςυνοχισ (coherence protocols) πρωτόκολλα παρακολοφκθςθσ (snoopy/snooping protocols) πρωτόκολλα καταλόγων (directory-based protocols) #10

11 Πρωτόκολλα παρακολούθησης (snooping protocols)

12 Ρρωτόκολλα παρακολοφκθςθσ Δφο είδθ: εγγραφισ ενθμζρωςθσ (write-update) εγγραφισ ακφρωςθσ (write-invalidate) Συνικωσ λανκάνουςεσ μνιμεσ με πολιτικι υςτεροεγγραφισ (write-back) Μάλλον (?) εγγραφισ-ακφρωςθσ Μοντζρνεσ CPU (Pentium, UltraSparc, κλπ): όλεσ διακζτουν hardware για πρωτόκολλα παρακολοφκθςθσ (multiprocessorready) #12

13 Ρρωτόκολλο MSI (Write-back caches) Καταςτάςεισ γραμμισ cache: Άκυρθ (Invalid) ι δεν υπάρχει Είτε θ γραμμι δεν υπάρχει ςτθ cache (δθλαδι miss) ι μπορεί να υπάρχει αλλά ζχει ακυρωκεί (γιατί κάποιοσ άλλοσ ηιτθςε να τθν αλλάξει) Μοιραηόμενθ (Shared) Θ γραμμι δεν ζχει αλλαχκεί, επομζνωσ θ κφρια μνιμθ ζχει ενιμερο αντίγραφο Μπορεί να υπάρχουν άλλα αντίγραφα ςε άλλεσ caches Μπορεί και όχι γιατί οι αντικαταςτάςεισ γίνονται «ακόρυβα» Αλλαγμζνθ (Modified) Ζχουμε το μοναδικό ενιμερο αντίγραφο τθσ γραμμισ #13

14 Διάγραμμα καταςτάςεων γραμμισ Συμβολιςμόσ: Γεγονόσ/Ενζργεια PrXX γεγονόσ από τοπικό επεξεργαςτι BusXX γεγονόσ από ι ενζργεια προσ δίαυλο PrRd, PrWr ανάγνωςθ, εγγραφι από τον πυρινα Ραρόμοιο με BusRdX, χωρίσ μεταφορά δεδομζνων BusRd, BusRdX διαδικαςία ανάγνωςθσ, αποκλειςτικισ ανάγνωςθσ ςτο δίαυλο Flush δίνω τθν τιμι τθσ γραμμισ ςτο δίαυλο και ανανεώνεται θ κφρια μνιμθ (Στο δίαυλο δεν φαίνονται τα write των άλλων, παρά μόνο τα flush και Upgr) #14

15 Ρρωτόκολλο MESI ι Illinois Αν διαβάςουμε μια καινοφρια γραμμι και αμζςωσ μετά κζλουμε να τθν αλλάξουμε, χωρίσ να (κζλει να) παραμβλθκεί άλλοσ επεξεργαςτισ Ζχουμε 2 misses / κινιςεισ ςτο δίαυλο μία για να φζρουμε τθ γραμμι για πρώτθ φορά (κατάςταςθ S) και άλλθ μία μόνο για να αλλάξουμε τθ κατάςταςθ ςε M Αυτό γίνεται πολφ ςυχνά και ςίγουρα γίνεται και ςε ςειριακά προγράμματα (τα οποία δε κζλουμε να βαςανίηουμε!) Λφςθ: κρατάμε πλθροφορία αν ζχουμε (διαβάςει) το μοναδικό αντίγραφο τθσ γραμμισ μζχρι τώρα 4 θ κατάςταςθ: αποκλειςτικι (exclusive) Στθν κατάςταςθ αυτι ζχουμε το μοναδικό αντίγραφο και θ κφρια μνιμθ είναι ενθμερωμζνθ #15

16 Διάγραμμα καταςτάςεων Σιμα S ςτον δίαυλο: Αν μια cache ζχει αντίγραφο τθσ γραμμισ κζτει το ςιμα (S) Αν κανείσ δεν το κζςει (S) θ γραμμι είναι αποκλειςτικι Από S -> M δεν υπάρχει κίνθςθ ςτον δίαυλο. Flush δίνω τθν τιμι τθσ γραμμισ ςτο δίαυλο και ανανεώνεται θ κφρια μνιμθ #16

17 Ρρωτόκολλο MOESI Αν μετά τθν αλλαγι μιασ γραμμισ, θ γραμμι χρθςιμοποιθκεί για ανάγνωςθ, πρζπει να γραφτεί ςτθ κφρια μνιμθ flush ζξω από κατάςταςθ M H κφρια μνιμθ είναι γενικά αργι, οπότε ςυμφζρει να κακυςτεριςουμε τθν εγγραφι όςο μποροφμε Χρειαηόμαςτε μια επιπλζον κατάςταςθ όπου θ γραμμι είναι αλλαγμζνθ αλλά υπάρχουν αντίγραφα ςε άλλεσ caches: O owned HOMEWORK!! #17

18 Caches ςε multicores Ενώ ςε multiprocessors κάκε επεξεργαςτισ διακζτει τθν δικι του cache, ςτουσ πολυπφρθνουσ οι πυρινεσ μπορεί να μοιράηονται κάποιεσ από τθν ιεραρχία των caches #18

19 Pentium D Core 2 Duo Ραραδείγματα AMD Bulldozer memory hierarchy #19

20 Ρλεονεκτιματα κοινόχρθςτων cache Μείωςθ χρόνου επικοινωνίασ των πυρινων μζςω τθσ κοινισ cache Επεξεργαςτζσ που δουλεφουν ςε επικαλυπτόμενεσ περιοχζσ δεδομζνων Ο ζνασ μπορεί να φζρει δεδομζνα που ίςωσ χρθςιμοποιιςει κάποιοσ άλλοσ Μειώνεται ο χώροσ που απαιτείται Ταχφτθτα επικοινωνίασ μείωςθ κίνθςθσ ςτο δίαυλο Δυναμικι διαμοίραςθ Αν ζνασ επεξεργαςτισ χρειάηεται λιγότερο χώρο, κάποιοσ άλλοσ μπορεί να πάρει περιςςότερο Δεν υπάρχει κζμα ςυνοχισ ςτθν κοινόχρθςτθ cache Αποφυγι του false sharing #20

21 Μειονεκτιματα κοινόχρθςτθσ cache Ρολλαπλοί πυρινεσ Απαίτθςθ για μεγαλφτερο μζγεκοσ cache (και άρα και πιο αργι) Απαίτθςθ για μεγαλφτερο ρυκμό μεταφοράσ (μιασ και προςπελαφνεται από αρκετζσ cache μικρότερου επιπζδου ταυτόχρονα) Ρροςπζλαςθ από πολλαπλζσ cache μικρότερου επιπζδου => ςυνικωσ crossbar switch => κάποια αφξθςθ κακυςτζρθςθσ προςπζλαςθσ Ρολυπλοκότερθ ςχεδίαςθ Ζνασ πυρινασ μπορεί να είναι «μοναχοφάθσ» και να τθν γεμίηει με δικά του δεδομζνα, προκαλώντασ προβλιματα ςτουσ άλλουσ #21

22 Πρωτόκολλα καταλόγωμ (directory protocols)

23 Ρρωτόκολλα με καταλόγουσ Δεν υπάρχει κοινό μζςο (π.χ. διαςφνδεςθ με διακοπτικά δίκτυα ι ςυςτιματα NUMA που κα δοφμε αργότερα) Άρα αδφνατα / αςφμφορα τα πρωτόκολλα παρακολοφκθςθσ Θ μνιμθ είναι υπεφκυνθ για όλα Κατάλογοσ όπου καταγράφεται ποιεσ cache ζχουν αντίγραφο των δεδομζνων Επικοινωνία για ςυνοχι μόνο με αυτζσ τισ cache Κεντρικόσ κατάλογοσ (κακό) ι Κατανεμθμζνοι κατάλογοι #23

24 Κατανεμθμζνοι κατάλογοι S P P P Data Block D 1 Μ 1 D M Μ Μ Info Data Ρεδία «παρουςίασ» ςτουσ καταλόγουσ Κατανεμθμζνοι κατάλογοι: πλιρεισ (full-map directories) περιοριςμζνοι (limmited directories) αλυςιδωτοί (chained directories) IEEE SCI (Scalable Coherent Interface) ΔΙΚΣΤΟ ΔΙΑΤΝΔΕΗ ΕΠΕΞΕΡΓΑΣΩΝ ΜΝΗΜΩΝ (ΔΔΕΜ) Επεξεργαστές #24

25 Ρλιρεισ κατάλογοι Κατάλογος Block X σε μία μνήμη Μνήμη Κατάλογος Μνήμη C Data C Data Cache 1 Cache 2 read X read X 1 Cache 1 Data Cache 2 Data write X 2 Για ςυςτιματα μεςαίου μεγζκουσ Ρ.χ. 128 cpus block size / cache line = 64 bytes ποιο το μζγεκοσ του directory? Για 1024 cpus? Κατάλογος Μνήμη Κατάλογος Μνήμη D Data C NewData Cache 1 άκσρο Cache 2 NewData Cache 2 NewData Cache 3 NewData (read X) 3 4 #25

26 Μερικοί κατάλογοι Κατάλογος Μνήμη Κατάλογος Μνήμη C 1 2 Data C 3 2 Data Cache 1 Data Cache 2 Data Cache 3 Cache 1 άκσρο Cache 2 Data Cache 3 Data read X (έξωση) 1 2 Για 1024 cpus block size / cache line = 64 bytes Κ = χώροσ για 10 αντίγραφα ποιο το μζγεκοσ του directory? Κ = 5 είναι αρκετόσ χώροσ για να περιορίςει πολφ τισ ςυχνζσ εξώςεισ. #26

27 Αλυςιδωτοί κατάλογοι απλι ςφνδεςθ Στζλνονται τα data και το id τθσ κεφαλισ Κατάλογος Μνήμη Κατάλογος Μνήμη C 3 Data C 2 Data Cache 1 Data Cache 2 Cache 3 Data 1 read X Cache Data Cache 2 Data 3 Cache 3 Data Write hit ςτην cache C: Θ cache C ςτζλνει Write Request ςτθ μνιμθ Θ μνιμθ ςτζλνει πακζτο (Invalidate, C) ςτθν κεφαλι τθσ λίςτασ Το πακζτο ακυρώνει το αντίγραφο και προωκείται ςτον επόμενο κόμβο Ο τελευταίοσ κόμβοσ ςτζλνει Invalidate Acknowledge ςτον C. Replacement ςε μία cache: Θ cache ςτζλνει Invalidate ςτθ μνιμθ Το Invalidate προωκείται και ακυρώνεται όλθ θ αλυςίδα. #27

28 Αλυςιδωτοί κατάλογοι διπλι ςφνδεςθ Ραράδειγμα υλοποίθςθσ: Replacement: Roll out τθσ cache με επικοινωνία με προθγοφμενο + επόμενο κόμβο Write hit: θ cache C πρζπει να πάρει exclusive access Διαβάηει πάλι το δεδομζνο ώςτε να μπει ςτθν κορυφι τθσ λίςτασ Στζλνει purge (invalidation) ςτον επόμενο κόμβο ο οποίοσ ακυρώνει το αντίγραφό του και επιςτρζφει acknowledgement + το id του επόμενου Συνεχίηεται μζχρι να ςτείλει acknowledgement ο τελευταίοσ ςτθ λίςτα Τυπικό παράδειγμα: IEEE Scalable Coherent Interface (SCI) Θα τα ξαναδοφμε όταν μιλιςουμε για μθχανζσ ccnuma #28

29 Συμέπεια μμήμης (memory consistency)

30 Ζνα απλό πρόγραμμα Initially A = B = 0 Process P1 A = 1; /* write(a) */ B = 1; /* write(b) */ Process P2 printf( %d, B); /* read(b) */ printf( %d, A); /* read(a) */ Εκτφπωςθ: 10 (!? Call service?) Αποδεκτζσ εκτυπώςεισ: 00, 11, 11 Το πρωτόκολλο ςυνζπειασ (cache coherency) εξαςφαλίηει ότι τα αντίγραφα μίασ μεταβλθτισ κα είναι πάντα ενθμερωμζνα. Αν τροποποιοφνται δφο άςχετεσ μεταξφ τουσ μεταβλθτζσ; Δεν εξαςφαλίηει πώσ / πότε / με ποια ςειρά γίνονται οι ενθμερώςεισ τουσ (κάκε μία κα είναι τελικά ΟΚ αλλά μζχρι να ενθμερωκοφν τα αντίγραφα τθσ μίασ, μπορεί να ενθμερώνονται ανεξάρτθτα και ταυτόχρονα τθσ άλλθσ). #30

31 Σειρά προγράμματοσ Θζλουμε το read από μία κζςθ μνιμθσ να επιςτρζφει πάντα τθν πιο πρόςφατθ τιμι που ζγινε write εκεί, όχι μία παλιότερθ τιμι. Δεν αρκεί μόνο αυτό όμωσ! Ρρζπει οι προςπελάςεισ ςε διαφορετικζσ κζςεισ να ακολουκοφν μία γενικότερθ ςειρά. Το μοντέλο συνέπεια μνήμης (memory consistency model) κακορίηει τθ ςειρά με τθν οποία οι προςπελάςεισ φαίνονται ςτουσ επεξεργαςτζσ Θ «λογικι» λειτουργία εξαςφαλίηεται από τθν «ακολουκιακι ςυνζπεια» (sequential consistency) #31

32 Ακολουκιακι ςυνζπεια Κάκε CPU ολοκλθρώνει τισ αναφορζσ τθσ ςτθ μνιμθ με τθ ςειρά προγράμματόσ τθσ Πλεσ οι αναφορζσ ςτθ μνιμθ γίνονται αδιαίρετα ατομικότθτα ςειριοποίθςθ CPU 1 CPU 2 CPU N MNHMH #32

33 SC Ικανζσ (όχι αναγκαίεσ) ςυνκικεσ για SC: 1. κάκε νιμα ξεκινάει προςπελάςεισ μνιμθσ με τθ ςειρά του προγράμματοσ 2. όταν ζνα νιμα ξεκινάει μια εγγραφι, το νιμα πρζπει να περιμζνει να ολοκλθρωκεί θ εγγραφι πριν ξεκινιςει τθν επόμενθ προςπζλαςθ 3. όταν ζνα νιμα ξεκινιςει μια ανάγνωςθ, το νιμα πρζπει να περιμζνει να ολοκλθρωκεί θ ανάγνωςθ και θ εγγραφι τθν τιμι τθσ οποίασ θ ανάγνωςθ φζρνει, πριν ξεκινιςει τθν επόμενθ προςπζλαςθ Το πιο λογικό μοντζλο, δουλεφουν όλοι οι αλγόρικμοι ςυγχρονιςμοφ χαμθλοφ επιπζδου, π.χ. αλγόρικμοσ Dekker: Initially A = B = 0 Process P1 A = 1; if (B == 0) <critical section> Process P2 B = 1; if (A == 0) <critical section> #33

34 SC Για να εγγυθκεί τθν ακολουκιακι ςυνζπεια, ζνα παράλλθλο ςφςτθμα δεν μπορεί να χρθςιμοποιεί μια ςειρά από αρχιτεκτονικζσ τεχνικζσ αφξθςθσ απόδοςθσ. Υποχρεωτικά περιοριςμοί ςτθ ςχεδίαςθ CPU και compilers: Most ILP techniques (e.g. pipelining with overlapping memory operations), out-of-order execution, speculative execution etc. Register allocation, subexpression elimination, loop transformations BE CAREFUL: USE: avoid register variables for sensitive data volatile for sensitive variables Λόγω των παραπάνω, υλοποιείται πολφ ςπάνια. Ραράδειγμα: SGI Origin 2000 (helios.cc.uoi.gr) based on MIPS R10000 processors CPU overlaps memory operations (i.e. NON-ATOMIC) but COMPLETES them in program order Memory subsystem guarantees atomicity. Thus machine has SC. #34

35 Τι χάνουμε από τθν SC Υποκζςτε: Ρρωτόκολλο καταλόγων με 5 CPUs να ζχουν αντίγραφο μίασ μεταβλθτισ, και θ CPU 1 κζλει να τθν τροποποιιςει (write) Για ατομικότθτα τθσ εγγραφισ: Θ CPU 1 ηθτά exclusiveness (20 cycles) Θ μνιμθ ςτζλνει ςτισ υπόλοιπεσ invalidations (10 cycle κάκε μία) Cache invalidation + acknowledgement ςτθ μνιμθ (50 cycles) για κάκε μία από τισ 4 caches Θ μνιμθ παραχωρεί exclusiveness ςτθ CPU 1 (20 cycles) Συνολικόσ απαιτοφμενοσ χρόνοσ: = 130 cycles. ΕΩΤΘΣΘ: Γιατί όχι μόνο 20? ΑΡΑΝΤΘΣΘ: Κανζνα πρόβλθμα! Ξεχνάμε όμωσ τθσ ατομικότθτα και τθν ακολουκιακι ςυνζπεια. #35

36 Χαλαρά μοντζλα ςυνζπειασ Ξεχνάμε τθ ςειρά προγράμματοσ Επιτρζπονται οι προςπελάςεισ εκτόσ ςειράσ (εφόςον είναι ςε διαφορετικζσ κζςεισ μνιμθσ) Άρα επιτρζπονται τεχνικζσ ILP ςτουσ επεξεργαςτζσ και optimization ςτουσ compilers Μερικζσ φορζσ κυςιάηεται και θ ΑΤΟΜΙΚΟΤΘΤΑ των εγγραφών (π.χ. PC processor consistency, Intel) Τα διάφορα χαλαρά μοντζλα χαρακτθρίηονται από το ποιεσ προςπελάςεισ επιτρζπεται να αναδιαταχτοφν. Οι τζςςερισ πικανοί ςυνδυαςμοί είναι: Write(x); Read(y) ; Write(x); Write(y); Read(x); Read(y); Read(x); Write(y); ι απλά: W->R, W->W, R->R, R->W #36

37 Ρ.χ. χαλαρώνοντασ τθ ςειρά W->R (ςχετικά «ανώδυνο») Επιτρζπεται ζνα επόμενο read να ξεκινιςει πριν από προθγοφμενο write Sun SPARC (TSO total store order) Intel Pentium Pro, MMX (PC processor consistency τα write είναι μθατομικά) Initially A = flag = 0 A = 1; flag = 1; Process P1 Process P2 while (flag == 0) ; print( %d, A); Initially A = B = 0 Process P1 Process P2 Process P3 A = 1; while (A == 0) ; B = 1; while (B == 0) ; printf( %d, A); #37

38 Χαλαρώνοντασ όλεσ τισ ςειρζσ Επιτρζπεται να αναδιαταχτοφν οποιεςδιποτε προςπελάςεισ ςε διαφορετικζσ κζςεισ μνιμθσ. Ρ.χ. weak order (sync and non-sync memory accesses) και release consistency (acquire, release and normal accesses) Ράντα παρζχονται εντολζσ memory barriers ι fences οι οποίεσ όταν ειςάγονται ςε ζνα ςθμείο του προγράμματοσ εξαςφαλίηουν ότι: Πλεσ οι προθγοφμενεσ προςπελάςεισ ζχουν ολοκλθρωκεί πριν ξεκινιςουν οι προςπελάςεισ που ζπονται. Κανονικά ςε γλώςςα μθχανισ, ο gcc όμωσ παρζχει «ςυνάρτθςθ» που μπορεί να κλθκεί από C: sync_synchronize(); #38

39 Συμπεραςματικά Οι προγραμματιςτζσ υποκζτουν ςυνικωσ το «λογικό» μοντζλο τθσ ακολουκιακισ ςυνζπειασ Θ ακολουκιακι ςυνζπεια απαγορεφει αρκετζσ βελτιςτοποιιςεισ ςε επεξεργαςτζσ και μεταφραςτζσ Τα χαλαρότερα μοντζλα ζχουν δυνατότθτα αυξθμζνων επιδόςεων (όχι εξωφρενικά καλφτερεσ όμωσ ) και είναι ςχεδόν πάντα αυτά που χρθςιμοποιοφνται Πμωσ, ςχεδόν καμία εφαρμογι δε χρειάηεται να απαςχολείται με το μοντζλο ςυνζπειασ που υποςτθρίηει το hardware Εκτόσ αν θ εφαρμογι χρθςιμοποιεί χαμθλοφ επιπζδου ςυγχρονιςμό μεταξφ νθμάτων Ρ.χ. προγραμματιςμόσ ςυςτιματοσ (λειτουργικά ςυςτιματα, βιβλιοκικεσ, μεταφραςτζσ κλπ) Βιβλιογραφία Βλ. προπτυχιακό μάκθμα ΡΛΕ-079 Ραλιό αλλά καλό survey: Sarita V. Adve, Kourosh Gharachorloo, Shared Memory Consistency Models: A Tutorial, IEEE Computer 29 (12): 66 76, Dec Οποιοδιποτε ςφγχρονο βιβλίο αρχιτεκτονικισ παράλλθλων ςυςτθμάτων. #39

8/3/2016 Οργάνωση κοινόχρηστης μνήμης (ΙΙ) Η λειτουργία της μνήμης

8/3/2016 Οργάνωση κοινόχρηστης μνήμης (ΙΙ) Η λειτουργία της μνήμης Υ07 Παράλληλα Συστήματα 2015-16 8/3/2016 Οργάνωση κοινόχρηστης μνήμης (ΙΙ) Η λειτουργία της μνήμης Ιεραρχία μνήμης & cache Επεξεργαστής: ταχύτατος Μνήμη: αργή (και μάλιστα η διαφορά ταχύτητας αυξάνεται)

Διαβάστε περισσότερα

Πολυεπεξεργαστές Κοινής Μνήμης & Multi-cores. Κεφάλαιο ΙΙ

Πολυεπεξεργαστές Κοινής Μνήμης & Multi-cores. Κεφάλαιο ΙΙ Πολυεπεξεργαστές Κοινής Μνήμης & Multi-cores Κεφάλαιο ΙΙ Πολυεπεξεργαστές κοινής μνήμης Ανεξάρτητοι επεξεργαστές & Κοινές μνήμες Σα πολυπύρηνα συστήματα είναι πολλοί πυρήνες που μοιράζονται την ίδια κύρια

Διαβάστε περισσότερα

Πολυεπεξεργαστές Κοινόχρηστης Μνήμης & Multi-cores. Κεφάλαιο ΙΙ

Πολυεπεξεργαστές Κοινόχρηστης Μνήμης & Multi-cores. Κεφάλαιο ΙΙ Πολυεπεξεργαστές Κοινόχρηστης Μνήμης & Multi-cores Κεφάλαιο ΙΙ Βιβλίο Β. Δημακόπουλος Παράλληλα Συστήματα και Προγραμματισμός 2016 Αποθετήριο Κάλλιπος (ελεύθερη πρόσβαση, PDF): http://repository.kallipos.gr/handle/11419/3209

Διαβάστε περισσότερα

Υ- 07 Παράλληλα Συστήματα Συνέπεια και συνοχή μνήμης

Υ- 07 Παράλληλα Συστήματα Συνέπεια και συνοχή μνήμης Υ- 07 Παράλληλα Συστήματα Συνέπεια και συνοχή μνήμης Αρης Ευθυμίου Λειτουργία μνήμης Η μνήμη είναι ένας πίνακας αποθήκευσης Οταν διαβάζουμε μια θέση, περιμένουμε να πάρουμε την τελευταία τιμή που έχει

Διαβάστε περισσότερα

Λ10 Παράλληλος & Κατανεμημένος Προγραμματισμός 2009

Λ10 Παράλληλος & Κατανεμημένος Προγραμματισμός 2009 Λ10 Παράλληλος & Κατανεμημένος Προγραμματισμός 2009 Μάθημα 10 ο 15/12/2009 Κατανεμημένη κοινή μνήμη (DSM Distributed Shared Memory) Β. Δημακόπουλοσ Γιατί; Συςτιματα κατανεμθμζνθσ μνιμθσ: Αρχιτεκτονικι:

Διαβάστε περισσότερα

Υ- 01 Αρχιτεκτονική Υπολογιστών Πολυεπεξεργαστές

Υ- 01 Αρχιτεκτονική Υπολογιστών Πολυεπεξεργαστές Υ- 01 Αρχιτεκτονική Υπολογιστών Πολυεπεξεργαστές Αρης Ευθυμίου Το σημερινό μάθημα! Εισαγωγή σε παράλληλα συστήματα Ταξινόμιση κατά Flynn Μέθοδοι επικοινωνίας: shared memory, message passing Δίκτυα διασύνδεσης!

Διαβάστε περισσότερα

Processor-Memory (DRAM) Διαφορά επίδοςθσ

Processor-Memory (DRAM) Διαφορά επίδοςθσ Performance Processor-Memory (DRAM) Διαφορά επίδοςθσ 98 98 982 983 984 985 986 987 988 989 99 99 992 993 994 995 996 997 998 999 2 2 22 23 24 25 µproc 6%/yr Processor-Memory Performance Gap: (grows 5%

Διαβάστε περισσότερα

ΠΛΕ- 074 Αρχιτεκτονική Υπολογιστών 2

ΠΛΕ- 074 Αρχιτεκτονική Υπολογιστών 2 ΠΛΕ- 074 Αρχιτεκτονική Υπολογιστών 2 Πολυπύρηνοι επεξεργαστές, μέρος 2 Αρης Ευθυμίου Πηγές διαφανειών: συνοδευτικές διαφάνειες αγγλικης εκδοσης του βιβλιου Cache coherence & scalability! Τα πρωτόκολλα

Διαβάστε περισσότερα

Είδθ των Cache Misses: 3C s

Είδθ των Cache Misses: 3C s Είδθ των Cache Misses: 3C s 1 Compulsory: Συμβαίνουν κατά τθν πρϊτθ πρόςβαςθ ςε ζνα block. Το block πρζπει να κλθκεί από χαμθλότερα επίπεδα μνιμθσ και να τοποκετθκεί ςτθν cache (αποκαλοφνται και cold start

Διαβάστε περισσότερα

Λ10 Παράλληλος & Καταμεμημέμος Προγραμματισμός 2009

Λ10 Παράλληλος & Καταμεμημέμος Προγραμματισμός 2009 Λ10 Παράλληλος & Καταμεμημέμος Προγραμματισμός 2009 Μάθημα 1 ο 20/10/2009 Οργάνωση κοινής μνήμης Β. Δημακόπουλοσ Από το 0 ο μάκθμα - Θζματα που κα καλφψουμε Ρρογραμματιςμόσ μθχανϊν κοινισ μνιμθσ (SMPs,

Διαβάστε περισσότερα

ΠΛΕ- 074 Αρχιτεκτονική Υπολογιστών 2

ΠΛΕ- 074 Αρχιτεκτονική Υπολογιστών 2 ΠΛΕ- 074 Αρχιτεκτονική Υπολογιστών 2 7ο μάθημα: Κρυφές μνήμες (cache) - εισαγωγή Αρης Ευθυμίου Πηγές διαφανειών: συνοδευτικές διαφάνειες αγγλικης εκδοσης του βιβλιου Σύστημα μνήμης! Η μνήμη είναι σημαντικό

Διαβάστε περισσότερα

Μάθημα 9 ο ΤΕΧΝΙΚΕΣ ΔΙΑΧΕΙΡΙΣΗΣ ΕΙΚΟΝΙΚΗΣ ΜΝΗΜΗΣ

Μάθημα 9 ο ΤΕΧΝΙΚΕΣ ΔΙΑΧΕΙΡΙΣΗΣ ΕΙΚΟΝΙΚΗΣ ΜΝΗΜΗΣ Μάθημα 9 ο ΤΕΧΝΙΚΕΣ ΔΙΑΧΕΙΡΙΣΗΣ ΕΙΚΟΝΙΚΗΣ ΜΝΗΜΗΣ Ειςαγωγό Όπωσ είδαμε, ο χϊροσ εικονικϊν διευκφνςεων μνιμθσ που χρθςιμοποιεί κάκε διεργαςία, είναι αρκετά μεγαλφτεροσ από το χϊρο των φυςικϊν διευκφνςεων.

Διαβάστε περισσότερα

Δείκτεσ Διαχείριςθ Μνιμθσ. Βαγγζλθσ Οικονόμου Διάλεξθ 8

Δείκτεσ Διαχείριςθ Μνιμθσ. Βαγγζλθσ Οικονόμου Διάλεξθ 8 Δείκτεσ Διαχείριςθ Μνιμθσ Βαγγζλθσ Οικονόμου Διάλεξθ 8 Δείκτεσ Κάκε μεταβλθτι ςχετίηεται με μία κζςθ ςτθν κφρια μνιμθ του υπολογιςτι. Κάκε κζςθ ςτθ μνιμθ ζχει τθ δικι τθσ ξεχωριςτι διεφκυνςθ. Με άμεςθ

Διαβάστε περισσότερα

Parallel Architectures

Parallel Architectures Parallel Architectures Memory Consistency + Synchronization Figures, examples από 1. Transactional Memory, D. Wood, Lecture Notes in ACACES 2009 2. Krste Asanović s Lecture Notes, University of California,

Διαβάστε περισσότερα

17. Πολυδιάςτατοι πίνακεσ

17. Πολυδιάςτατοι πίνακεσ Προγραμματιςμόσ Μεκόδων Επίλυςθσ Προβλθμάτων 17. Πολυδιάςτατοι πίνακεσ Ιωάννθσ Κατάκθσ Πολυδιάςτατοι πίνακεσ o Μζχρι τϊρα μιλοφςαμε για μονοδιάςτατουσ πίνακεσ ι int age[5]= 31,28,31,30,31; o Για παράλλθλουσ

Διαβάστε περισσότερα

Υ07 Παράλληλα Συστήματα /10/2013

Υ07 Παράλληλα Συστήματα /10/2013 Υ07 Παράλληλα Συστήματα 2013-14 22/10/2013 (α) Προ-εισαγωγικά (β) «Σύντομη» εισαγωγή στην κοινή μνήμη (shared memory) Β. Δημακόπουλοσ Οργάνωςθ του μακιματοσ Διδάςκων: Β. Δθμακόπουλοσ (Β33 dimako@cs.uoi.gr)

Διαβάστε περισσότερα

Πολυπύρηνοι επεξεργαστές Multicore processors

Πολυπύρηνοι επεξεργαστές Multicore processors Πολυπύρηνοι επεξεργαστές Multicore processors 1 Μετάβαση στους πολυπύρηνους(1) Απόδοση των µονοεπεξεργαστών 25% ετήσια βελτίωση της απόδοσης από το 1978 έως το 1986 Κυρίως από την εξέλιξη της τεχνολογίας

Διαβάστε περισσότερα

Virtualization. Στο ςυγκεκριμζνο οδηγό, θα παρουςιαςτεί η ικανότητα δοκιμήσ τησ διανομήσ Ubuntu 9.04, χωρίσ την ανάγκη του format.

Virtualization. Στο ςυγκεκριμζνο οδηγό, θα παρουςιαςτεί η ικανότητα δοκιμήσ τησ διανομήσ Ubuntu 9.04, χωρίσ την ανάγκη του format. Virtualization Στο ςυγκεκριμζνο οδηγό, θα παρουςιαςτεί η ικανότητα δοκιμήσ τησ διανομήσ Ubuntu 9.04, χωρίσ την ανάγκη του format. Το virtualization πρόκειται για μια τεχνολογία, θ οποία επιτρζπει το διαχωριςμό

Διαβάστε περισσότερα

Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών Κρυφές Μνήμες. (οργάνωση, λειτουργία και απόδοση)

Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών Κρυφές Μνήμες. (οργάνωση, λειτουργία και απόδοση) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2016-17 Κρυφές Μνήμες (οργάνωση, λειτουργία και απόδοση) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Ιεραρχία συχνά και το

Διαβάστε περισσότερα

Εγχειρίδιο Χριςθσ τθσ διαδικτυακισ εφαρμογισ «Υποβολι και παρακολοφκθςθ τθσ ζγκριςθσ Εκπαιδευτικών Πακζτων»

Εγχειρίδιο Χριςθσ τθσ διαδικτυακισ εφαρμογισ «Υποβολι και παρακολοφκθςθ τθσ ζγκριςθσ Εκπαιδευτικών Πακζτων» Εγχειρίδιο Χριςθσ τθσ διαδικτυακισ εφαρμογισ «Υποβολι και παρακολοφκθςθ τθσ ζγκριςθσ Εκπαιδευτικών Πακζτων» Το Πλθροφοριακό Σφςτθμα τθσ δράςθσ «e-κπαιδευτείτε» ζχει ςτόχο να αυτοματοποιιςει τισ ακόλουκεσ

Διαβάστε περισσότερα

Υ- 01 Αρχιτεκτονική Υπολογιστών Πολυεπεξεργαστές, 2ο μέρος

Υ- 01 Αρχιτεκτονική Υπολογιστών Πολυεπεξεργαστές, 2ο μέρος Υ- 01 Αρχιτεκτονική Υπολογιστών Πολυεπεξεργαστές, 2ο μέρος Αρης Ευθυμίου Το σημερινό μάθημα! Cache coherence directory protocols! Memory consistency! MulG- threading 2 Cache coherence & scalability! Τα

Διαβάστε περισσότερα

Αρχιτεκτονική υπολογιστών

Αρχιτεκτονική υπολογιστών 1 Ελληνική Δημοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Αρχιτεκτονική υπολογιστών Ενότητα 4 : Κρυφή Μνήμη Καρβούνης Ευάγγελος Δευτέρα, 30/11/2015 Χαρακτηριστικά Θέση Χωρητικότητα Μονάδα Μεταφοράς

Διαβάστε περισσότερα

Ειδικά Θζματα Βάςεων Δεδομζνων

Ειδικά Θζματα Βάςεων Δεδομζνων Ειδικά Θζματα Βάςεων Δεδομζνων Ενότθτα 7: Σαυτοχρονιςμόσ Δρ. Τςιμπίρθσ Αλκιβιάδθσ Τμιμα Μθχανικών Πλθροφορικισ ΤΕ Άδειεσ Χριςθσ Το παρόν εκπαιδευτικό υλικό υπόκειται ςε άδειεσ χριςθσ Creative Commons.

Διαβάστε περισσότερα

ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΠΟΛΟΓΙΣΤΗ. ΚΕΦΑΛΑΙΟ 5: Γνωριμία με το λογιςμικό του υπολογιςτι

ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΠΟΛΟΓΙΣΤΗ. ΚΕΦΑΛΑΙΟ 5: Γνωριμία με το λογιςμικό του υπολογιςτι ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΠΟΛΟΓΙΣΤΗ ΚΕΦΑΛΑΙΟ 5: Γνωριμία με το λογιςμικό του υπολογιςτι Λογιςμικό (Software), Πρόγραμμα (Programme ι Program), Προγραμματιςτισ (Programmer), Λειτουργικό Σφςτθμα (Operating

Διαβάστε περισσότερα

Πολυπλέκτες. 0 x 0 F = S x 0 + Sx 1 1 x 1

Πολυπλέκτες. 0 x 0 F = S x 0 + Sx 1 1 x 1 Πολυπλέκτες Ο πολυπλζκτθσ (multipleer - ) είναι ζνα ςυνδυαςτικό κφκλωμα που επιλζγει δυαδικι πλθροφορία μιασ από πολλζσ γραμμζσ ειςόδου και τθν κατευκφνει ςε μια και μοναδικι γραμμι εξόδου. Η επιλογι μιασ

Διαβάστε περισσότερα

ΠΛΕ- 027 Μικροεπεξεργαστές 9ο μάθημα: Αρχιτεκτονική συστήματος μνήμης: Κρυφές μνήμες εισαγωγή

ΠΛΕ- 027 Μικροεπεξεργαστές 9ο μάθημα: Αρχιτεκτονική συστήματος μνήμης: Κρυφές μνήμες εισαγωγή ΠΛΕ- 027 Μικροεπεξεργαστές 9ο μάθημα: Αρχιτεκτονική συστήματος μνήμης: Κρυφές μνήμες εισαγωγή Αρης Ευθυμίου Σύστημα μνήμης Η μνήμη είναι σημαντικό κομμάτι ενός υπολογιστή Επηρεάζει κόστος, ταχύτητα, κατανάλωση

Διαβάστε περισσότερα

ΕΝΟΣΗΣΑ 1: ΓΝΩΡIΖΩ ΣΟΝ ΤΠΟΛΟΓΙΣΗ Ω ΕΝΙΑΙΟ ΤΣΗΜΑ. ΚΕΦΑΛΑΙΟ 2: Σο Εςωτερικό του Τπολογιςτι

ΕΝΟΣΗΣΑ 1: ΓΝΩΡIΖΩ ΣΟΝ ΤΠΟΛΟΓΙΣΗ Ω ΕΝΙΑΙΟ ΤΣΗΜΑ. ΚΕΦΑΛΑΙΟ 2: Σο Εςωτερικό του Τπολογιςτι ΕΝΟΣΗΣΑ 1: ΓΝΩΡIΖΩ ΣΟΝ ΤΠΟΛΟΓΙΣΗ ΚΕΦΑΛΑΙΟ 2: Σο Εςωτερικό του Τπολογιςτι 2.1 Ο Προςωπικόσ Υπολογιςτήσ εςωτερικά Σροφοδοτικό, Μθτρικι πλακζτα (Motherboard), Κεντρικι Μονάδα Επεξεργαςίασ (CPU), Κφρια Μνιμθ

Διαβάστε περισσότερα

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 7 θ Διάλεξθ Διαχείριςθ Μνιμθσ Μζροσ Γ

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 7 θ Διάλεξθ Διαχείριςθ Μνιμθσ Μζροσ Γ ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ 7 θ Διάλεξθ Διαχείριςθ Μνιμθσ Μζροσ Γ ελιδοποίθςθ (1/10) Σόςο θ κατάτμθςθ διαμεριςμάτων ςτακεροφ μεγζκουσ όςο και θ κατάτμθςθ διαμεριςμάτων μεταβλθτοφ και άνιςου μεγζκουσ δεν κάνουν

Διαβάστε περισσότερα

Τ07. Διδάσκων: ΠΑΡΑΛΛΗΛΑ ΤΣΗΜΑΣΑ. Β. Δημακόπουλος.

Τ07. Διδάσκων: ΠΑΡΑΛΛΗΛΑ ΤΣΗΜΑΣΑ. Β. Δημακόπουλος. Τ07 ΠΑΡΑΛΛΗΛΑ ΤΣΗΜΑΣΑ Διδάσκων: Β. Δημακόπουλος dimako@cse.uoi.gr Υ07 Παράλληλα Συστήματα 2015-16 27/2/2018 Εισαγωγή στα Παράλληλα Συστήματα (Οργάνωση-Προγραμματισμός) Οργάνωςθ του μακιματοσ Διδάςκων:

Διαβάστε περισσότερα

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 8 θ Διάλεξθ Ιδεατι Μνιμθ Μζροσ Α

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 8 θ Διάλεξθ Ιδεατι Μνιμθ Μζροσ Α ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ 8 θ Διάλεξθ Ιδεατι Μνιμθ Μζροσ Α Βαςικι Ορολογία Ιδεατή Μνήμη: χιμα ανάκεςθσ αποκθκευτικοφ χϊρου, ςτο οποίο θ δευτερεφουςα μνιμθ μπορεί να διευκυνςιοδοτθκεί ςαν να ιταν μζροσ τθσ κφριασ

Διαβάστε περισσότερα

Shared Memory Multiprocessors. Πολυεπεξεργαστές Μοιραζόµενης

Shared Memory Multiprocessors. Πολυεπεξεργαστές Μοιραζόµενης Shared Memory Multiprocessors Πολυεπεξεργαστές Μοιραζόµενης Μνήµης 1 Shared Memory Multiprocessors Ηκύρια µνήµη προσπελαύνεται µε τον ίδιο τρόπο και ταχύτητα από όλους τους επεξεργαστές Κάθε επεξεργαστής

Διαβάστε περισσότερα

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 2 ο Εργαςτιριο Διαχείριςθ Διεργαςιϊν

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 2 ο Εργαςτιριο Διαχείριςθ Διεργαςιϊν ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ 2 ο Εργαςτιριο Διαχείριςθ Διεργαςιϊν Τπόβακρο (1/3) τουσ παλαιότερουσ υπολογιςτζσ θ Κεντρικι Μονάδα Επεξεργαςίασ (Κ.Μ.Ε.) μποροφςε κάκε ςτιγμι να εκτελεί μόνο ζνα πρόγραμμα τουσ ςφγχρονουσ

Διαβάστε περισσότερα

Ιεραρχία Μνήμης. Ιεραρχία μνήμης και τοπικότητα. Σκοπός της Ιεραρχίας Μνήμης. Κρυφές Μνήμες

Ιεραρχία Μνήμης. Ιεραρχία μνήμης και τοπικότητα. Σκοπός της Ιεραρχίας Μνήμης. Κρυφές Μνήμες Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2016-17 Κρυφές Μνήμες (οργάνωση, λειτουργία και απόδοση) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Για βελτίωση της απόδοσης

Διαβάστε περισσότερα

Αςκιςεισ ςε (i) Δομζσ Ευρετθρίων και Οργάνωςθ Αρχείων (ii) Κανονικοποίθςθ

Αςκιςεισ ςε (i) Δομζσ Ευρετθρίων και Οργάνωςθ Αρχείων (ii) Κανονικοποίθςθ Αςκιςεισ ςε (i) Δομζσ Ευρετθρίων και Οργάνωςθ Αρχείων (ii) Κανονικοποίθςθ Δεκζμβριοσ 2016 Άςκθςθ 1 Θεωρείςτε ότι κζλουμε να διαγράψουμε τθν τιμι 43 ςτο Β+ δζντρο τθσ Εικόνασ 1. Η διαγραφι αυτι προκαλεί

Διαβάστε περισσότερα

ςυςτιματα γραμμικϊν εξιςϊςεων

ςυςτιματα γραμμικϊν εξιςϊςεων κεφάλαιο 7 Α ςυςτιματα γραμμικϊν εξιςϊςεων αςικζσ ζννοιεσ Γραμμικά, λζγονται τα ςυςτιματα εξιςϊςεων ςτα οποία οι άγνωςτοι εμφανίηονται ςτθν πρϊτθ δφναμθ. Σα γραμμικά ςυςτιματα με δφο εξιςϊςεισ και δφο

Διαβάστε περισσότερα

Processor-Memory (DRAM) ιαφορά επίδοσης

Processor-Memory (DRAM) ιαφορά επίδοσης Processor-Memory (DRAM) ιαφορά επίδοσης µproc 6%/yr 98 98 982 983 984 985 986 987 988 989 99 99 992 993 994 995 996 997 998 999 2 2 22 23 24 25 Performance Processor-Memory Performance Gap: (grows 5% /

Διαβάστε περισσότερα

Συνάφεια Κρυφής (Λανθάνουσας) Μνήµης- -Συνέπεια Μνήµης (Cache Coherence-Memory Consistency)

Συνάφεια Κρυφής (Λανθάνουσας) Μνήµης- -Συνέπεια Μνήµης (Cache Coherence-Memory Consistency) Συνάφεια Κρυφής (Λανθάνουσας) Μνήµης- -Συνέπεια Μνήµης (Cache Coherence-Memory Consistency) για Πολυεπεξεργαστές Μοιραζόµενης Μνήµης (Shared Memory Multiprocessors) 1 Shared Memory Multiprocessors Η κύρια

Διαβάστε περισσότερα

ΕΝΟΣΗΣΑ 1: ΓΝΩΡIΖΩ ΣΟΝ ΤΠΟΛΟΓΙΣΗ. ΚΕΦΑΛΑΙΟ 2: Σο Τλικό του Τπολογιςτι

ΕΝΟΣΗΣΑ 1: ΓΝΩΡIΖΩ ΣΟΝ ΤΠΟΛΟΓΙΣΗ. ΚΕΦΑΛΑΙΟ 2: Σο Τλικό του Τπολογιςτι ΕΝΟΣΗΣΑ 1: ΓΝΩΡIΖΩ ΣΟΝ ΤΠΟΛΟΓΙΣΗ ΚΕΦΑΛΑΙΟ 2: Σο Τλικό του Τπολογιςτι Τλικό υπολογιςτι (Hardware), Προςωπικόσ Τπολογιςτισ (ΡC), υςκευι ειςόδου, υςκευι εξόδου, Οκόνθ (Screen), Εκτυπωτισ (Printer), αρωτισ

Διαβάστε περισσότερα

ΛΕΙΤΟΥΓΙΚΆ ΣΥΣΤΉΜΑΤΑ. 6 θ Διάλεξθ Διαχείριςθ Μνιμθσ Μζροσ Β

ΛΕΙΤΟΥΓΙΚΆ ΣΥΣΤΉΜΑΤΑ. 6 θ Διάλεξθ Διαχείριςθ Μνιμθσ Μζροσ Β ΛΕΙΤΟΥΓΙΚΆ ΣΥΣΤΉΜΑΤΑ 6 θ Διάλεξθ Διαχείριςθ Μνιμθσ Μζροσ Β Δυναμικι Κατάτμθςθ (1/8) Η δυναμικι κατάτμθςθ αναπτφχκθκε με ςτόχο να ξεπεραςτοφν οριςμζνεσ από τισ βαςικζσ δυςκολίεσ τθσ κατάτμθςθσ ςτακεροφ

Διαβάστε περισσότερα

Υ- 07 Παράλληλα Συστήματα Συνοχή κρυφής μνήμης με σύστημα καταλόγων

Υ- 07 Παράλληλα Συστήματα Συνοχή κρυφής μνήμης με σύστημα καταλόγων Υ- 07 Παράλληλα Συστήματα Συνοχή κρυφής μνήμης με σύστημα καταλόγων Αρης Ευθυμίου Γιατί όχι snooping Το snooping στηρίζεται σε εκπομπή σε όλους (broadcast) πρέπει όλοι οι ελεγκτές κρυφής μνήμης να μπορούν

Διαβάστε περισσότερα

ΘΥ101: Ειςαγωγι ςτθν Πλθροφορικι

ΘΥ101: Ειςαγωγι ςτθν Πλθροφορικι Παράςταςη κινητήσ υποδιαςτολήσ ςφμφωνα με το πρότυπο ΙΕΕΕ Δρ. Χρήστος Ηλιούδης το πρότυπο ΙΕΕΕ 754 ζχει χρθςιμοποιθκεί ευρζωσ ςε πραγματικοφσ υπολογιςτζσ. Το πρότυπο αυτό κακορίηει δφο βαςικζσ μορφζσ κινθτισ

Διαβάστε περισσότερα

ΕΡΓΑΣΗΡΙΑΚΗ ΑΚΗΗ 4.1

ΕΡΓΑΣΗΡΙΑΚΗ ΑΚΗΗ 4.1 ΕΡΓΑΣΗΡΙΑΚΗ ΑΚΗΗ 4. Να γίνει πρόγραμμα το οποίο να επιλφει το Διαγώνιο Σφςτθμα: A ι το ςφςτθμα : ι ςε μορφι εξιςώςεων το ςφςτθμα : Αλγόρικμοσ m(). Διαβάηουμε τθν τιμι του ( θ διάςταςθ του Πίνακα Α )..

Διαβάστε περισσότερα

ΛΕΙΤΟΥΓΙΚΆ ΣΥΣΤΉΜΑΤΑ. 5 ο Εργαςτιριο Ειςαγωγι ςτθ Γραμμι Εντολϊν

ΛΕΙΤΟΥΓΙΚΆ ΣΥΣΤΉΜΑΤΑ. 5 ο Εργαςτιριο Ειςαγωγι ςτθ Γραμμι Εντολϊν ΛΕΙΤΟΥΓΙΚΆ ΣΥΣΤΉΜΑΤΑ 5 ο Εργαςτιριο Ειςαγωγι ςτθ Γραμμι Εντολϊν Τι είναι θ Γραμμι Εντολϊν (1/6) Στουσ πρϊτουσ υπολογιςτζσ, και κυρίωσ από τθ δεκαετία του 60 και μετά, θ αλλθλεπίδραςθ του χριςτθ με τουσ

Διαβάστε περισσότερα

Εικονική Μνήμη (virtual memory)

Εικονική Μνήμη (virtual memory) Εικονική Μνήμη (virtual memory) Πολλά προγράμματα εκτελούνται ταυτόχρονα σε ένα υπολογιστή Η συνολική μνήμη που απαιτείται είναι μεγαλύτερη από το μέγεθος της RAM Αρχή τοπικότητας (η μνήμη χρησιμοποιείται

Διαβάστε περισσότερα

ΠΛΕ- 074 Αρχιτεκτονική Υπολογιστών 2

ΠΛΕ- 074 Αρχιτεκτονική Υπολογιστών 2 ΠΛΕ- 074 Αρχιτεκτονική Υπολογιστών 2 11ο μάθημα: πολυπύρηνοι επεξεργαστές, μέρος 1 Αρης Ευθυμίου Πηγές διαφανειών: συνοδευτικές διαφάνειες αγγλικης εκδοσης του βιβλιου Παράλληλη επεξεργασία Στο προηγούμενο

Διαβάστε περισσότερα

Τμήματα Μνήμησ Υπολογιςμόσ Φυςικών διευθύνςεων. Εκπαιδεφτρια: Μαρία Πολίτθ

Τμήματα Μνήμησ Υπολογιςμόσ Φυςικών διευθύνςεων. Εκπαιδεφτρια: Μαρία Πολίτθ Τμήματα Μνήμησ Υπολογιςμόσ Φυςικών διευθύνςεων Εκπαιδεφτρια: Μαρία Πολίτθ Σύνδεςη με προηγούμενα Κάκε μονάδα ενόσ υπολογιςτι που χρθςιμεφει για τθ μόνιμθ ι προςωρινι αποκικευςθ δεδομζνων ανικει ςτθ μνήμη

Διαβάστε περισσότερα

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 9 θ & 10 θ Διάλεξθ Ιδεατι Μνιμθ Μζροσ Β

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 9 θ & 10 θ Διάλεξθ Ιδεατι Μνιμθ Μζροσ Β 1 ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ 9 θ & 10 θ Διάλεξθ Ιδεατι Μνιμθ Μζροσ Β 2 ελιδοποίθςθ με Χριςθ Ιδεατισ Μνιμθσ (1/5) Ο όροσ ιδεατή μνήμη ςυνικωσ ςχετίηεται με ςυςτιματα τα οποία εφαρμόηουν ςελιδοποίθςθ, παρόλο που

Διαβάστε περισσότερα

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 3 ο Εργαςτιριο υγχρονιςμόσ Διεργαςιϊν

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 3 ο Εργαςτιριο υγχρονιςμόσ Διεργαςιϊν ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ 3 ο Εργαςτιριο υγχρονιςμόσ Διεργαςιϊν Παράλλθλεσ Διεργαςίεσ (1/5) Δφο διεργαςίεσ λζγονται «παράλλθλεσ» (concurrent) όταν υπάρχει ταυτοχρονιςμόσ, δθλαδι οι εκτελζςεισ τουσ επικαλφπτονται

Διαβάστε περισσότερα

Ιςοηυγιςμζνα δζντρα και Β- δζντρα. Δομζσ Δεδομζνων

Ιςοηυγιςμζνα δζντρα και Β- δζντρα. Δομζσ Δεδομζνων Ιςοηυγιςμζνα δζντρα και Β- δζντρα Δομζσ Δεδομζνων Περιεχόμενα Ιςοηυγιςμζνα δζντρα Μζκοδοι ιςοηφγιςθσ δζντρων Μονι Περιςτροφι Διπλι Περιςτροφι Β - δζντρα Ιςοηυγιςμζνα δζντρα Η μορφι ενόσ δυαδικοφ δζντρου

Διαβάστε περισσότερα

Υ07 Παράλληλα Συστήματα /4/2018 Συστήματα κατανεμημένης μνήμης (ΙΙ)

Υ07 Παράλληλα Συστήματα /4/2018 Συστήματα κατανεμημένης μνήμης (ΙΙ) Υ07 Παράλληλα Συστήματα 2017-18 24/4/2018 Συστήματα κατανεμημένης μνήμης (ΙΙ) Μεταγωγή (switching) Μεταγωγι Ενϊ ο ζλεγχοσ ροισ φυςικοφ μζςου μεταφζρει bits μεταξφ δφο διαδρομθτϊν, θ μεταγωγι (switching)

Διαβάστε περισσότερα

Modem/Router IP, ADSL, ADSL2, ADSL2+, VDSL, VDSL2

Modem/Router IP, ADSL, ADSL2, ADSL2+, VDSL, VDSL2 Modem/Router IP, ADSL, ADSL2, ADSL2+, VDSL, VDSL2 Εξωτερικι IP: Εξωτερική IP είναι θ IP που ζχει οποιαδιποτε ςυςκευι ςυνδζεται απευκείασ ςτο Internet, (πχ το Router ι το κινθτό μασ με 3G/4G). Αυτι θ διεφκυνςθ

Διαβάστε περισσότερα

ΕΝΟΣΗΣΑ 3: ΧΡΗΗ ΕΡΓΑΛΕΙΩΝ ΕΚΦΡΑΗ ΚΑΙ ΔΗΜΙΟΤΡΓΙΑ

ΕΝΟΣΗΣΑ 3: ΧΡΗΗ ΕΡΓΑΛΕΙΩΝ ΕΚΦΡΑΗ ΚΑΙ ΔΗΜΙΟΤΡΓΙΑ ΕΝΟΣΗΣΑ 3: ΧΡΗΗ ΕΡΓΑΛΕΙΩΝ ΕΚΦΡΑΗ ΚΑΙ Επεξεργαςτισ Κειμζνου, Μορφοποίθςθ κειμζνου, Αποκικευςθ -Ανάκτθςθ εργαςίασ, Αντιγραφι - Μεταφορά κειμζνου, Γραμματοςειρά (Font), Ειςαγωγι εικόνασ ςε κείμενο Μία από

Διαβάστε περισσότερα

Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών H/Y Department of Electrical and Computer Engineering. Εργαστήριο 8. Χειμερινό Εξάμηνο

Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών H/Y Department of Electrical and Computer Engineering. Εργαστήριο 8. Χειμερινό Εξάμηνο Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών H/Y Department of Electrical and Computer Engineering Οργάνωση και Σχεδίαση Η/Y (HY232) Εργαστήριο 8 Χειμερινό Εξάμηνο 2016-2017 1. Προσομοίωση λειτουργίας ιεραρχίας

Διαβάστε περισσότερα

ΕΝΟΤΗΤΑ 2: ΕΠΙΚΟΙΝΩΝΩ ΜΕ ΤΟΝ ΥΠΟΛΟΓΙΣΤΗ. ΚΕΦΑΛΑΙΟ 5: Αρχεία - Φάκελοι

ΕΝΟΤΗΤΑ 2: ΕΠΙΚΟΙΝΩΝΩ ΜΕ ΤΟΝ ΥΠΟΛΟΓΙΣΤΗ. ΚΕΦΑΛΑΙΟ 5: Αρχεία - Φάκελοι ΕΝΟΤΗΤΑ 2: ΕΠΙΚΟΙΝΩΝΩ ΜΕ ΤΟΝ ΥΠΟΛΟΓΙΣΤΗ Αρχείο (File) Φάκελοσ (Folder) Διαχειριςτισ Αρχείων (File Manager) Τφποι Αρχείων Σε τι εξυπθρετεί θ οργάνωςθ των εργαςιϊν μασ ςτουσ υπολογιςτζσ; Πϊσ κα οργανϊςουμε

Διαβάστε περισσότερα

ΕΦΑΡΜΟΓΕ ΒΑΕΩΝ ΔΕΔΟΜΕΝΩΝ ΣΗ ΝΟΗΛΕΤΣΙΚΗ. Φιλιοποφλου Ειρινθ

ΕΦΑΡΜΟΓΕ ΒΑΕΩΝ ΔΕΔΟΜΕΝΩΝ ΣΗ ΝΟΗΛΕΤΣΙΚΗ. Φιλιοποφλου Ειρινθ ΕΦΑΡΜΟΓΕ ΒΑΕΩΝ ΔΕΔΟΜΕΝΩΝ ΣΗ ΝΟΗΛΕΤΣΙΚΗ Φιλιοποφλου Ειρινθ Προςθήκη νζων πεδίων Ασ υποκζςουμε ότι μετά τθ δθμιουργία του πίνακα αντιλαμβανόμαςτε ότι ζχουμε ξεχάςει κάποια πεδία. Είναι ζνα πρόβλθμα το οποίο

Διαβάστε περισσότερα

x n D 2 ENCODER m - σε n (m 2 n ) x 1 Παραδείγματα κωδικοποιθτϊν είναι ο κωδικοποιθτισ οκταδικοφ ςε δυαδικό και ο κωδικοποιθτισ BCD ςε δυαδικό.

x n D 2 ENCODER m - σε n (m 2 n ) x 1 Παραδείγματα κωδικοποιθτϊν είναι ο κωδικοποιθτισ οκταδικοφ ςε δυαδικό και ο κωδικοποιθτισ BCD ςε δυαδικό. Κωδικοποιητές Ο κωδικοποιθτισ (nor) είναι ζνα κφκλωμα το οποίο διακζτει n γραμμζσ εξόδου και το πολφ μζχρι m = 2 n γραμμζσ ειςόδου και (m 2 n ). Οι ζξοδοι παράγουν τθν κατάλλθλθ λζξθ ενόσ δυαδικοφ κϊδικα

Διαβάστε περισσότερα

Διάλεξη 15 Απόδοση της Ιεραρχίας Μνήμης Βελτιστοποίηση της απόδοσης

Διάλεξη 15 Απόδοση της Ιεραρχίας Μνήμης Βελτιστοποίηση της απόδοσης ΗΥ 232 Οργάνωση και Σχεδίαση Υπολογιστών Διάλεξη 5 Απόδοση της Ιεραρχίας Μνήμης Βελτιστοποίηση της απόδοσης Νίκος Μπέλλας Τμήμα Μηχανικών Η/Υ, Τηλεπικοινωνιών και Δικτύων Πόσο μεγάλη είναι μια μνήμη cache;

Διαβάστε περισσότερα

SMPcache. Ένα εργαλείο για προσομοίωση-οπτικοποίηση κρυφής μνήμης (Cache)

SMPcache. Ένα εργαλείο για προσομοίωση-οπτικοποίηση κρυφής μνήμης (Cache) SMPcache Ένα εργαλείο για προσομοίωση-οπτικοποίηση κρυφής μνήμης (Cache) 1. Βασικές ρυθμίσεις του συστήματος: δημιουργία μια δικής μας σύνθεσης συστήματος. Το SMPcache είναι ένα εργαλείο με το οποίο μπορούμε

Διαβάστε περισσότερα

Παράςταςη ακεραίων ςτο ςυςτημα ςυμπλήρωμα ωσ προσ 2

Παράςταςη ακεραίων ςτο ςυςτημα ςυμπλήρωμα ωσ προσ 2 Παράςταςη ακεραίων ςτο ςυςτημα ςυμπλήρωμα ωσ προσ 2 Δρ. Χρήζηος Ηλιούδης Μθ Προςθμαςμζνοι Ακζραιοι Εφαρμογζσ (ςε οποιαδιποτε περίπτωςθ δεν χρειάηονται αρνθτικοί αρικμοί) Καταμζτρθςθ. Διευκυνςιοδότθςθ.

Διαβάστε περισσότερα

Processor-Memory (DRAM) Διαφορά επίδοσης

Processor-Memory (DRAM) Διαφορά επίδοσης Performance Processor-Memory (DRAM) Διαφορά επίδοσης 98 98 982 983 984 985 986 987 988 989 99 99 992 993 994 995 996 997 998 999 2 2 22 23 24 25 µproc 6%/yr Processor-Memory Performance Gap: (grows 5%

Διαβάστε περισσότερα

Δομζσ Δεδομζνων Πίνακεσ

Δομζσ Δεδομζνων Πίνακεσ Δομζσ Δεδομζνων Πίνακεσ Διάλεξθ 2 Περιεχόμενα Πίνακεσ: Οριςμοί, Γενικζσ ζννοιεσ Αποκικευςθ πινάκων Ειδικζσ μορφζσ πινάκων Αλγόρικμοι Αναηιτθςθσ Σειριακι Αναηιτθςθ Δυαδικι Αναηιτθςθ Οριςμοί, Γενικζσ ζννοιεσ

Διαβάστε περισσότερα

Εικονικι Μνιμθ (virtual memory)

Εικονικι Μνιμθ (virtual memory) Εικονικι Μνιμθ (virtual memory) Πολλά προγράμματα εκτελοφνται ταυτόχρονα ςε ζνα υπολογιςτι Η ςυνολικι μνιμθ που απαιτείται είναι μεγαλφτερθ από το μζγεκοσ τθσ RAM Αρχι τοπικότθτασ (θ μνιμθ χρθςιμοποιείται

Διαβάστε περισσότερα

ΧΕΔΙΑΜΟ ΠΡΟΪΟΝΣΩΝ ΜΕ Η/Τ

ΧΕΔΙΑΜΟ ΠΡΟΪΟΝΣΩΝ ΜΕ Η/Τ ΧΕΔΙΑΜΟ ΠΡΟΪΟΝΣΩΝ ΜΕ Η/Τ ΚΑΜΠΤΛΕ ΕΛΕΤΘΕΡΗ ΜΟΡΦΗ Χριςιμεσ για τθν περιγραφι ομαλών και ελεφκερων ςχθμάτων Αμάξωμα αυτοκινιτου, πτερφγια αεροςκαφών, ςκελετόσ πλοίου χιματα χαρακτιρων κινουμζνων ςχεδίων Περιγραφι

Διαβάστε περισσότερα

Υ- 01 Αρχιτεκτονική Υπολογιστών Υπόβαθρο: Κρυφές μνήμες

Υ- 01 Αρχιτεκτονική Υπολογιστών Υπόβαθρο: Κρυφές μνήμες Υ- 01 Αρχιτεκτονική Υπολογιστών Υπόβαθρο: Κρυφές μνήμες Αρης Ευθυμίου Το σημερινό μάθημα Κρυφές μνήμες (cache memory) Βασική οργάνωση, παράμετροι: γραμμές, συσχετιστικότητα, συνολική χωρητικότητα Επίδοση:

Διαβάστε περισσότερα

Joomla! - User Guide

Joomla! - User Guide Joomla! - User Guide τελευταία ανανέωση: 10/10/2013 από την ICAP WEB Solutions 1 Η καταςκευι τθσ δυναμικισ ςασ ιςτοςελίδασ ζχει ολοκλθρωκεί και μπορείτε πλζον να προχωριςετε ςε αλλαγζσ ι προςκικεσ όςον

Διαβάστε περισσότερα

Μονάδες 6. Μονάδες ΓΑΨΕ Δεν υπάρχει ρίηα 2. ΑΝ Α>0 ΤΟΤΕ 3. ΤΕΛΟΣ_ΑΝ 4. ΑΛΛΙΩΣ 5. ίηα Τ_(Α)

Μονάδες 6. Μονάδες ΓΑΨΕ Δεν υπάρχει ρίηα 2. ΑΝ Α>0 ΤΟΤΕ 3. ΤΕΛΟΣ_ΑΝ 4. ΑΛΛΙΩΣ 5. ίηα Τ_(Α) 50 Χρόνια ΦΡΟΝΣΙΣΗΡΙΑ ΜΕΗ ΕΚΠΑΙΔΕΤΗ ΑΒΒΑΪΔΗ-ΜΑΝΩΛΑΡΑΚΗ ΠΑΓΚΡΑΣΙ : Φιλολάου & Εκφαντίδου 26 : Σηλ.: 2107601470 ΔΙΑΓΩΝΙΣΜΑ : ΑΝΑΡΤΥΞΗ ΕΦΑΜΟΓΩΝ ΣΕ ΡΟΓΑΜΜΑΤΙΣΤΙΚΟ ΡΕΙΒΑΛΛΟΝ Γϋ ΛΥΚΕΙΟΥ 2011 ΘΕΜΑ Α I. Η ςειριακι

Διαβάστε περισσότερα

Συστήματα Παράλληλης & Κατανεμημένης Επεξεργασίας

Συστήματα Παράλληλης & Κατανεμημένης Επεξεργασίας Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Συστήματα Παράλληλης & Κατανεμημένης Επεξεργασίας Ενότητα 10: Υποκλέπτοντα πρωτόκολλα. 2-state,3-state,4-state (MESI, dragon) cache coherent protocols. Συμφωνία

Διαβάστε περισσότερα

Πόςο εκτατό μπορεί να είναι ζνα μη εκτατό νήμα και πόςο φυςικό. μπορεί να είναι ζνα μηχανικό ςτερεό. Συνιςταμζνη δφναμη versus «κατανεμημζνησ» δφναμησ

Πόςο εκτατό μπορεί να είναι ζνα μη εκτατό νήμα και πόςο φυςικό. μπορεί να είναι ζνα μηχανικό ςτερεό. Συνιςταμζνη δφναμη versus «κατανεμημζνησ» δφναμησ Πόςο εκτατό μπορεί να είναι ζνα μη εκτατό νήμα και πόςο φυςικό μπορεί να είναι ζνα μηχανικό ςτερεό. Συνιςταμζνη δφναμη versus «κατανεμημζνησ» δφναμησ Για τθν ανάδειξθ του κζματοσ κα λφνουμε κάποια προβλιματα

Διαβάστε περισσότερα

Ειςαγωγή ςτην πληροφορική

Ειςαγωγή ςτην πληροφορική Ειςαγωγή ςτην πληροφορική Δρ. Θεοδώρου Παύλοσ theodorou@uoc.gr Δομή ηλεκτρονικού υπολογιςτή - Υλικό Μια γενικι διάκριςθ ςυςτατικϊν που ςυνκζτουν ζναν Η/Υ (πόροι *resources]) Μονάδα ειςόδου (Input unit)

Διαβάστε περισσότερα

Εικονική Μνήμη (Virtual Μemory)

Εικονική Μνήμη (Virtual Μemory) ΗΥ 431 Αρχιτεκτονική Παραλλήλων Συστημάτων Διάλεξη 16 Εικονική Μνήμη (Virtual Μemory) Νίκος Μπέλλας Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Η/Υ Απλό πείραμα int *data = malloc((1

Διαβάστε περισσότερα

Παρουςίαςθ 2 θσ Άςκθςθσ:

Παρουςίαςθ 2 θσ Άςκθςθσ: Εθνικό Μετςόβιο Πολυτεχνείο Σχολή Ηλεκτρολόγων Μηχ. και Μηχανικών Υπολογιςτών Εργαςτήριο Υπολογιςτικών Συςτημάτων Παρουςίαςθ 2 θσ Άςκθςθσ: Ανάπτυξη παράλληλου κώδικα και μελζτη επίδοςησ του αλγόριθμου

Διαβάστε περισσότερα

Processor-Memory (DRAM) ιαφορά επίδοσης

Processor-Memory (DRAM) ιαφορά επίδοσης Processor-Memory (DRAM) ιαφορά επίδοσης µproc 6%/yr 98 98 982 983 984 985 986 987 988 989 99 99 992 993 994 995 996 997 998 999 2 2 22 23 24 25 Performance Processor-Memory Performance Gap: (grows 5% /

Διαβάστε περισσότερα

Parallel Architectures

Parallel Architectures Parallel Architectures Memory Consistency + Synchronization Figures, examples από 1. Transactional Memory, D. Wood, Lecture Notes in ACACES 2009 2. Krste Asanović s s Lecture Notes, University of California,

Διαβάστε περισσότερα

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 1

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 1 Τμήμα Μησανικών Πληποφοπικήρ, Τ.Ε.Ι. Ηπείπος Ακαδημαϊκό Έτορ 2016-2017, 6 ο Εξάμηνο Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 1 Διδάςκων Τςιακμάκθσ Κυριάκοσ, Phd MSc in Electronic Physics (Radioelectrology)

Διαβάστε περισσότερα

Εθνικό Μετσόβιο Πολυτεχνείο Σχολή Ηλεκτρολόγων Μηχανικών - Μηχανικών Υπολογιστών. Αρχιτεκτονική Υπολογιστών Νεκτάριος Κοζύρης.

Εθνικό Μετσόβιο Πολυτεχνείο Σχολή Ηλεκτρολόγων Μηχανικών - Μηχανικών Υπολογιστών. Αρχιτεκτονική Υπολογιστών Νεκτάριος Κοζύρης. Εθνικό Μετσόβιο Πολυτεχνείο Σχολή Ηλεκτρολόγων Μηχανικών - Μηχανικών Υπολογιστών Αρχιτεκτονική Υπολογιστών Νεκτάριος Κοζύρης Εικονική Μνήμη Άδεια Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες

Διαβάστε περισσότερα

ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΡΟΛΟΓΙΣΤΗ. ΚΕΦΑΛΑΙΟ 7: Ρροςταςία Λογιςμικοφ - Ιοί

ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΡΟΛΟΓΙΣΤΗ. ΚΕΦΑΛΑΙΟ 7: Ρροςταςία Λογιςμικοφ - Ιοί ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΡΟΛΟΓΙΣΤΗ ΚΕΦΑΛΑΙΟ 7: Ρροςταςία Λογιςμικοφ - Ιοί Ρρόγραμμα-ιόσ (virus), Αντιϊικό πρόγραμμα (antivirus), Αντίγραφα αςφαλείασ (backup), Χάκερ (hacker) Είναι οι αποκθκευμζνεσ

Διαβάστε περισσότερα

Επιπλέον διδακτικό υλικό κρυφών μνημών: set-associative caches, πολιτικές αντικατάστασης, χειρισμός εγγραφών

Επιπλέον διδακτικό υλικό κρυφών μνημών: set-associative caches, πολιτικές αντικατάστασης, χειρισμός εγγραφών ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΡΗΤΗΣ Οργάνωση Υπολογιστών Επιπλέον διδακτικό υλικό κρυφών μνημών: set-associative caches, πολιτικές αντικατάστασης, χειρισμός εγγραφών Μανόλης Γ.Η. Κατεβαίνης Τμήμα Επιστήμης

Διαβάστε περισσότερα

Συστήματα Παράλληλης & Κατανεμημένης Επεξεργασίας

Συστήματα Παράλληλης & Κατανεμημένης Επεξεργασίας Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Συστήματα Παράλληλης & Κατανεμημένης Επεξεργασίας Ενότητα 10: Υποκλέπτοντα πρωτόκολλα. 2-state,3-state,4-state (MESI, dragon) cache coherent protocols. Συμφωνία

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΙΑΣ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ Η/Υ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΙΑΣ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ Η/Υ ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΙΑΣ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ Η/Υ ΤΕΛΙΚΟ ΔΙΑΓΩΝΙΣΜΑ ΣΤΗΝ ΟΡΓΑΝΩΣΗ ΣΤΟΥΣ Η/Y (ΗΥ232) Τετάρτη, 21 Δεκεμβρίου 2016 ΔΙΑΡΚΕΙΑ ΔΙΑΓΩΝΙΣΜΑΤΟΣ 3 ΩΡΕΣ Για πλήρη

Διαβάστε περισσότερα

Συνάφεια Κρυφής Μνήµης σε Επεκτάσιµα Μηχανήµατα

Συνάφεια Κρυφής Μνήµης σε Επεκτάσιµα Μηχανήµατα Συνάφεια Κρυφής Μνήµης σε Επεκτάσιµα Μηχανήµατα Συστήµατα µε Κοινή ή Κατανεµηµένη Μνήµη Σύστηµα µοιραζόµενης µνήµης 1 n $ $ Bus Mem I/O devices 1 n Σύστηµα κατανεµηµένης µνήµης Mem $ Mem $ Interconnection

Διαβάστε περισσότερα

Στα προθγοφμενα δφο εργαςτιρια είδαμε τθ δομι απόφαςθσ (ι επιλογισ ι ελζγχου ροισ). Ασ κυμθκοφμε:

Στα προθγοφμενα δφο εργαςτιρια είδαμε τθ δομι απόφαςθσ (ι επιλογισ ι ελζγχου ροισ). Ασ κυμθκοφμε: ΔΟΜΗ ΑΠΟΦΑΗ Στα προθγοφμενα δφο εργαςτιρια είδαμε τθ δομι απόφαςθσ (ι επιλογισ ι ελζγχου ροισ). Ασ κυμθκοφμε: Όταν το if που χρθςιμοποιοφμε παρζχει μόνο μία εναλλακτικι διαδρομι εκτζλεςθ, ο τφποσ δομισ

Διαβάστε περισσότερα

10/12/2013 Συστήματα κατανεμημένης μνήμης (ΙΙ) + Μετρικές και επιδόσεις Β. Δημακόπουλοσ

10/12/2013 Συστήματα κατανεμημένης μνήμης (ΙΙ) + Μετρικές και επιδόσεις Β. Δημακόπουλοσ Υ07 Παράλληλα Συστήματα 2013-14 10/12/2013 Συστήματα κατανεμημένης μνήμης (ΙΙ) + Μετρικές και επιδόσεις Β. Δημακόπουλοσ » αρχιτεκτονική διαδρομητή και τεχνικές μεταγωγής στο δίκτυο διασύνδεσης Ζνα δίκτυο

Διαβάστε περισσότερα

ΕΠΛ 605: ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ ΧΕΙΜΕΡΙΝΟ ΕΞΑΜΗΝΟ 2018 ΕΡΓΑΣΙΑ 3 (13/10/2018) Ηµεροµηνία Παράδοσης δεύτερου µέρους: 18/10/2018

ΕΠΛ 605: ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ ΧΕΙΜΕΡΙΝΟ ΕΞΑΜΗΝΟ 2018 ΕΡΓΑΣΙΑ 3 (13/10/2018) Ηµεροµηνία Παράδοσης δεύτερου µέρους: 18/10/2018 ΕΠΛ 605: ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ ΧΕΙΜΕΡΙΝΟ ΕΞΑΜΗΝΟ 2018 ΕΡΓΑΣΙΑ 3 (13/10/2018) Ηµεροµηνία Παράδοσης δεύτερου µέρους: 18/10/2018 Ηµεροµηνία Παράδοσης πρώτου µέρους: 25/10/2018 Θα πρέπει να παραδώσετε

Διαβάστε περισσότερα

Σ ΤΑΤ Ι Σ Τ Ι Κ Η. Statisticum collegium V

Σ ΤΑΤ Ι Σ Τ Ι Κ Η. Statisticum collegium V Σ ΤΑΤ Ι Σ Τ Ι Κ Η i Statisticum collegium V Στατιςτική Συμπεραςματολογία Ι Σημειακζσ Εκτιμήςεισ Διαςτήματα Εμπιςτοςφνησ Στατιςτική Συμπεραςματολογία (Statistical Inference) Το πεδίο τθσ Στατιςτικισ Συμπεραςματολογία,

Διαβάστε περισσότερα

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 5 θ Διάλεξθ Διαχείριςθ Μνιμθσ Μζροσ Α

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 5 θ Διάλεξθ Διαχείριςθ Μνιμθσ Μζροσ Α ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ 5 θ Διάλεξθ Διαχείριςθ Μνιμθσ Μζροσ Α Διαχείριςθ Μνιμθσ (1/2) υςτιματα μονοπρογραμματιςμοφ: Η κφρια μνιμθ χωρίηεται ςε δφο τμιματα: Ζνα τμιμα για το λειτουργικό ςφςτθμα, όπου είναι

Διαβάστε περισσότερα

Προγραμματισμός συστημάτων UNIX/POSIX. Θέμα επιλεγμένο από τους φοιτητές: Προγραμματιστικές τεχνικές που στοχεύουν σε επιδόσεις

Προγραμματισμός συστημάτων UNIX/POSIX. Θέμα επιλεγμένο από τους φοιτητές: Προγραμματιστικές τεχνικές που στοχεύουν σε επιδόσεις Προγραμματισμός συστημάτων UNIX/POSIX Θέμα επιλεγμένο από τους φοιτητές: Προγραμματιστικές τεχνικές που στοχεύουν σε επιδόσεις Βελτιστοποιήσεις με στόχο τις επιδόσεις Σε αρκετές περιπτώσεις δεν αρκεί να

Διαβάστε περισσότερα

Εικονική Μνήμη (Virtual Μemory)

Εικονική Μνήμη (Virtual Μemory) ΗΥ 232 Οργάνωση και Σχεδίαση Υπολογιστών Διάλεξη 16 Εικονική Μνήμη (Virtual Μemory) Νίκος Μπέλλας Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Η/Υ Απλό πείραμα int *data = malloc((1

Διαβάστε περισσότερα

ΤΕΧΝΙΚΕΣ ΑΥΞΗΣΗΣ ΤΗΣ ΑΠΟΔΟΣΗΣ ΤΩΝ ΥΠΟΛΟΓΙΣΤΩΝ I

ΤΕΧΝΙΚΕΣ ΑΥΞΗΣΗΣ ΤΗΣ ΑΠΟΔΟΣΗΣ ΤΩΝ ΥΠΟΛΟΓΙΣΤΩΝ I ΤΕΧΝΙΚΕΣ ΑΥΞΗΣΗΣ ΤΗΣ ΑΠΟΔΟΣΗΣ ΤΩΝ ΥΠΟΛΟΓΙΣΤΩΝ I MIPS Η MIPS (Microprocessor without Interlocked Pipeline Stages) είναι μία αρχιτεκτονική συνόλου εντολών (ISA) γλώσσας μηχανής που αναπτύχθηκε από την εταιρεία

Διαβάστε περισσότερα

Parallel Architectures

Parallel Architectures Parallel Architectures Coherence & Consistency cslab@ntua 2010 2011 Παράλληλες Αρχιτεκτονικές (1) Οι πολυεπεξεργαστές γνώρισαν ιδιαίτερη ανάπτυξη από τη δεκαετία των 90s : Servers Supercomputers για την

Διαβάστε περισσότερα

ΕΦΑΡΜΟΓΕ ΒΑΕΩΝ ΔΕΔΟΜΕΝΩΝ ΚΑΙ ΔΙΑΔΙΚΣΤΟΤ. Φιλιοποφλου Ειρινθ

ΕΦΑΡΜΟΓΕ ΒΑΕΩΝ ΔΕΔΟΜΕΝΩΝ ΚΑΙ ΔΙΑΔΙΚΣΤΟΤ. Φιλιοποφλου Ειρινθ ΕΦΑΡΜΟΓΕ ΒΑΕΩΝ ΔΕΔΟΜΕΝΩΝ ΚΑΙ ΔΙΑΔΙΚΣΤΟΤ Φιλιοποφλου Ειρινθ Βάςθ Δεδομζνων Βάζη δεδομένων είναι μια οπγανωμένη ζςλλογή πληποθοπιών οι οποίερ πποζδιοπίζοςν ένα ζςγκεκπιμένο θέμα.χπηζιμεύοςν ζηην Σςλλογή

Διαβάστε περισσότερα

Ιδιότθτεσ πεδίων Γενικζσ.

Ιδιότθτεσ πεδίων Γενικζσ. Οι ιδιότθτεσ των πεδίων διαφζρουν ανάλογα με τον τφπο δεδομζνων που επιλζγουμε. Ορίηονται ςτο κάτω μζροσ του παρακφρου ςχεδίαςθσ του πίνακα, ςτθν καρτζλα Γενικζσ. Ιδιότθτα: Μζγεκοσ πεδίου (Field size)

Διαβάστε περισσότερα

Cach O p i timisati tions

Cach O p i timisati tions Cache Optimisations i 1 Διαφορά Επίδοσης Processor-Memory Performance Gap: (grows 50% / year) 2 SRAM vs DRAM 1-transistor DRAM cell 6-transistor SRAM cell 3 Intel 45nm 6T SRAM cell 4 Ιεραρχία Μνήμης Πρέπει

Διαβάστε περισσότερα

Δίκτυα Μεταγωγισ Δεδομζνων

Δίκτυα Μεταγωγισ Δεδομζνων Δίκτυα Μεταγωγισ Δεδομζνων Χ.25 (1/9): Πρόκειται για ζνα πρωτόκολλο τθσ ITU για δίκτυα WAN, το οποίο κακορίηει πωσ ςυνδζονται οι ςυςκευζσ του χριςτθ και του δικτφου. Είναι ανεξάρτθτο από τον τφπο των ςυςτθμάτων

Διαβάστε περισσότερα

Εγχειρίδιο Χρήςησ Προςωποποιημζνων Υπηρεςιών Γ.Ε.ΜΗ. (Εθνικό Τυπογραφείο)

Εγχειρίδιο Χρήςησ Προςωποποιημζνων Υπηρεςιών Γ.Ε.ΜΗ. (Εθνικό Τυπογραφείο) Εγχειρίδιο Χρήςησ Προςωποποιημζνων Υπηρεςιών Γ.Ε.ΜΗ. (Εθνικό Τυπογραφείο) Ιοφνιοσ 2013 Περιεχόμενα: Ειςαγωγή... 3 1.Εθνικό Τυπογραφείο... 3 1.1. Είςοδοσ... 3 1.2. Αρχική Οθόνη... 4 1.3. Διεκπεραίωςη αίτηςησ...

Διαβάστε περισσότερα

Πωσ δθμιουργώ φακζλουσ;

Πωσ δθμιουργώ φακζλουσ; Πωσ δθμιουργώ φακζλουσ; Για να μπορζςετε να δθμιουργιςετε φακζλουσ ςτο χαρτοφυλάκιό ςασ ςτο Mahara κα πρζπει να μπείτε ςτο ςφςτθμα αφοφ πατιςετε πάνω ςτο ςφνδεςμο Mahara profiles από οποιοδιποτε ςελίδα

Διαβάστε περισσότερα

Εφαρμογέσ Μικροχπολογιςτών ςτισ Τηλεπικοινωνίεσ. Έλεγχοσ ςειριακήσ θφρασ του 8051 (Serial Port)

Εφαρμογέσ Μικροχπολογιςτών ςτισ Τηλεπικοινωνίεσ. Έλεγχοσ ςειριακήσ θφρασ του 8051 (Serial Port) Εφαρμογέσ Μικροχπολογιςτών ςτισ Τηλεπικοινωνίεσ Έλεγχοσ ςειριακήσ θφρασ του 8051 (Serial Port) 8051 Serial Ports Port Bit Name Alternate Function P3.0 RxD Receive data for serial port P3.1 TxD Transmit

Διαβάστε περισσότερα

Αςφάλεια και Προςταςία Δεδομζνων

Αςφάλεια και Προςταςία Δεδομζνων Αςφάλεια και Προςταςία Δεδομζνων Κρυπτογράφθςθ υμμετρικι και Αςφμμετρθ Κρυπτογραφία Αλγόρικμοι El Gamal Diffie - Hellman Σςιρόπουλοσ Γεώργιοσ ΣΙΡΟΠΟΤΛΟ ΓΕΩΡΓΙΟ 1 υμμετρικι Κρυπτογραφία υμμετρικι (Κλαςικι)

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ

ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ Δίκτυα Επικοινωνιών ΙΙ Διδάςκων: Απόςτολοσ Γκάμασ (Διδάςκων ΠΔ 407/80) Βοθκόσ Εργαςτθρίου: Δθμιτριοσ Μακρισ Ενδεικτική Λύση 3

Διαβάστε περισσότερα

Αυτόνομοι Πράκτορες. Αναφορά Εργασίας Εξαμήνου. Το αστέρι του Aibo και τα κόκαλα του

Αυτόνομοι Πράκτορες. Αναφορά Εργασίας Εξαμήνου. Το αστέρι του Aibo και τα κόκαλα του Αυτόνομοι Πράκτορες Αναφορά Εργασίας Εξαμήνου Το αστέρι του Aibo και τα κόκαλα του Jaohar Osman Η πρόταςθ εργαςίασ που ζκανα είναι το παρακάτω κείμενο : - ξ Aibo αγαπάει πάρα πξλύ ρα κόκαλα και πάμρα ρα

Διαβάστε περισσότερα

Κεφάλαιο 7 Ιεραρχία Μνήμης (Memory Hierarchy)

Κεφάλαιο 7 Ιεραρχία Μνήμης (Memory Hierarchy) Κεφάλαιο 7 Ιεραρχία Μνήμης (Memory Hierarchy) 1 Συστήματα Μνήμης Η οργάνωση του συστήματος μνήμης επηρεάζει τη λειτουργία και απόδοση ενός μικροεπεξεργαστή: Διαχείριση μνήμης και περιφερειακών (Ι/Ο) απότολειτουργικόσύστημα

Διαβάστε περισσότερα