Ψηφιακά Συστήματα VLSI

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Ψηφιακά Συστήματα VLSI"

Transcript

1

2

3 Ψηφιακά Συστήματα VLSI. ΑΡΙΘΜΗΤΙΚΑ ΚΥΚΛΩΜΑΤΑ VLSI Αθροιστές, Πολλαπλασιαστές (Σειριακοί- Παράλληλοι). ΠΡΑΞΕΙΣ ΜΕ ΠΡΟΣΗΜΑΣΜΕΝΟΥΣ ΑΡΙΘΜΟΥΣ Συμπλήρωμα ως προς, Αφαιρέτες, Booth, Modified Booth, αριθμητικά συστήματα περίσσειας (Redundant), Signed Digit αριθμητική, κανονική παράσταση αριθμών 3. ΥΛΟΠΟΙΗΣΗ ΨΗΦΙΑΚΩΝ ΦΙΛΤΡΩΝ Μετασχηματισμοί Γράφων, Φίλτρα FIR, Κυκλώματα πράξεων με RSD αριθμούς

4 ΑΡΙΘΜΗΤΙΚΑ ΚΥΚΛΩΜΑΤΑ VLSI Ελαχιστοποίηση καθυστέρησης Ελαχιστοποίηση επιφάνειας chip Επιλογή κατάλληλης τεχνολογίας VLSI ΤΕΧΝΙΚΕΣ ΣΧΕΔΙΑΣΗΣ & ΜΕΤΑΤΡΟΠΗΣ ΚΥΚΛΩΜΑΤΩΝ Μεγιστοποίηση του ρυθμού λειτουργίας Κυκλώματα συνεχούς διοχέτευσης (pipeline) Συστολικά (systolic) δίκτυα

5 Αθροιστές ΒΑΣΙΚΗ ΔΟΜΙΚΗ ΜΟΝΑΔΑ Πλήρης Αθροιστής (Full Adder: ) b a s = a b c in c out = ab ac in bc in c out c in Παράλληλος Αθροιστής s Σειριακός Αθροιστής

6 Πίνακας αληθείας και Πίνακας Καρνώ Πλήρη-Αθροιστή α b c in s c out Με την βοήθεια των πινάκων εξάγουμε τις αλγεβρικές σχέσεις ανάμεσα στα σήματα: s: ab c in c out : c in ab s= c in ab ac in b bac in c in ab c out = c in b ab ac in

7 Σχηματικό Πλήρη-Αθροιστή s = a b c in c out = ab ac in bc in a b c in s c in a b a b c out

8 Σχηματικό Πλήρη-Αθροιστή Αν p= τότε a=b (,) ή (,) c out =a ή b Αν p= τότε a b (,) ή (,) c out =c in c in c out a b c in p s s: ab c in c out : c in ab s= c in ab ac in b bac in c in ab c out = c in b ab ac in

9 Σχηματικό Πλήρη-Αθροιστή 3 s = a b c in (a b c in ) (~c out ) c out = a b a c in b c in a b C out s c in a b a b C out

10 Αθροιστής διάδοσης κρατουμένου 4-bits b 3 a 3 b a b a b a c out c 3 c c c s 3 s s s Delay=n T η μέγιστη καθυστέρηση Πρακτικά όμως η κατά μέσο όρο καθυστέρηση είναι: Delay=(log n) T Σε περίπτωση διαφορετικής καθυστέρησης μεταξύ S και Cout έχουμε μέγιστη καθυστέρηση (T S > T Cout ) Delay= T S (n-) T Cout

11 Μετατροπή παράλληλου κυκλώματος σε κύκλωμα διοχέτευσης Data In Σ.Κ. Σ.Κ. Σ.Κ Σ.Κ. n Data out Σ.Κ. : Συνδυαστικό Κύκλωμα Data In Σ.Κ. D Σ.Κ.... D Σ.Κ. n Data out Clock... D: Σειρά Μανδαλωτών

12 Επιλογή σημείων παρεμβολής καθυστερήσεων b 3 a 3 b a b a b a c out c 3 c c c s 3 s s s

13 Ροή δεδομένων σε ένα pipeline αθροιστή Πίνακας Συνεχόμενης Άθροισης τεσσάρων αριθμών των 4-bit 4 4 a 3 b3 4 4 a b 4 4 a b 4 4 a b 3 3 a 3 b3 3 3 a b 3 3 a b 3 3 a b a 3 b3 a b a b s 3 a 3 b3 a b s s a 3 b3 s s s s 3 s s s

14 Προϋποθέσεις συστολικότητας Τα κύτταρα που αποτελούν το κύκλωμα πρέπει να είναι πανομοιότυπα. Πρέπει να υποστηρίζεται η λογική της συνεχούς διοχέτευσης. Απαγορεύεται να υπάρχουν γραμμές σημάτων μεταξύ των κυττάρων που να μην διακόπτονται από στοιχεία καθυστέρησης (latches). Απλή κατασκευή Υψηλή ταχύτητα λειτουργίας

15 Ο αθροιστής διάδοσης κρατουμένου σε λειτουργία συνεχούς διοχέτευσης b 3 a 3 b a b a b a c c 4 s 3 s s s = Delay

16 Συστολικό κύκλωμα παράλληλου αθροιστή με ημιαθροιστές b 3 a 3 b a b a b a s 4 s 3 s s s = Delay

17 Πρόσθεση m αριθμών (με n-bit ο καθένας) a n a n... a a a a n-bit Adder PS n a n... PS a PS a n-bit Adder PS n m m a n... PS m a m PS m a m n-bit Adder... Sn S S T ( m ) n T

18 Δίκτυο αθροιστών διάδοσης κρατουμένου an a n a n a n a... a a a Συνολική καθυστέρηση T= (nm-)t n S m A j j PS n n a n n- n a n PS 3 a PS a.. nm- m m a m n a m n a.. nm m. a. m- s n- s n-... s s

19 5Χ4 ripple carry αθροιστής συνεχούς διοχέτευσης Ρυθμός Λειτουργίας F= /T T D = /T Clock Συνολική καθυστέρηση T= (nm-)t Clock Όπου T D είναι η πρόσθετη καθυστέρηση που εισάγει η κάθε μονάδα D-Flip Flop. Latency=(m-)T Clock TIME

20 Αθροιστής 5 αριθμών με σώσιμο κρατουμένου a 3 a 3 a 3 a a a a a a a a a 3 a 3 3 a 3 a 3 a Συνολική καθυστέρηση: T= (m-)t (n-)t όπου m=πλήθος αριθμών n=πλήθος bit α 4 3 α 4 α 4 4 a Αποτέλεσμα σε μορφή αθροίσματοςκρατουμένου Τελικός αθροιστής διάδοσης κρατουμένου

21 Αθροιστής 5 αριθμών με σώσιμο κρατουμένου & συνεχή διοχέτευση a 3 a 3 a 3 a a a a a a a a a Ρυθμός Λειτουργίας 3 a 3 3 a 3 a 3 a F= /(T T D) = /T Clock Συνολική καθυστέρηση T= (nm-)t Clock 4 a 3 4 a 4 a 4 a Αποτέλεσμα σε μορφή αθροίσματοςκρατουμένου Latency=(n m-)t Clock Τελικός αθροιστής διάδοσης κρατουμένου

22 Αθροιστής 8 (m) αριθμών (Α Α 7 ) Έστω m=8 το πλήθος των αριθμών Συνολικός αριθμός Καταχωρητών=m(m-)/=8 Latency=(m-) T Clock =7 T Clock Για n=πλήθος bit, T, Τ c οι καθυστερήσεις αθροίσματος και κρατουμένου αντίστοιχα Συνδυαστική καθυστέρηση Τ Σ T Σ = (m-)t S nt c A A A A 3 A 4 A 5 A 6 A 7 o Επίπεδο καταχωρητώ (ΕΚ) o (ΕΚ) 3o (ΕΚ) 4o (ΕΚ) 5o (ΕΚ) 6o (ΕΚ)

23 Αθροιστής δυαδικού δένδρου 8 αριθμών (Α Α 7 ) A A A A 3 A 4 A 5 A 6 A 7 o Επίπεδο καταχωρητών (ΕΚ) o (ΕΚ) ΣΑ i Συνολικός αριθμός Καταχωρητών= m- Latency=log m T Clock =3 T Clock-ADDER

24 Αθροιστής CARRY-SAVE (CSA) z 7y7 z 6y6 z 5y5 z 4y4 z 3y3 z y z y z y x 7 x 6 x 5 x 4 x 3 x x x s 8 c 7 s 7 c 6 s 6 c 5 s 5 c 4 s 4 c 3 s 3 c s c s c s c - Z Y X (n) - bit C.S. ΑΘΡΟΙΣΤΗΣ S C (n) - bit

25 ΠΡΟΣΘΕΣΗ 8 (m) ΑΡΙΘΜΩΝ ΜΕ ΔΕΝΔΡΟ CSA A A A A 3 A 4 A 5 A 6 A 7 Συνολικός αριθμός Καταχωρητών m-3=3 CSA CSA CSA CSA o Επίπεδο καταχωρητ (ΕΚ) Latency= log 3/ m T Clock =.7log m T Clock = 5 T Clock- o (ΕΚ) Συνδυαστική καθυστέρηση Τ Σ T Σ =.7log m T S nt c CSA 3o (ΕΚ) CSA 4o (ΕΚ) ΑΠΛΟΣ ΑΘΡΟΙΣΤΗΣ ΣΑ i

26 ΚΑΘΥΣΤΕΡΗΣΗ (Latency) ΤΥΠΟΣ ΣΕΙΡΙΑΚΟ m m- ΔΕΝΔΡΟ ΔΕΝΔΡΟ CS log m.7log m

27 Δυαδικό δένδρο 8 αριθμών (Α Α 7 ) με αθροιστές διάδοσης κρατουμένου A A A A 3 A 4 A 5 A 6 A 7 Αθροιστές διαδοσης κρατουμένου Έστω n=πλήθος bit και T S, Τ c οι καθυστερήσεις αθροίσματος και κρατουμένου αντίστοιχα. Συνολική συνδυαστική καθυστέρηση για m αριθμούς είναι: T Σ = T S (log m) T c n ΣΑ i Αν T c << T S πχ. T c = T S /4 τότε: T Σ = T S (log m n/4) Στη συνδυαστική του μορφή είναι ταχύτερο από το προηγούμενο σχήμα.

28 Αθροιστές διάδοσης κρατουμένου Σε ένα πλήρη αθροιστή έχουμε: s i =(a i b i )c i και c i =a i b i (a i b i )c i Θέτω p i = a i b i και g i =a i b i Έχουμε S i =p i c i και c i = g i p i c i Για το νέο κρατούμενο εξόδου έχουμε τα εξής: Αν g i = «Γεννιέται» κρατούμενο στην ίδια βαθμίδα Αν p i = «Διαδίδεται» κρατούμενο από προηγούμενη βαθμίδα b i a i b 3 a 3 b a b a b a c out c 3 c c c g i p i s 3 s s s c i c i CLA s i

29 Τα σήματα PGK For a full adder, define what happens to carries Generate: C out = independent of C G = A B Propagate: C out = C P = A B Kill: C out = independent of C K = ~A ~B

30 Carry-Ripple Adder G G P G i: i i i : A 4 B 4 A 3 B 3 A B A B C in Γεννήτρια PG G 4 P 4 G 3 P 3 G P G P G P Συγκρότημα δημιουργίας κρατουμένου G 3: G : G : G : C 3 C C C Γεννήτρια αθροίσματος C 4 C out S 4 S 3 S S

31 Delay Carry-Ripple PG Diagram Bit Position t t ( N ) t t ripple pg AO xor 5: 4: 3: : : : 9: 8: 7: 6: 5: 4: 3: : : : 3

32 Αθροιστές πρόβλεψης κρατουμένου C = G P C C = G P G P P C C 3 = G P G P P G P P P C C 4 = G 3 P 3 G P 3 P G P 3 P P G P 3 P P P C Η γενική μορφή της σχέσης για το κρατούμενο είναι η παρακάτω: ))))) ( (... ( ( ( P PC P P P P G P P P G P P P G P P P G G PC G P P G P G P G P G C n n n n n n n n n n n n n n n n n n n n n n n n n

33 Γεννήτρια πρόβλεψης κρατουμένου C 3 =G P G P P G P P P C P G C = G P G P P C P G C = G P C P G C

34 Αθροιστές δυαδικής πρόβλεψης κρατουμένου X x n i nxn... x xi i Y y n i n yn... y yi i Ορίζουμε ως προ-κρατουμένο το ζεύγος (G,P)=Γ για κάθε σύνολο από συνεχόμενα bits δύο αριθμών που είναι ταυτόσημο με αυτό για ένα ζευγάρι bits. Y X Cout = G P Cin C out n -bit carry circuit C in G P Αν G= «Γεννιέται» κρατούμενο στην ίδια βαθμίδα Αν P= «Διαδίδεται» κρατούμενο από προηγούμενη βαθμίδα

35 Carry-Skip Adder Carry-ripple is slow through all N stages Carry-skip allows carry to skip over groups of n bits Decision based on n-bit propagate signal A 6:3 B 6:3 A :9 B :9 A 8:5 B 8:5 A 4: B 4: P 6:3 P :9 P 8:5 P 4: C out C C 8 C C in S 6:3 S :9 S 8:5 S 4: N=k b, b=block size, k=number of blocks t add =t pg (b-) t carry (k-) t MUX t XOR

36 Carry-Skip PG Diagram For k n-bit groups (N = nk) 6: 5: 4: 3: : : : 9: 8: 7: 6: 5: 4: 3: : : : tskip tpg n ( k ) t AO t xor

37 Carry-Lookahead Adder Modules Carry-lookahead adder computes Gi: for many bits in parallel. Uses higher-valency cells with more than two inputs. A 6:3 B 6:3 A :9 B :9 A 8:5 B 8:5 A 4: B 4: C out G 6:3 P 6:3 C G :9 P :9 C 8 G 8:5 P 8:5 C 4 G 4: P 4: C in S 6:3 S :9 S 8:5 S 4: N=k b, b=block size, k=number of blocks t add = t pg t pg(b) {(b-)(k-)} t AO t XOR

38 CLA PG Diagram : 5: 4: 3: : : : 9: 8: 7: 6: 5: 4: 3: : : :

39 Carry-Select Adder Trick for critical paths dependent on late input X Precompute two possible outputs for X =, Select proper output when X arrives Carry-select adder precomputes n-bit sums For both possible carries into n-bit group A 6:3 B 6:3 A :9 B :9 A 8:5 B 8:5 A 4: B 4: C out C C 8 C 4 C in S 6:3 S :9 S 8:5 S 4: N=k b, b=block size, k=number of blocks t add = t pg {b(k-)} t AO t mux

40 Carry-Select Adder Factor initial PG and final XOR out of carry-select : 9:8 5:4 4: :8 6:4 5: :8 7:4 5: 4: 3: : : : 9: 8: 7: 6: 5: 4: 3: : : : tincrement tpg n ( k ) t AO t xor

41 Carry-Select Adders - Variable Group Size : 8:7 5:4 3: 3: 9:7 6:4 4: :7 5: 5: 4: 3: : : : 9: 8: 7: 6: 5: 4: 3: : : : : 8:7 5:4 3: : 3: 9:7 6:4 3: 4: :7 6: 5: 5: 4: 3: : : : 9: 8: 7: 6: 5: 4: 3: : : :

42 Αθροιστές δυαδικής πρόβλεψης κρατουμένου X x n i nxn... x xi i Y y n i n yn... y yi i Ορίζουμε ως προ-κρατουμένο το ζεύγος (G,P)=Γ για κάθε σύνολο από συνεχόμενα bits δύο αριθμών που είναι ταυτόσημο με αυτό για ένα ζευγάρι bits. Y X Cout = G P Cin C out n -bit carry circuit C in G P Αν G= «Γεννιέται» κρατούμενο στην ίδια βαθμίδα Αν P= «Διαδίδεται» κρατούμενο από προηγούμενη βαθμίδα

43 Αθροιστές δυαδικής πρόβλεψης κρατουμένου Αν έχω δυο συνεχόμενα υποσύνολα προ-κρατουμένων Γ και Γ τότε το ολικό είναι Γ= (G,P) = (G G P, P P ). Συμβολίζουμε την πράξη αυτή ως Γ= Γ Γ = (G,P )(G,P ) = (G,P) = (G G P, P P ) Y X Y X C out k-bit carry circuit k-bit carry circuit C in G P G P P=P P G=G G P

44 Το κύκλωμα του τελεστή για ένα bit O τελεστής για ένα bit στη κάθε ομάδα δηλ. αφορά σε δυο ζευγάρια συνεχόμενων bit (x,y ) (x,y ) δίνεται από τη σχέση: γ - = γ γ = (g,p )(g,p ) g p g p g p g - = g g p p - = p p g - p -

45 Προσεταιριστική ιδιότητα & υπολογισμός αθροίσματος Για την πράξη αυτή ισχύει η προσεταιριστική ιδιότητα: Γ 3 (Γ Γ ) = (G 3, P 3 )(G G P, P P ) = (G 3 P 3 G P P 3 G, P P P 3 ) (Γ 3 Γ )Γ = (G 3 G P 3, P P 3 )(G, P ) = ( G 3 P 3 G P P 3 G, P P P 3 ) Θέτω γ i =(g i,p i )=(x i y i, x i y i ) για το ζευγάρι των bit (x i,y i ) Ορίζω το προ-κρατούμενο Γ i για τα bit από έως i των x και y. Γ i =γ i- =(γ i (γ i- (γ i- ( (γ γ )))..)=(P i, G i ) Τα αθροίσματα σε κάθε θέση i δίνονται από τη σχέση c i =(G i- P i- c ) και s i =x i y i c i =p i (G i- P i- c ) Έτσι για το s 4 =p 4 (G 3 P 3 c ) όπου Γ 3 = γ (γ γ )=(P 3, G 3 ) Για το c 7 =(G 6 P 6 c ) όπου Γ 6 = (γ 6 (γ 5 γ 4 )) ((γ 3 γ ) (γ γ ))=(P 6, G 6 )

46 Υπολογισμός με τη χρήση δένδρου γ -3 x y x y x y x y x y x y x y x y 7 7 γ 7 6 γ γ 5 γ 4 γ 3 γ γ γ 8- γ 6-7 γ 4-5 γ -3 γ - γ 4-7 γ 8-3 γ -3 Γ 3 =γ -3 γ - γ 8-9 γ 6-7 γ 4-5 γ -3 γ - =γ -3 γ 8- γ 4-7 γ -3 =γ 8-3 γ -7 γ-3 =Γ 3 =(G 3,P 3 ) γ-7 =Γ 7 =(G 7,P 7 ) C i =(G i- P i- C ) S i =p i C i C 8 C 7 C 6 C 5 C 4 C 3 C C p p p p p p p p 7 s s s s s s s s C

47 Αθροιστής 8-bit με δυαδικό CLA y 7 x 7 y 6 x 6 y 5 x 5 y 4 x 4 y x x x y 3 x 3 y y p 6 p 5 g g p 4 p 3 p 7 p =x y p p P P 3 P P 7 P 6 P 5 P 4 G 6 G 5 G 4 G 7 G G 3 G G c = p 6 p 5 p 4 p 7 p p 3 p p C 8 s 6 s 5 s 4 s 7 s s 3 s s

48 Αθροιστής 6-bit πρόβλεψης κρατουμένου y 7 x 7 y 6 x 6 y 5 x 5 y 4 x 4 y x x x y 3 x 3 y y y 7 x 7 y 6 x 6 y 5 x 5 y 4 x 4 y x x x y 3 x 3 y y g 5 g 4 p 4 g 3 p 3 g p p 5 p 9 g p 7 p 7 g 6 g 5 g g p =x y p g p g 9 g 8 g 7 p 6 p 5 g 4 p 4 g 3 p 3 g p p P P 3 P C 6 G 4 G 3 G G G G 5 G 8 p 4 p 3 p p 5 p 8 p p G 9 p 9 P 9 P 8 P 7 P 6 P 5 P 4 G 6 G 5 G 4 G 7 G p 6 p 5 p 4 p 7 p p 3 G 3 p G p G C = s 4 s 3 s s 5 s 8 s s s 9 s 6 s 5 s 4 s 7 s s 3 s s

49 Tree Adder If lookahead is good, lookahead across lookahead! Recursive lookahead gives O(log N) delay Many variations on tree adders

50 PG Diagram Notation Black cell Gray cell Buffer i:k k-:j i:k k-:j i:j i:j i:j i:j G i:k P i:k G k-:j G i:j G i:k P i:k G i:j G k-:j G i:j G i:j P k-:j P i:j P i:j P i:j

51 Sklansky :4 3: : 9:8 7:6 5:4 3: : 5: 4: :8 :8 7:4 6:4 3: : 5:8 4:8 3:8 :8 5: 4: 3: : : : 9: 8: 7: 6: 5: 4: 3: : : : N=k b, b=block size, k=number of blocks t add = t pg {log N} t AO t xor

52 Brent-Kung :4 3: : 9:8 7:6 5:4 3: : 5: :8 7:4 3: 5:8 7: : 3: 9: 5: 5: 4: 3: : : : 9: 8: 7: 6: 5: 4: 3: : : : N=k b, b=block size, k=number of blocks t add = t pg {log N-} t AO t xor

53 Πολλαπλασιαστές n- ΑΒ = Α { b b b n- b n- } = Α i= i b i... n- b n- A (m bits) b A (m bits) b A (m bits) b A (m bits) B A (mn bits)

54 Οι πολλαπλασιαστές διακρίνονται σε πολλές κατηγορίες ανάλογα με: Το είδος των αριθμών που πολλαπλασιάζουμε (θετικοί ή προσημασμένοι). Την κωδικοποίηση των αριθμών (Booth, Modified Booth, Signed Digit, Canonical Signed Digit) Τον τρόπο εισαγωγής και επεξεργασίας τους (παράλληλα, σειριακά, σειριακά-παράλληλα) Τη σειρά που εισέρχονται τα bits των αριθμών στο κύκλωμα του πολλαπλασιαστή (LSB-first, MSB-first). Τον ρυθμό λειτουργίας τους (πολλαπλασιαστές διοχέτευσης, συστολικοί) Ειδικότερα θα γίνει η παρουσίαση της δομής και λειτουργίας: Των παράλληλων πολλαπλασιαστών (δίκτυα με κανονικό σχήμα CSA, CLA και CPA) Του σειριακού-παράλληλου και του σειριακού πολλαπλασιαστή διοχέτευσης για θετικούς αριθμούς. Επίσης παράλληλου πολλαπλασιαστή που δεν έχει κανονικό σχήμα και επιτρέπει μεγαλύτερες ταχύτητες λειτουργίας.

55 Παράλληλοι Πολλαπλασιαστές m i i a i A n i i b i B ) ( m i n j m i n j n m k k k j i j i j j i i p a b b a B A P Μερικά γινόμενα πολλαπλασιαστή των 4-bit α 3 α α α Πολ/στέος b 3 b b b Πολ/στής α 3 b α b α b α b α 3 b α b α b α b α 3 b α b α b α b α 3 b 3 α b 3 α b 3 α b 3 p p 6 p 5 p 4 p 3 p p p Γινόμενο

56 Παράλληλος πολλαπλασιαστής με αθροιστές διάδοσης κρατουμένου * s in a j c out s out b i c in a 3 a a * * * * a b P(nm)=a(n)b(m) Συνολική καθυστέρηση T= (nm-)t T AND * * * * * * * * b b * * * * b 3 p 7 p 6 p 5 p 4 p 3 p p p

57 Μετατροπή του ripple carry πολλαπλασιαστή σε συνεχούς διοχέτευσης και σε συστολική μορφή s in a j b D i a 3 a a a c out D D D D c in 4 3 b s out b b b 3 p 7 p 6 p 5 p 4 p 3 p p p

58 Παράλληλος πολλαπλασιαστής 4Χ4 με σώσιμο κρατουμένου ~ s in a j a a a b i ~ a 3 b ~ ~ ~ c in c s ~ ~ ~ ~ b c out s out P(nm)=a(n)b(m) Συνολική καθυστέρηση T= (nm)t T AND ~ ~ ~ ~ b b 3 ~ ~ ~ ~ p 8 p 7 p 6 p 5 p 4 p 3 p p p

59 Pipeline πολλαπλασιαστής 4Χ4 με σώσιμο κρατουμένου ~ s in a j ~ a 3 a a a ~ b ~ ~ b i c in ~ ~ ~ ~ b D D c out c D s s out ~ ~ ~ ~ b : Delay ~ ~ ~ ~ b 3 p 3 p 7 p 6 p 5 p 4 p p p

60 Παράλληλος συστολικός πολλαπλασιαστής με σώσιμο κρατουμένου a 3 a a a s in a j 4 3 b D D D D c D c out s D s out b i c in b 3 3 b t=5 t=4 b t=3 t= t= t=6 9 3 p 7 p 6 p 5 p 4 p 3 p p p

61 Παράλληλος συστολικός πολλαπλασιαστής McCanny b i s in aj c in b b a 3 a b 3 b a 4 a c out s out p 7 p 6 p 5 p 4 p 3 p p p

62 Παράλληλος Πολλαπλασιαστής με συνδυασμό σώσιμου και διάδοσης κρατουμένου * s in a j c out c out s out b i c in * a 3 a a * * * a b * * * * b P(nm)=a(n)b(m) Συνολική καθυστέρηση T= (nm-)t T AND * * * * b * * * * b 3 p 7 p 6 p 5 p 4 p 3 p p p

63 Δένδρα Wallace W 9 W 8 W 7 W 6 W 5 W 4 W 3 W W P(nm)=a(n)b(m) Συνολική καθυστέρηση T=(,7log m)t T AND log nt pg C.S.A C.S.A C.S.A C.S.A C.S.A C.S.A C.S.A C.P.A Χρειάζονται log 3/ m=,7log m επίπεδα

64 Ομαδοποίηση μερικών γινομένων a b 4 a b 3 a b a b a b a b 4 a b 3 a b a b a b a b 4 a b 3 a b a b a b a 3 b 4 a 3 b 3 a 3 b a 3 b a 3 b a 4 b 4 a 4 b 3 a 4 b a 4 b a 4 b

65 Πολλαπλασιαστής Wallace 5x5 a b 4 a 3 b 3 a 4 b a b 3 a 3 b a 4 b a b a 3 b a 4 b a b a b a 3 b a b a b a b a b 4 a b 3 a 3 b 4 a 4 b 3 a b 3 a b 4 a 4 b 4 a b a b a b Αθροιστής πρόβλεψης κρατουμένου ή Αθροιστής διάδοσης κρατουμένου p 9 p 8 p 7 p 6 p 5 p 4 p 3 p p p

66 Στάδια Ομαδοποίησης πολλαπλασιαστή Wallace Στάδιο ο : Κατακόρυφη ομαδοποίηση μερικών γινομένων ανά τρία x x x x x x Στάδιο ο : Αντικατάσταση με τις εξόδους των και νέα ομαδοποίηση x x x x x Στάδιο3 ο : Αντικατάσταση με τις εξόδους των και νέα ομαδοποίηση x x x x x Στάδιο 4 ο : Τελική μορφή του δένδρου Wallace x Αρχικό μερικό γινόμενο πολλαπλασιαστή Άθροισμα πλήρη αθροιστή Κρατούμενο πλήρη αθροιστή

67 Πολλαπλασιαστής Wallace 5x5 a b 4 a 3 b 3 a 4 b a b 3 a 3 b a 4 b a b a 3 b a 4 b a b a b a 3 b a b a b a b a b a b a b 3 a b 4 a b 3 a 3 b 4 a 4 b 3 a b 4 a 4 b 4 a b Αθροιστής πρόβλεψης κρατουμένου p 9 p 8 p 7 p 6 p 5 p 4 p 3 p p p

68 Πολλαπλασιαστής Wallace 6x6 W 5 W 4 W 3 W W W C.S.A C.S.A C.S.A a 4 b a 3 b a b a 5 b Ab a b a b Ab a 5 b a 4 b a 3 b a b a b a b a 5 b a 4 b a 3 b a b a b a b Ab C.S.A CLA Adder 5 Ab 5 a 5 b 5 a 4 b 5 a 3 b 5 y 7 a b 5 x 7 y 6 y 5 x 6 a b 5 a b 5 x 5 4 Ab 4 a 5 b 4 a 4 b 4 a 3 b 4 a b 4 a b 4 a b 4 3 Ab 3 a 5 b 3 a 4 b 3 a 3 b 3 a b 3 a b 3 a b 3 y 7 x 7 y 6 x 6 y 5 x 5 Ταχύς Αθροιστής πρόβλεψης κρατουμένου p p p 9 p 8 p 7 p 6 p 5 p 4 p 3 p p p

69 Πολλαπλασιαστή Wallace 6X6

70 ΕΡΩΤΗΜΑ ΝΑ ΜΕΤΑΤΡΑΠΕΙ Ο ΠΟΛΛΑΠΛΑΣΙΑΣΤΗΣ WALLACE 5X5 ΣΕ ΣΧΗΜΑ ΠΟΥ ΝΑ ΔΕΧΕΤΑΙ ΠΡΟΣΗΜΑΣΜΕΝΟΥΣ ΑΡΙΘΜΟΥΣ. Να αντικατασταθεί ο γρήγορος αθροιστής από μια σειρά F-A.

71 ΣΕΙΡΙΑΚΟΣ ΑΘΡΟΙΣΤΗΣ b n a n Έναρξη νέας πρόσθεσης Clock C n D Flip-Flop Q Μονάδα καθυστέρησης C n s n

72 Σειριακός- Παράλληλος Πολλαπλασιαστής AX=Ax Ax Ax Ax 3 3 α 3 x α x α x α x α 3 x α x α x α x α 3 x α x α x α x α 3 x 3 α x 3 α b 3 α x 3 p 7 p 6 p 5 p 4 p 3 p p p Γινόμενο x i a 3 a a a s 3 s s D D D s c 3 c c c D D D D

73 Ξεδίπλωμα στο χρόνο του Σειριακού-Παράλληλου Πολλαπλασιαστή b i c c out s in s s out a j c in x 3 x 4 a 3 a x x p a a t= p t= p t=3 t= p 3 t= p 7 6 p 4 p 5 p 6 t=8 t=6 t=7

74 Ενταμίευση Συντελεστών Χρονισμός shift a 3 a a a D D D D a 3 a a a x 3 x x x s 3 s s D D D s c 3 c c c D D D D shift a a a a a 3 (stable a) a a a a 3 x x x x x 3 (new x) p=ax p p p p 3 p 4 p 5 p 6 p 7 (new p)

75 Εκτίμηση Επίδοσης Ψηφιακών Κυκλωμάτων Για να εκτιμήσουμε το βαθμό της επίδοσης στην υλοποίηση ενός αλγόριθμου θα πρέπει να υπολογιστεί η ποσότητα : E = /AT όπου A= το συνολικό hardware της υλοποίησης ή αν θεωρήσουμε VLSI υλοποίηση την αντίστοιχη επιφάνεια και Τ= ο απαιτούμενος χρόνος εκτέλεσης του αλγόριθμου. Ας υποθέσουμε ότι έχουμε μια παράλληλη και μια σειριακή υλοποίηση του ίδιου αλγόριθμου που χρειάζεται 8 φορές περισσότερους κύκλους ρολογιού από την παράλληλη. Α A Τ Τ Παράλληλη υλοποίηση: Hardware or Area: Α = 8A Χρόνος εκτέλεσης : Τ = Τ E = /8AT (επίδοση) P =k*8af clock (κατανάλωση) Σειριακή υλοποίηση: Hardware or Area: A = A Χρόνος εκτέλεσης: Τ = 8Τ E = /8AT, P =k*af clock Μαθηματικά φαίνεται να απαιτείται η ίδια ενέργεια, στην πράξη όμως A >Α και Τ >8Τ W << W

76

ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ : Κ. ΠΕΚΜΕΣΤΖΗ

ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ : Κ. ΠΕΚΜΕΣΤΖΗ ΠΡΑΞΕΙΣ ΜΕ ΠΡΟΣΗΜΑΣΜΕΝΟΥΣ ΑΡΙΘΜΟΥΣ ΚΥΚΛΩΜΑΤΙΚΕΣ ΕΦΑΡΜΟΓΕΣ ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ & ΠΑΡΑΣΤΑΣΗ ΑΡΙΘΜΩΝ Συμπλήρωμα ως προς 2 Booth, Modified Booth Reduntant αριθμητικά συστήματα Signed Digit αριθμητική Κανονική

Διαβάστε περισσότερα

Πρόλογος Το αντικείμενο της ψηφιακής σχεδίασης συστημάτων VLSI αποτελεί την αιχμή της σύγχρονης τεχνολογίας. Εξελίσσεται ταχύτατα, ίσως ταχύτερα από κάθε άλλο κλάδο της τεχνολογίας. Αποτελεί το όχημα όλης

Διαβάστε περισσότερα

Actual Chip Specification

Actual Chip Specification Actual Chip Specification May 12, 215 Nikos Moschopoulos, 2 Arithmetic Circuits Usage CPU: Fast GPU: Matrix Multiplication, MAC Crypto & PKC: modulo multiplication, addition SP: s, MAC NAN: Error Code

Διαβάστε περισσότερα

Ψηφιακή Λογική και Σχεδίαση

Ψηφιακή Λογική και Σχεδίαση Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 26-7 Ψηφιακή Λογική και Σχεδίαση (σχεδίαση συνδυαστικών κυκλωμάτων) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Το τρανζίστορ

Διαβάστε περισσότερα

HY430 Εργαστήριο Ψηφιακών Κυκλωμάτων.

HY430 Εργαστήριο Ψηφιακών Κυκλωμάτων. HY430 Εργαστήριο Ψηφιακών Κυκλωμάτων Διδάσκων: Χ. Σωτηρίου, Βοηθός: (θα ανακοινωθεί) http://inf-server.inf.uth.gr/courses/ce430/ 1 Περιεχόμενα Κυκλώματα Πρόσθεσης Half-adder Full-Adder Σειριακό Κρατούμενο

Διαβάστε περισσότερα

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ Αποδοτική σχεδίαση Multiplier-Adder/Accumulator για αριθμούς σε μορφή

Διαβάστε περισσότερα

Πράξεις με δυαδικούς αριθμούς

Πράξεις με δυαδικούς αριθμούς Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 25-6 Πράξεις με δυαδικούς αριθμούς (αριθμητικές πράξεις) http://di.ionio.gr/~mistral/tp/csintro/ Μ.Στεφανιδάκης Πράξεις με δυαδικούς

Διαβάστε περισσότερα

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 28 Αριθμητικές Συναρτήσεις και Κυκλώματα Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Πρόσθεση

Διαβάστε περισσότερα

i Το τρανζίστορ αυτό είναι τύπου NMOS. Υπάρχει και το συμπληρωματικό PMOS. ; Τι συμβαίνει στο τρανζίστορ PMOS; Το τρανζίστορ MOS(FET)

i Το τρανζίστορ αυτό είναι τύπου NMOS. Υπάρχει και το συμπληρωματικό PMOS. ; Τι συμβαίνει στο τρανζίστορ PMOS; Το τρανζίστορ MOS(FET) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 25-6 Το τρανζίστορ MOS(FET) πύλη (gate) Ψηφιακή και Σχεδίαση πηγή (source) καταβόθρα (drai) (σχεδίαση συνδυαστικών κυκλωμάτων) http://di.ioio.gr/~mistral/tp/comparch/

Διαβάστε περισσότερα

! Εάν ο αριθμός διαθέτει περισσότερα bits, χρησιμοποιούμε μεγαλύτερες δυνάμεις του 2. ! Προσοχή στη θέση του περισσότερο σημαντικού bit!

! Εάν ο αριθμός διαθέτει περισσότερα bits, χρησιμοποιούμε μεγαλύτερες δυνάμεις του 2. ! Προσοχή στη θέση του περισσότερο σημαντικού bit! Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 25-6 Πράξεις με δυαδικούς αριθμούς (αριθμητικές ) http://di.ionio.gr/~mistral/tp/csintro/ Αριθμοί Πράξεις με δυαδικούς αριθμούς

Διαβάστε περισσότερα

Υποσυστήματα Χειρισμού Δεδομένων

Υποσυστήματα Χειρισμού Δεδομένων Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II VLSI ΙI 2011-2012 1 Κεφάλαιο 11 Υποσυστήματα Χειρισμού Δεδομένων VLSI ΙI 2011-2012 2 1 Περίγραμμα Διάλεξης Πρόσθεση / Αφαίρεση Ανιχνευτές 1/0 Συγκριτές Μετρητές

Διαβάστε περισσότερα

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ

9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ 61 9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ I. Βασική Θεωρία Οι πύλες NAND και NOR ονομάζονται οικουμενικές πύλες (universal gates) γιατί κάθε συνδυαστικό κύκλωμα μπορεί να υλοποιηθεί

Διαβάστε περισσότερα

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A].

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A]. Κανονική μορφή συνάρτησης λογικής 5. Η κανονική μορφή μιας λογικής συνάρτησης (ΛΣ) ως άθροισμα ελαχιστόρων, από τον πίνακα αληθείας προκύπτει ως εξής: ) Παράγουμε ένα [A] όρων από την κάθε σειρά για την

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Συνδυαστική Λογική. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Συνδυαστική Λογική. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Συνδυαστική Λογική Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Ψηφιακά Κυκλώματα Τα ψηφιακά κυκλώματα διακρίνονται σε συνδυαστικά (combinational)

Διαβάστε περισσότερα

4.1 Θεωρητική εισαγωγή

4.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 4 ΥΑ ΙΚΟΣ ΑΘΡΟΙΣΤΗΣ-ΑΦΑΙΡΕΤΗΣ Σκοπός: Να µελετηθούν αριθµητικά κυκλώµατα δυαδικής πρόσθεσης και αφαίρεσης. Να σχεδιαστούν τα κυκλώµατα από τους πίνακες αληθείας

Διαβάστε περισσότερα

EE434 ASIC & Digital Systems Arithmetic Circuits

EE434 ASIC & Digital Systems Arithmetic Circuits EE434 ASIC & Digital Systems Arithmetic Circuits Spring 25 Dae Hyun Kim daehyun@eecs.wsu.edu Arithmetic Circuits What we will learn Adders Basic High-speed 2 Adder -bit adder SSSSSS = AA BB CCCC CCCC =

Διαβάστε περισσότερα

ΠΛΗ10 Κεφάλαιο 2. ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών

ΠΛΗ10 Κεφάλαιο 2. ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: 2 2.3 : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών Στόχοι Μαθήματος: Να γνωρίσετε τις βασικές αρχές αριθμητικής των Η/Υ. Ποια είναι τα κυκλώματα

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Άλλες Αριθμητικές Συναρτήσεις/Κυκλώματα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Άλλες Αριθμητικές Συναρτήσεις/Κυκλώματα ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Αριθμητικές Συναρτήσεις και Κυκλώματα Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Πρόσθεση υαδική Πρόσθεση

Διαβάστε περισσότερα

Υποσυστήματα Χειρισμού Δεδομένων

Υποσυστήματα Χειρισμού Δεδομένων Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II VLSI ΙI 1 Υποσυστήματα Χειρισμού Δεδομένων VLSI ΙI 2 1 Περίγραμμα Διάλεξης Πρόσθεση / Αφαίρεση Ανιχνευτές 1/0 Συγκριτές Μετρητές Κωδικοποίηση Ολισθητές Πολλαπλασιασμός

Διαβάστε περισσότερα

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ Υλοποίηση νέων αρχιτεκτονικών παράλληλων πολλαπλασιαστών με χαμηλή κατανάλωση

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 12: Σύνοψη Θεμάτων Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http://arch.icte.uowm.gr/mdasyg

Διαβάστε περισσότερα

1 η Θεµατική Ενότητα : Αριθµητικά Κυκλώµατα. Επιµέλεια διαφανειών: Χρ. Καβουσιανός

1 η Θεµατική Ενότητα : Αριθµητικά Κυκλώµατα. Επιµέλεια διαφανειών: Χρ. Καβουσιανός η Θεµατική Ενότητα : Αριθµητικά Κυκλώµατα Επιµέλεια διαφανειών: Χρ. Καβουσιανός Άθροιση + + + + a +b 2c+s + Κρατούµενο προηγούµενης βαθµίδας κρατούµενο άθροισµα Μεταφέρεται στην επόµενη βαθµίδα σηµαντικότητας

Διαβάστε περισσότερα

Ενότητα 9 ΑΡΙΘΜΗΤΙΚΑ & ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ

Ενότητα 9 ΑΡΙΘΜΗΤΙΚΑ & ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ Ενότητα 9 ΑΡΙΘΜΗΤΙΚΑ & ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ Γενικές Γραμμές Προσημασμένοι Ακέραιοι Δυαδικοί Αριθμοί Ημιαθροιστής - Ημιαφαιρέτης Πλήρης Αθροιστής - Πλήρης Αφαιρέτης Αθροιστής Διάδοσης Κρατούμενου Επαναληπτικές

Διαβάστε περισσότερα

7 η διάλεξη Ακολουθιακά Κυκλώματα

7 η διάλεξη Ακολουθιακά Κυκλώματα 7 η διάλεξη Ακολουθιακά Κυκλώματα 1 2 3 4 5 Παραπάνω παρουσιάζεται ο πιο συνήθης χωροθέτηση αριθμητικών, λογικών κυκλωμάτων. Η μονάδα επεξεργασίας είναι η λέξη (λ.χ. 32-bit σε επεξεργαστές, 8-bit σε DSP)

Διαβάστε περισσότερα

w x y Υλοποίηση της F(w,x,y,z) με πολυπλέκτη 8-σε-1

w x y Υλοποίηση της F(w,x,y,z) με πολυπλέκτη 8-σε-1 Άσκηση 1 Οι λύσεις απαντήσεις που προτείνονται είναι ενδεικτικές και θα πρέπει να προσθέσετε Α) Αρχικά σχεδιάζουμε τον πίνακα αληθείας της λογικής έκφρασης: w x y z x G1 =x y G2 =z w F = G1 G2 Είσοδοι

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 6 η :

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων

Ψηφιακά Συστήματα. 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων Ψηφιακά Συστήματα 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd

Διαβάστε περισσότερα

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία ΗΜΥ 00 Εισαγωγή στην Τεχνολογία Στέλιος Τιμοθέου ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΑ ΘΕΜΑΤΑ ΜΑΣ ΣΗΜΕΡΑ Δυαδική λογική Πύλες AND, OR, NOT, NAND,

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΣΥΝΔΥΑΣΤΙΚΗ ΛΟΓΙΚΗ 2017, Δρ. Ηρακλής Σπηλιώτης Συνδυαστικά και ακολουθιακά κυκλώματα Τα λογικά κυκλώματα χωρίζονται σε συνδυαστικά (combinatorial) και ακολουθιακά (sequential).

Διαβάστε περισσότερα

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 1) Να μετατρέψετε τον δεκαδικό αριθμό (60,25) 10, στον αντίστοιχο δυαδικό 11111,11 111001,01 111100,01 100111,1 111100,01 2)

Διαβάστε περισσότερα

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ Σχεδίαση και μελέτη κυκλωμάτων σειριακώνπαράλληλων πολλαπλασιαστών με

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 9: Σχεδιασµός Συνδυαστικών Κυκλωµάτων ΙΙ (Κεφάλαιο 5) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ ΠΕΡΙΕΧΟΜΕΝΑ Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ 1.1 Εισαγωγή...11 1.2 Τα κύρια αριθμητικά Συστήματα...12 1.3 Μετατροπή αριθμών μεταξύ των αριθμητικών συστημάτων...13 1.3.1 Μετατροπή ακέραιων

Διαβάστε περισσότερα

a -j a 5 a 4 a 3 a 2 a 1 a 0, a -1 a -2 a -3

a -j a 5 a 4 a 3 a 2 a 1 a 0, a -1 a -2 a -3 ΑΣΚΗΣΗ 5 ΑΘΡΟΙΣΤΕΣ - ΑΦΑΙΡΕΤΕΣ 5.1. ΣΚΟΠΟΣ Η πραγματοποίηση της αριθμητικής πρόσθεσης και αφαίρεσης με λογικά κυκλώματα. 5.2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΗΣΗΣ: Κάθε σύστημα αρίθμησης χαρακτηρίζεται

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ. ΜΑΘΗΜΑ 2 ο. ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ

ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ. ΜΑΘΗΜΑ 2 ο. ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ ΜΑΘΗΜΑ 2 ο ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ 2009-10 ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ 1 Άλγεβρα Βοοle η θεωρητική βάση των λογικών κυκλωμάτων Η άλγεβρα Βοοle ορίζεται επάνω στο σύνολο

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ᄃ Σχεδίαση Ψηφιακών Συστημάτων Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ Κ. Δεμέστιχας Εργαστήριο Πληροφορικής Γεωπονικό Πανεπιστήμιο Αθηνών Επικοινωνία μέσω e-mail: cdemest@aua.gr, cdemest@cn.ntua.gr 1 5. ΑΛΓΕΒΡΑ BOOLE ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕΡΟΣ Β 2 Επαναληπτική

Διαβάστε περισσότερα

Πανεπιστήμιο Θεσσαλίας Τμήμα Μηχανικών Η/Υ, Τηλεπικοινωνιών και Δικτύων

Πανεπιστήμιο Θεσσαλίας Τμήμα Μηχανικών Η/Υ, Τηλεπικοινωνιών και Δικτύων Πανεπιστήμιο Θεσσαλίας Τμήμα Μηχανικών Η/Υ, Τηλεπικοινωνιών και Δικτύων Οργάνωση Η/Υ Ενότητα 3η: Αριθμητικές Πράξεις και Μονοπάτι Επεξεργασίας Δεδομένων Άσκηση 1: Δείξτε πώς μπορούμε να υλοποιήσουμε ένα

Διαβάστε περισσότερα

Σχεδίαση Βασικών Κυκλωµάτων. Χρ. Καβουσιανός. Επίκουρος Καθηγητής

Σχεδίαση Βασικών Κυκλωµάτων. Χρ. Καβουσιανός. Επίκουρος Καθηγητής Σχεδίαση Βασικών Κυκλωµάτων Χρ. Καβουσιανός Επίκουρος Καθηγητής Εισαγωγή Τα αριθµητικά κυκλώµατα χρησιµοποιούνται ευρέως στην σχεδίαση συστηµάτων. Data Paths Επεξεργαστές ASICs Κυρίαρχες Αριθµητικές Πράξεις:

Διαβάστε περισσότερα

Αθροιστές. Ημιαθροιστής

Αθροιστές. Ημιαθροιστής Αθροιστές Η πιο βασική αριθμητική πράξη είναι η πρόσθεση. Για την πρόσθεση δύο δυαδικών ψηφίων υπάρχουν τέσσερις δυνατές περιπτώσεις: +=, +=, +=, +=. Οι τρεις πρώτες πράξεις δημιουργούν ένα άθροισμα που

Διαβάστε περισσότερα

Κεφάλαιο 7 ο. Γ. Τσιατούχας. VLSI Technology and Computer Architecture Lab. Ακολουθιακή Λογική 2

Κεφάλαιο 7 ο. Γ. Τσιατούχας. VLSI Technology and Computer Architecture Lab. Ακολουθιακή Λογική 2 ΚΥΚΛΩΜΑΤΑ VLSI Ακολουθιακή Λογική Κεφάλαιο 7 ο Γ. Τσιατούχας ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. Δισταθή κυκλώματα Μεταστάθεια 2. Μανδαλωτές 3. Flip Flops Flops 4. Δομές διοχέτευσης 5. Διανομή ρολογιού 6. Συγχρονισμός

Διαβάστε περισσότερα

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες Πρώτο Κεφάλαιο Εισαγωγή στα Ψηφιακά Συστήματα 1.1 Αναλογικά και Ψηφιακά Σήματα και Συστήματα... 1 1.2 Βασικά Ψηφιακά Κυκλώματα... 3 1.3 Ολοκληρωμένα κυκλώματα... 4 1.4 Τυπωμένα κυκλώματα... 7 1.5 Εργαλεία

Διαβάστε περισσότερα

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΗΛΕΚΤΡΟΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ Τομέας Τεχνολογίας Πληροφορικής & Υπολογιστών Υλοποίηση φίλτρων FIR με τεχνολογία ASIC ΔΙΠΛΩΜΑΤΙΚΗ ΕΡΓΑΣΙΑ

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη q Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 6: Δυαδικές Πράξεις, Συμπλήρωμα του 2, Δυαδικοί Αποκωδικοποιητές, Κωδικοποιητές, Πολυπλέκτες Δρ. Μηνάς Δασυγένης @ieee.ormdasygg

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Κεφάλαιο 7 i: Καταχωρητές Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές Ολίσθησης Σειριακή Φόρτωση Σειριακή Ολίσθηση Καταχωρητές Ολίσθησης Παράλληλης Φόρτωσης

Διαβάστε περισσότερα

ΦΟΙΤΗΤΡΙΑ : ΒΟΥΛΓΑΡΙ ΟΥ ΜΑΡΙΑ, ΑΕΜ: 2109 ΕΠΙΒΛΕΠΩΝ : ΚΑΛΟΜΟΙΡΟΣ ΙΩΑΝΝΗΣ, ΕΠΙΚΟΥΡΟΣ ΚΑΘΗΓΗΤΗΣ

ΦΟΙΤΗΤΡΙΑ : ΒΟΥΛΓΑΡΙ ΟΥ ΜΑΡΙΑ, ΑΕΜ: 2109 ΕΠΙΒΛΕΠΩΝ : ΚΑΛΟΜΟΙΡΟΣ ΙΩΑΝΝΗΣ, ΕΠΙΚΟΥΡΟΣ ΚΑΘΗΓΗΤΗΣ Τίτλος: «Σχεδίαση και προσοµοίωση παράλληλης αριθµητικής λογικής µονάδας (ALU) για την επεξεργασία δυαδικών αριθµών εύρους 4-bit, µε το πρόγραµµα Multisim» ΦΟΙΤΗΤΡΙΑ : ΒΟΥΛΓΑΡΙ ΟΥ ΜΑΡΙΑ, ΑΕΜ: 2109 ΕΠΙΒΛΕΠΩΝ

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ & ΗΛΕΚΤΡΟΝΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ & μ-υπολογιστων ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ Θεωρητικό Μέρος Οι σειριακές λειτουργίες είναι πιο

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 2: Βασικές Μονάδες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες

Διαβάστε περισσότερα

Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές

Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές 12 ο Μάθημα Λεωνίδας Αλεξόπουλος Λέκτορας ΕΜΠ E-mail: leo@mail.ntua.gr URL: http://users.ntua.gr/leo 1 GROUP I A Λ ΤΡΙΤΗ PC-Lab GROUP IΙ Μ Ω ΠΑΡΑΣΚΕΥΗ Central Κέντρο

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 4 ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΩΝ ΛΟΓΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ

ΑΣΚΗΣΗ 4 ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΩΝ ΛΟΓΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ ΑΣΚΗΣΗ 4 ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΩΝ ΛΟΓΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Αντικείμενο της άσκησης: Λογική και μεθοδολογία σχεδίασης αριθμητικών λογικών κυκλωμάτων και λειτουργική εξομοίωση με το λογισμικό EWB.. Αθροιστές. Σχεδίαση

Διαβάστε περισσότερα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II 3 η Εργαστηριακή Άσκηση Σχεδίαση και Υλοποίηση μίας ALU δύο εισόδων VHDL Εργαστήριο_2 2012-2013 1 Άδειες Χρήσης Το παρόν υλικό διατίθεται με τους όρους της άδειας

Διαβάστε περισσότερα

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ Τομέας Τεχνολογίας Πληροφορικής &Υπολογιστών Σχεδίαση και Υλοποίηση Φίλτρων FIR Βασισμένων στον Αλγόριθμο Karatsuba ΔΙΠΛΩΜΑΤΙΚΗ

Διαβάστε περισσότερα

Ψηφιακή Σχεδίαση Ενότητα 10:

Ψηφιακή Σχεδίαση Ενότητα 10: Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 10: Καταχωρητές & Μετρητές Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http://arch.icte.uowm.gr/mdasyg

Διαβάστε περισσότερα

ΟΡΓΑΝΩΣΗ ΚΑΙ ΣΧΕΔΙΑΣΗ Η/Υ

ΟΡΓΑΝΩΣΗ ΚΑΙ ΣΧΕΔΙΑΣΗ Η/Υ ΟΡΓΑΝΩΣΗ ΚΑΙ ΣΧΕΔΙΑΣΗ Η/Υ Γιώργος Δημητρίου Μάθημα 4 ο ΜΣ Εφαρμοσμένη ληροφορική ΜΟΝΑΔΑ ΕΕΞΕΡΓΑΣΙΑΣ ΔΕΔΟΜΕΝΩΝ Υπομονάδες πράξεων Αριθμητική/Λογική Μονάδα (ΑΛΜ - ALU): Βασικές αριθμητικές πράξεις Λογικές

Διαβάστε περισσότερα

"My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch

My Binary Logic Ένας προσομοιωτής λογικών πυλών στο Scratch "My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch Καραγιάννη Ελένη 1, Καραγιαννάκη Μαρία-Ελένη 2, Βασιλειάδης Αθανάσιος 3, Κωστουλίδης Αναστάσιος-Συμεών 4, Μουτεβελίδης Ιωάννης-Παναγιώτης 5,

Διαβάστε περισσότερα

Κεφάλαιο 10 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Ακολουθιακή Λογική 2

Κεφάλαιο 10 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Ακολουθιακή Λογική 2 ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων Ακολουθιακή Λογική Κεφάλαιο 10 ο Τμήμα Μηχανικών Η/Υ και Πληροφορικής Γ. Τσιατούχας ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. Δισταθή κυκλώματα Μεταευστάθεια 2. Μανδαλωτές 3. Flip

Διαβάστε περισσότερα

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα Κεφάλαιο 6 Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα 6.1 Εισαγωγή Η εκτέλεση διαδοχικών λειτουργιών απαιτεί τη δημιουργία κυκλωμάτων που μπορούν να αποθηκεύουν πληροφορίες, στα ενδιάμεσα στάδια των

Διαβάστε περισσότερα

Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 2013 Διάρκεια εξέτασης : 160 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών:

Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 2013 Διάρκεια εξέτασης : 160 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών: Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 23 Διάρκεια εξέτασης : 6 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών: Θέμα (,5 μονάδες) Στις εισόδους του ακόλουθου κυκλώματος c b a εφαρμόζονται οι κάτωθι κυματομορφές.

Διαβάστε περισσότερα

9 ο Μαθητικό Συνέδριο Πληροφορικής Κεντρικής Μακεδονίας. "My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch

9 ο Μαθητικό Συνέδριο Πληροφορικής Κεντρικής Μακεδονίας. My Binary Logic Ένας προσομοιωτής λογικών πυλών στο Scratch 9 ο Μαθητικό Συνέδριο Πληροφορικής Κεντρικής Μακεδονίας Θεσσαλονίκη, 25-28 Απριλίου 2017, ΝΟΗΣΙΣ "My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch Κωνσταντίνος Παρασκευόπουλος Καθηγητής Πληροφορικής

Διαβάστε περισσότερα

Κεφάλαιο 8. Αριθμητική Λογική μονάδα

Κεφάλαιο 8. Αριθμητική Λογική μονάδα Κεφάλαιο 8 Αριθμητική Λογική μονάδα 8.1 Εισαγωγή Στη μηχανική υπολογιστών η αριθμητική/λογική μονάδα (ALU) είναι ένα ψηφιακό κύκλωμα το οποίο εκτελεί αριθμητικούς και λογικούς υπολογισμούς. Η ALU είναι

Διαβάστε περισσότερα

Οργάνωση Η/Υ. Γιώργος ηµητρίου. Μάθηµα 3 ο. Πανεπιστήµιο Θεσσαλίας - Τµήµα Μηχανικών Η/Υ, Τηλεπικοινωνιών και ικτύων

Οργάνωση Η/Υ. Γιώργος ηµητρίου. Μάθηµα 3 ο. Πανεπιστήµιο Θεσσαλίας - Τµήµα Μηχανικών Η/Υ, Τηλεπικοινωνιών και ικτύων Γιώργος ηµητρίου Μάθηµα 3 ο Πανεπιστήµιο Θεσσαλίας - Τµήµα Μηχανικών Η/Υ, Τηλεπικοινωνιών και ικτύων Μονάδα Επεξεργασίας εδοµένων Υποµονάδες πράξεων n Αριθµητική/Λογική Μονάδα (ΑΛΜ - ALU): Βασικές αριθµητικές

Διαβάστε περισσότερα

Ψηφιακά Κυκλώματα (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική

Ψηφιακά Κυκλώματα (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική Ψηφιακά Κυκλώματα ( ο μέρος) ΜΥΥ-6 Εισαγωγή στους Η/Υ και στην Πληροφορική Ψηφιακά κυκλώματα Οι δύο λογικές τιμές, αντιστοιχούν σε ηλεκτρικές τάσεις Υλοποιούνται με τρανζίστορ ή διόδους: ελεγχόμενοι διακόπτες

Διαβάστε περισσότερα

Γ2.1 Στοιχεία Αρχιτεκτονικής. Γ Λυκείου Κατεύθυνσης

Γ2.1 Στοιχεία Αρχιτεκτονικής. Γ Λυκείου Κατεύθυνσης Γ2.1 Στοιχεία Αρχιτεκτονικής Γ Λυκείου Κατεύθυνσης Ορισμός άλγεβρας Boole Η άλγεβρα Boole ορίζεται, ως μία αλγεβρική δομή A, όπου: (α) Το Α είναι ένα σύνολο στοιχείων που περιέχει δύο τουλάχιστον στοιχεία

Διαβάστε περισσότερα

Εισαγωγή στους Υπολογιστές

Εισαγωγή στους Υπολογιστές Εισαγωγή στους Υπολογιστές Ενότητα 10: Ψηφιακή Αριθμητική Βασίλης Παλιουράς Πολυτεχνική Σχολή Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σκοποί ενότητας Εισαγωγικές έννοιες ψηφιακής λογικής

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές

Διαβάστε περισσότερα

ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ. Κεφάλαιο 3

ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ. Κεφάλαιο 3 ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ Κεφάλαιο 3 Κεντρική Μονάδα Επεξεργασίας Κεντρική Μονάδα Επεξεργασίας Μονάδα επεξεργασίας δεδομένων Μονάδα ελέγχου Μονάδα επεξεργασίας δεδομένων Δομή Αριθμητικής Λογικής Μονάδας

Διαβάστε περισσότερα

9. ΚΑΤΑΧΩΡΗΤΕΣ (REGISTERS)

9. ΚΑΤΑΧΩΡΗΤΕΣ (REGISTERS) 9. ΚΑΤΑΧΩΡΗΤΕΣ (REGISTERS) 9.. ΕΙΣΑΓΩΓΗ Όπως έχουμε ήδη αναφέρει για την αποθήκευση μιας πληροφορίας ενός ψηφίου ( bit) απαιτείται ένα στοιχείο μνήμης δηλαδή ένα FF. Επομένως για περισσότερα του ενός ψηφία

Διαβάστε περισσότερα

Ψηφιακή Σχεδίαση Εργαστηριο 1. Τμήμα: Μηχανικών Πληροφορικής κ Τηλεπικοινωνιών Διδάσκων: Δρ. Σωτήριος Κοντογιαννης Μάθημα 2 ου εξαμήνου

Ψηφιακή Σχεδίαση Εργαστηριο 1. Τμήμα: Μηχανικών Πληροφορικής κ Τηλεπικοινωνιών Διδάσκων: Δρ. Σωτήριος Κοντογιαννης Μάθημα 2 ου εξαμήνου Ψηφιακή Σχεδίαση Εργαστηριο 1 Τμήμα: Μηχανικών Πληροφορικής κ Τηλεπικοινωνιών Διδάσκων: Δρ. Σωτήριος Κοντογιαννης Μάθημα 2 ου εξαμήνου ΛΟΓΙΚΕΣ ΠΥΛΕΣ ΕΡΓΑΛΕΙΑ ΕΡΓΑΣΤΗΡΙΟ Το εργαλείο που θα χρησιμοποιηθεί

Διαβάστε περισσότερα

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2009 Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

Οργάνωση Η/Υ. Γιώργος Δημητρίου. Μάθημα 2 ο Σύντομη Επανάληψη. Πανεπιστήμιο Θεσσαλίας - Τμήμα Πληροφορικής

Οργάνωση Η/Υ. Γιώργος Δημητρίου. Μάθημα 2 ο Σύντομη Επανάληψη. Πανεπιστήμιο Θεσσαλίας - Τμήμα Πληροφορικής Γιώργος Δημητρίου Μάθημα 2 ο Σύντομη Επανάληψη Από την Εισαγωγή στους Η/Υ Γλώσσες Μηχανής Πεδία εντολής Μέθοδοι διευθυνσιοδότησης Αρχιτεκτονικές συνόλου εντολών Κύκλος εντολής Αλγόριθμοι/Υλικό Αριθμητικών

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ - VLSI Ενότητα: Συνδιαστικά κυκλώματα, βασικές στατικές λογικές πύλες, σύνθετες και δυναμικές πύλες Κυριάκης

Διαβάστε περισσότερα

Pipelining και Παράλληλη Επεξεργασία

Pipelining και Παράλληλη Επεξεργασία Pipelining και Παράλληλη Επεξεργασία Εισαγωγή Σωλήνωση - Pipelining Βασισμένη στην ιδέα σωλήνα που στέλνει νερό χωρίς να περιμένει το νερό που μπαίνει σε ένα σωλήνα να τελειώσει water pipe Μπορεί να οδηγήσει

Διαβάστε περισσότερα

Ψηφιακή Λογική Σχεδίαση

Ψηφιακή Λογική Σχεδίαση Ψηφιακή Λογική Σχεδίαση Γ. Θεοδωρίδης Ψηφιακή Λογική Σχεδίαση Γ. Θεοδωρίδης 1 Κεφάλαιο 8 Σχεδίαση στο Επίπεδο Μεταφοράς Περιεχομένων Καταχωρητών Ψηφιακή Λογική Σχεδίαση Γ. Θεοδωρίδης 2 Περίγραμμα Κεφαλαίου

Διαβάστε περισσότερα

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ Τοµέας Τεχνολογίας Πληροφορικής & Υπολογιστών Αποδοτική σχεδίαση µιγαδικού πολλαπλασιαστή σε ASIC και FPGA Επιβλέπων :

Διαβάστε περισσότερα

ΘΕΜΑΤΑ & ΕΝΔΕΙΚΤΙΚΕΣ ΛΥΣΕΙΣ

ΘΕΜΑΤΑ & ΕΝΔΕΙΚΤΙΚΕΣ ΛΥΣΕΙΣ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΠΡΟΓΡΑΜΜΑ ΣΠΟΥΔΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Θεματική Ενότητα Ακαδημαϊκό Έτος 2010 2011 Ημερομηνία Εξέτασης Κυριακή 26.6.2011 Ώρα Έναρξης Εξέτασης

Διαβάστε περισσότερα

Άδεια Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης Creative Commons. Για εκπαιδευτικό υλικό, όπως εικόνες, που υπόκειται σε άδεια

Άδεια Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης Creative Commons. Για εκπαιδευτικό υλικό, όπως εικόνες, που υπόκειται σε άδεια Άδεια Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης Cretive Commons. Για εκπαιδευτικό υλικό, όπως εικόνες, που υπόκειται σε άδεια χρήσης άλλου τύπου, αυτή πρέπει να αναφέρεται ρητώς. ΠΕΡΙΕΧΟΜΕΝΑ:

Διαβάστε περισσότερα

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Θεµατική Ενότητα ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Ακαδηµαϊκό Έτος 2006 2007 Γραπτή Εργασία #2 Ηµεροµηνία Παράδοσης 28-0 - 2007 ΠΛΗ 2: Ψηφιακά Συστήµατα ΠΡΟΤΕΙΝΟΜΕΝΕΣ ΛΥΣΕΙΣ Άσκηση : [5 µονάδες] Έχετε στη

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 2: Αλγεβρα Boole, Δυαδική Λογική, Ελαχιστόροι, Μεγιστόροι Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο Διάλεξη 8 η : Μηχανές Πεπερασμένων Κaταστάσεων σε FPGAs

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο Διάλεξη 8 η : Μηχανές Πεπερασμένων Κaταστάσεων σε FPGAs ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 8 η :

Διαβάστε περισσότερα

Τεχνικές σχεδιασμού μονοπατιών ολίσθησης

Τεχνικές σχεδιασμού μονοπατιών ολίσθησης Τεχνικές σχεδιασμού μονοπατιών ολίσθησης (Scan Path Design Techniques) Περίγραμμα παρουσίασης Προβλήματα ελέγχου ορθής λειτουργίας ακολουθιακών κυκλωμάτων Μονοπάτι ολίσθησης (scan path) Στοιχεία μνήμης

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 4: Σχεδιασμός Σειριακού Αθροιστή Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

Εισαγωγή στην πληροφορική

Εισαγωγή στην πληροφορική Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Εισαγωγή στην πληροφορική Ενότητα 4: Ψηφιακή Λογική, Άλγεβρα Boole, Πίνακες Αλήθειας (Μέρος B) Αγγελίδης Παντελής Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών

Διαβάστε περισσότερα

Πρόγραμμα Μεταπτυχιακών Σπουδών «Πληροφορική και Εφαρμογές»

Πρόγραμμα Μεταπτυχιακών Σπουδών «Πληροφορική και Εφαρμογές» Πρόγραμμα Μεταπτυχιακών Σπουδών «Πληροφορική και Εφαρμογές» Αρχές Ψηφιακής Τεχνολογίας Σχεδιασμός σύνθετων συστημάτων Γιάννης Βογιατζής 28-29 Βασικές λογικές πύλες = Driver = AND = + OR = XOR = Inverter

Διαβάστε περισσότερα

σύνθεση και απλοποίησή τους θεωρήµατα της άλγεβρας Boole, αξιώµατα του Huntington, κλπ.

σύνθεση και απλοποίησή τους θεωρήµατα της άλγεβρας Boole, αξιώµατα του Huntington, κλπ. Εισαγωγή Εργαστήριο 2 ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ Σκοπός του εργαστηρίου είναι να κατανοήσουµε τον τρόπο µε τον οποίο εκφράζεται η ψηφιακή λογική υλοποιώντας ασκήσεις απλά και σύνθετα λογικά κυκλώµατα (χρήση του

Διαβάστε περισσότερα

C D C D C D C D A B

C D C D C D C D A B Απλοποίηση µέσω Πίνακα Karnaugh: Παράδειγµα - 2 Στον παρακάτω πίνακα έχει ήδη γίνει το «βήμα- 1». Επομένως: Βήμα 2: Δεν υπάρχουν απομονωμένα κελιά. Βήμα 3: Στο ζεύγος (3,7) το κελί 3 γειτνιάζει μόνο με

Διαβάστε περισσότερα

1. ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΩΝ. α i. (α i β i ) (1.3) όπου: η= το πλήθος ακεραίων ψηφίων του αριθμού Ν. n-1

1. ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΩΝ. α i. (α i β i ) (1.3) όπου: η= το πλήθος ακεραίων ψηφίων του αριθμού Ν. n-1 1. ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΩΝ 1.1 Εισαγωγή Το δεκαδικό σύστημα (Decimal System) αρίθμησης χρησιμοποιείται από τον άνθρωπο και είναι κατάλληλο βέβαια γι αυτόν, είναι όμως εντελώς ακατάλληλο για τις ηλεκτρονικές

Διαβάστε περισσότερα

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI. 1 ΗΥ330 - Διάλεξη 11η - Κυκλώματα Δεδομένων

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI.  1 ΗΥ330 - Διάλεξη 11η - Κυκλώματα Δεδομένων HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLI Διδάσκων: Χ. Σωτηρίου, Βοηθοί: θα ανακοινωθούν http://inf-server.inf.uth.gr/courses/ce330 1 Περιεχόμενα Δομικοί Λίθοι Ψηφιακών Κυκλωμάτων Κύκλωμα Πλήρους

Διαβάστε περισσότερα

Βελτιστοποίηση μονάδας υπολογισμού Butterfly για τον αλγόριθμο FFT

Βελτιστοποίηση μονάδας υπολογισμού Butterfly για τον αλγόριθμο FFT ΕΘΝΙΚΟ ΚΑΙ ΚΑΠΟΔΙΣΤΡΙΑΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΘΗΝΩΝ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΔΙΑΤΜΗΜΑΤΙΚΟ ΠΡΟΓΡΑΜΜΑ ΜΕΤΑΠΤΥΧΙΑΚΩΝ ΣΠΟΥΔΩΝ ΣΤΗ ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ ΔΙΠΛΩΜΑΤΙΚΗ ΕΡΓΑΣΙΑ Βελτιστοποίηση

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ 3/02/2019 ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ ΘΕΜΑ 1 ο 1. Να γράψετε στο τετράδιό σας το γράμμα καθεμιάς από τις παρακάτω προτάσεις και δίπλα τη λέξη ΣΩΣΤΟ, αν είναι σωστή ή τη λέξη ΛΑΘΟΣ, αν είναι

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 7: κωδικοποιητές, κωδικοποιητές προτεραιότητας, πολυπλέκτες, υλοποίηση συνάρτησης με πολυπλέκτη, αποπλέκτες, πύλη 3ιών καταστάσεων,

Διαβάστε περισσότερα

Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα

Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα επαναληπτικής εξέτασης 2016 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Ακολουθιακός Κώδικας Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ E-mail: pkitsos@teimes.gr

Διαβάστε περισσότερα

Xρονισμός ψηφιακών κυκλωμάτων

Xρονισμός ψηφιακών κυκλωμάτων Xρονισμός ψηφιακών κυκλωμάτων Γιώργος Δημητρακόπουλος Τμήμα Επιστήμης Υπολογιστών Πανεπιστήμιο Κρήτης Φθινόπωρο 2008 ΗΥ220 1 Περιεχόμενα μαθήματος Καθυστέρηση λογικών πυλών και των συνδυαστικών κυκλωμάτων

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 5: Συνδυαστικά Κυκλώματα και Ακολουθιακά κυκλώματα Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ. ΚΕΦΑΛΑΙΟ 2ο ΚΑΤΑΧΩΡΗΤΕΣ. (c) Αμπατζόγλου Γιάννης, Ηλεκτρονικός Μηχανικός, καθηγητής ΠΕ17

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ. ΚΕΦΑΛΑΙΟ 2ο ΚΑΤΑΧΩΡΗΤΕΣ. (c) Αμπατζόγλου Γιάννης, Ηλεκτρονικός Μηχανικός, καθηγητής ΠΕ17 ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΕΦΑΛΑΙΟ 2ο ΚΑΤΑΧΩΡΗΤΕΣ Καταχωρητές (ολίσθησης) Είναι κυκλώματα με D FF που χρησιμοποιούνται για την αποθήκευση πληροφοριών. Ανάλογα με τον τρόπο εισόδου και εξόδου των δεδομένων, οι

Διαβάστε περισσότερα

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017 Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

K24 Ψηφιακά Ηλεκτρονικά 6: Πολυπλέκτες/Αποπολυπλέκτες

K24 Ψηφιακά Ηλεκτρονικά 6: Πολυπλέκτες/Αποπολυπλέκτες K24 Ψηφιακά Ηλεκτρονικά 6: Πολυπλέκτες/Αποπολυπλέκτες TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ ΤΕΧΝΟΛΟΓΙΚΟ Περιεχόμενα 1 2 3 4 Λειτουργία Πολυπλέκτης (Mul plexer) Ο

Διαβάστε περισσότερα