Υποσυστήματα Χειρισμού Δεδομένων

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Υποσυστήματα Χειρισμού Δεδομένων"

Transcript

1 Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II VLSI ΙI Κεφάλαιο 11 Υποσυστήματα Χειρισμού Δεδομένων VLSI ΙI

2 Περίγραμμα Διάλεξης Πρόσθεση / Αφαίρεση Ανιχνευτές 1/0 Συγκριτές Μετρητές Κωδικοποίηση Ολισθητές Πολλαπλασιασμός VLSI ΙI Πρόσθεση / Αφαίρεση Ανιχνευτές 1/0 Συγκριτές Μετρητές Κωδικοποίηση Ολισθητές Πολλαπλασιασμός Περίγραμμα Διάλεξης VLSI ΙI

3 Το Σύνηθες Δομικό Στοιχείο Carry in a sum b Carry out Πλήρης Αθροιστής Ενός Δυαδικού Ψηφίου Πρόσθεση Αριθμών χωρίς Πρόσημο a b c in c out s

4 S Αλγόριθμος Πρόσθεσης στο Επίπεδο του Δυαδικού Ψηφίου A B n1 n1 i i i0 i0 A a 2, B b 2 i i a, b 0,1 i i Λειτουργία την οποία υλοποιεί ένας πλήρης αθροιστής 1-bit S n1 s i0 i 2 i s a b c i i i i c a b a c b c i1 i i i i i i i0,1,, n1 Αθροιστής Κυματισμού Κρατουμένου (Ripple Carry) a i b i FA FA FA FA FA FA FA c i1 c i FA Η μεγαλύτερη καθυστέρηση προκύπτει όταν ένα ψηφίο κρατουμένου προκαλεί αλλαγές σε όλα τα ψηφία μέχρις και το περισσότερο σημαντικό του αποτελέσματος. A na FA Εμβαδό ενός FA Td s i Η πολυπλοκότητα της υλοποίησης εξαρτάται γραμμικά από το μήκος λέξης n των όρων. nt Καθυστέρηση ενός FA fa Πλήθος FAs 4

5 Μεγαλύτερη Καθυστέρηση σε Αθροιστή Κυματισμού 4 Δυαδικών Ψηφίων FA FA FA FA Θεωρείστε ότι θέλουμε να προσθέσουμε τους δυαδικούς 1111 και 0000 με κρατούμενο εισόδου 0. Μετά από ικανό χρονικό διάστημα τα ενδιάμεσα κρατούμενα γίνονται 0 και η έξοδος Έστω τώρα ότι το κρατούμενο εισόδου γίνεται 1. Μετά από χρόνο ίσο με την χρονική καθυστέρηση ενός FA (Τ FA ), το κρατούμενο εξόδου του λιγότερο σημαντικού αθροιστή γίνεται 1, και το ψηφίο αθροίσματος 0. Το συνολικό αποτέλεσμα είναι τώρα 1110 Μετά από 2Τ FA, το κρατούμενο εξόδου του δεύτερου λιγότερο σημαντικού αθροιστή γίνεται 1, και το ψηφίο αθροίσματος 0. Το συνολικό αποτέλεσμα είναι τώρα 1100 Μετά από 3Τ FA, το κρατούμενο εξόδου του τρίτου λιγότερο σημαντικού αθροιστή γίνεται 1, και το ψηφίο αθροίσματος 0. Το συνολικό αποτέλεσμα είναι τώρα 1000 Μετά από 4Τ FA, το κρατούμενο εξόδου του περισσότερο σημαντικού αθροιστή γίνεται 1, και το ψηφίο αθροίσματος 0. Το συνολικό αποτέλεσμα είναι τώρα Το σωστό αποτέλεσμα είναι διαθέσιμο μετά από (Τ FA ) Επιτάχυνση Διάδοσης του Κρατουμένου c i 1 aib i aic i bic i Το ψηφίο κρατουμένου εκφράζεται συναρτήσει νέων σημάτων ελέγχου. p a b k i i i g a b i i i a b i i i a b a b c c g p c i i i i i i1 i i i 5

6 Η Τεχνική Διάδοσης Κρατουμένου Manchester Carry Chain Ai Bi θέση i Gi Ki Pi Si ci 1 Gi=1 Ki=0 Pi=1 c i 1 0 si ai bi ci H Τεχνική Πρόβλεψης Κρατουμένου (carry look ahead) Ξεκινώντας από τον αναδρομικό ορισμό του κρατούμενου, εκτελούμε unrolling c g p c c g p c i 1 i i i c g p c g p g p c g p g p p c c g p c g p g p g p p c g p g p p g p p p c i1 i i c g p g p c i1 i k j k 0 j0 k j1 k 0 6

7 Αθροιστής Πρόβλεψης Κρατουμένου g p g 1 p 1 g 2 p 2 c c 1 c 2 c p n/2 n(n+2)/4 πύλες. Αριθμός transistors 3 2 n 9n 20 n / 3 [(i+2)(i+5) tr ανά ψηφιακή θέση] Καθυστέρηση (με fanin) 2 T t 0.25n 4.4n 33.1 D L t L, καθυστέρηση αντιστροφέα Group Carry Look-Ahead G g g p g p p g p p p i3: i i3 i2 i3 i1 i2 i3 i i1 i2 i3 P p p p p i3: i i i1 i2 i3 c G P c i3 i3: i i3: i i ci 3 ci Αθροιστής Αθροιστής 7

8 O Aθροιστής Carry-skip FA FA FA FA FA FA FA FA FA n n Td 2Tadd 2 Tskip 4 r r r n 4 r 2 2 r n, μήκος λέξης αθροιστή r, μήκος μπλοκ n Td 4r 2 2 r 8

9 Βέλτιστος Carry-Skip Σταθερού Μήκους Μπλοκ T r 4r 2 2 n r dt n dt 42 0 r 2 opt dr r dr n n Topt n 8 2 n 2 n 2 Αθροιστής Carry-Skip Πολλών Επιπέδων r-bit blocks Καταργεί συνεχόμενες παρακάμψεις. 9

10 O Αθροιστής Επιλογής Κρατουμένου (carry-select ) 1 0 Αθροιστής 1 Αθροιστής Πολυπλέκτης Carry in Carry out σειριακή εξάρτηση carry-out από carry-in Sum n Td 2 1 Tadd ( r) r Αθροιστής Επιλογής Κρατουμένου Δύο Επιπέδων T d n K 2 log 2 1 r Η επιλογή των αποτελεσμάτων γίνεται με δυαδικό δένδρο. Ο κάθε αθροιστής έχει μήκος r = n / 4 10

11 O Αθροιστής Conditional-Sum Ο carry-select με αθροιστές μήκους r =1 λέγεται αθροιστής conditional sum. Το κύκλωμα άθροισης χρησιμοποιεί κοινό hardware για τις δύο περιπτώσεις. xi yi ci 1 s i c 0 i s i ci 1 c 1 i Ο Aθροιστής Ling Τροποποίηση των βοηθητικών σημάτων του Carry Look Ahead. hi ci ci 1 ti pi g (σήμα Transmit) i 11

12 Αρχή Λειτουργίας Αθροιστή Ling c p c p g p c p p i1 i1 i1 i1 i1 i1 i1 i1 i1 c p g c p p i1 i1 i1 i1 i1 i1 c p c p c c p h p i1 i1 i i1 i1 i i1 i i1 p a b i i i c g c p i i1 i1 i1 h g h p h t i i1 i i1 i i1 h c c g p c c i i i1 i1 i1 i1 i1 g c g h t i1 i1 i1 i1 i2 s p c p ht t h h g t i i i i i i1 i i1 i i i1 To Πλεονέκτημα του Αθροιστή Ling Unrolling του διαδιδόμενου σήματος για τέσσερις όρους (Ling): hi gi 1 gi2 gi3ti2 gi4ti3ti 2 hi 4ti4ti 3ti2 Unrolling του διαδιδόμενου σήματος για τέσσερις όρους (CLA): c g g t g t t g t t t c t t t t i i1 i2 i1 i3 i2 i1 i4 i3 i2 i1 i4 i4 i3 i2 i1 Ένας παράγοντας λιγότερος στους όρους πολλών παραγόντων μείωση fan-in 12

13 Συνδυασμένοι (Hybrid) Αθροιστές Οι αθροιστές των επεξεργαστών συνδυάζουν τεχνικές. Συνήθως κάποια διασταύρωση carry look ahead και carry select είναι αποδοτικότερη. Τεχνολογία Μήκος λέξης Ασυμπτωτικές Πολυπλοκότητες Αθροιστών [7] Είδος Αθροιστή Σύντμηση Χρόνος Εμβαδό Κυματισμού (ripple) RCA O(n) O(n) Manchester MCC O(n) O(n) Constant width Carry Skip CSK O(n) O(n) Variable width Carry Skip VSK O(n) O(n) Carry Select CSL O(n) O(n) Carry look ahead CLA O(log n) O(n log n) ELM ELM O(log n) O(n log n) Brent-Kung B&K O(log n) O(n log n) Signed Digit (βάση r) SD-r O(b) O(n) Carry-save CSA O(1) O(n) 13

14 Πολυπλοκότητες Αθροιστών [7] Στοιχεία Κατανάλωσης Ισχύος Αθροιστών [7] 14

15 Γιατί Yπολογισμός Προθέματος (Prefix) Το πρόβλημα υπολογισμού του κρατουμένου με τη χρήση βοηθητικών σημάτων μετασχηματίζεται σε γνωστό πρόβλημα. Αντιμετωπίζει το σειριακό υπολογισμό του κρατουμένου CLA Αντιμετωπίζει το πρόβλημα του fan-out στον carry look-ahead. Κυκλώματα Παράλληλου Προθέματος (Parallel Prefix) Υπολογίζεται η παράσταση όπου a i a a a 1 2 n γνωστά προσεταιριστικός αλλά όχι αντιμεταθετικός τελεστής Παράδειγμα: a a a a a a a a a a a a

16 P ij : G ij : Ο Tελεστής Yπολογισμού Kρατουμένου P, G P, G P P, G PG Pi, i j Pi Pi 1: j, i j Gi, i j Gi Pi Gi1: j, i j i: j i: j i: m i: m m1: j m1: j P, G P, G P, G, i m j 1 Μη επικαλυπτόμενα groups P, G P, G P, G, i m, v j, v m 1 i: j i: j i: m i: m v: j v: j Εφαρμόζεται σε ζεύγη σημάτων propagate, generate Ορισμός σημάτων group propagate, generate Eπικαλυπτόμενα groups Αρχή Λειτουργίας Αθροιστών Parallel Prefix Πρόβλημα το fanout των σημάτων propagate ανά bit σε CLA Λύση Χρήση propagate και generate σημάτων από επικαλυπτόμενα groups Αποδοτικός υπολογισμός με parallel prefix δίκτυα τελεστή κρατουμένου 16

17 Οργάνωση Αθροιστών Parallel Prefix g g, p n1 n1, p n2 n2 Δίκτυο G G, P n1:0 n1:0, P n2:0 n2:0 c c n n1 g, p g 1 1, p 0 0 Prefix G g, P 1:0 1:0, p 0 0 c c 2 1 cm Gm:0 Pm :0c0, m 0 Αθροιστής Παράλληλου Προθέματος των Brent-Kung 17

18 Κρατούμενα σε Brent-Kung b 7, p g 7 G 7, P 7 bg 6, p6g G6, P6 bg 5, p5g G5, P5 bg 4, p4g G4, P4 bg 3, p3g G3, P3 bg 2, p2g bg 2, P2g bg 1, p1g bg 1, P1g b g0, p0 g b b b b b b G0, P0 g g g g g g c 8 c 7 c 6 c 5 c 4 c 3 c 2 c 1 N 64n 16log n 32 n 2 tr 2 D, ADD L T t 2log n 16log n 17.8 k c 0 Αθροιστής Ladner-Fischer 18

19 Αθροιστής Kogge-Stone Αθροιστής Han-Carlson 19

20 Άθροιση Διατήρησης Κρατουμένου (carry save) T k T CSA TCPA 2 Δένδρα Carry Save Αθροιστών 20

21 Πρόσθεση 1 bit Ο half adder προσθέτει 2 εισόδους (Α, Β). Το αποτέλεσμα είναι 0,1 ή 2 => απαιτούνται 2 bits απαιτούνται για την αναπαράστασή του, το άθροισμα S (Sum) και το κρατούμενο Cout (Carry-out) Ο full adder έχει επιπλέον μία είσοδο, το C (carry-in). To carry-out είναι ισοδύναμο με το carry-in της επόμενης βαθμίδας VLSI ΙI Πρόσθεση 1 bit Πίνακες Αληθείας VLSI ΙI

22 Συνάρτηση Generate Στον full adder είναι χρήσιμος ο ορισμός των Generate (G), Propagate (P) και των Kill (K) σημάτων. Ο αθροιστής δημιουργεί (generates) κρατούμενο όταν το Cout είναι αληθές ανεξάρτητα από το Cin, οπότε: G=A B VLSI ΙI Συναρτήσεις Kill & Propagate Ο αθροιστής «σκοτώνει» (kills) το carry όταν Α=Β=0 ανεξάρτητα από το Cin, οπότε: K A B A B Ο αθροιστής μεταδίδει (propagates) το carry-in όταν μία είσοδος είναι 1, δηλαδή: P AB VLSI ΙI

23 Συναρτήσεις Ημιαθροιστή 1 bit Από τον πίνακα αληθείας, η λογική του half adder είναι: S A B C A B out VLSI ΙI Συναρτήσεις Πλήρη Αθροιστή 1 bit Ομοίως από τον πίνακα αληθείας, η λογική του full adder είναι: S ABC ABC ABC ABC out A B C P C C AB AC BC AB C A B (,, ) AB C A B MAJ A B C Δηλαδή το carry παίρνει την τιμή της πλειοψηφίας των A, B, C π.χ. αν Α=1, Β=0, C=1 πλειοψηφούν οι 1. VLSI ΙI

24 Κυκλωματικός Σχεδιασμός Αθροιστή 1 bit Inverters: 6 transistor s 3-input XOR: 16 transistors Majority gate: 10 transistors Ο full adder σε αυτό τον σχεδιασμό χρησιμοποιεί συνολικά 32 transistors VLSI ΙI Εναλλακτικός Κυκλωματικός Σχεδιασμός Αθροιστή 1 bit (1/) C AB C A B out out S ABC A B C C Μία πιο συμπαγής μορφή του adder βασίζεται στο γεγονός ότι το S μπορεί να παραγοντοποιηθεί έτσι ώστε να συμπεριλάβει και το Cout: out S ABC A B C C VLSI ΙI

25 Εναλλακτικός Κυκλωματικός Σχεδιασμός Αθροιστή 1 bit (1/) 28 transistor s Ώσπου να έρθει το C από την προηγούμενη βαθμίδα θα έχει φορτίσει το Cout (π.χ. αν ο δρόμος Α, Β άγει δηλαδή Α=Β=0. Επομένως δεν ανήκει στο critical path Τα τρανζίστορ που δεν ανήκουν στο critical path έχουν ελάχιστο πλάτος (W=1) => μικρή επιβάρυνση της χωρητικότητας C L της προηγούμενης βαθμίδας Τα τρανζίστορ που βρίσκονται στο critical path έχουν μεγαλύτερο πλάτος (4 ή 8) => μείωση αντίστασης και γρήγορη φόρτιση της Cout της παρούσας βαθμίδας Ο ακριβής υπολογισμός του πλάτους των τρανζίστορ ευρίσκεται με προσομοίωση. Όσο πιο μεγάλο είναι (μικρή R) τόσο γρηγορότερα φορτίζει το Cout. VLSI ΙI Εναλλακτικός Κυκλωματικός Σχεδιασμός Αθροιστή 1 bit (1/) Τοποθέτηση του πιο αργού σήματος carry-in στις εσωτερικές εισόδους οι εσωτερικές χωρητικότητες να έχουν εκφορτιστεί/φορτιστεί όταν έρχεται το πιο αργό σήμα ( carry) Εξάλειψη των αντιστροφέων στην έξοδο C. Απαιτείται αντιστροφή των Α και Β στις ζυγές βαθμίδες. Συνολικά έχουμε λιγότερο υλικό δηλ, υλοποίηση με 24 αντί 28 transistors VLSI ΙI

26 Εναλλακτικός Κυκλωματικός Σχεδιασμός Αθροιστή 1 bit (1/) Για περιβάλλον με τυποποιημένα τρανζίστορ. Η διασύνδεση για τις A,B,C εισόδους γίνεται εσωτερικά Τοποθέτηση inverter στο τέλος για το Cout. Εδώ τα τρανζίστορ περιστρέφονται. Κατάλληλο σχέδιο για χειριστή VLSI ΙI δεδομένων Κυκλωματικός Σχεδιασμός πύλες μετάδοσης και XOR (1/2) 24 transistor s Δημιουργία XOR: Όταν Α=1 ο inverter δεν λειτουργεί Χρήση των PP, Λαμβάνουμε τα για παραγωγή του για έλεγχο των 4 πυλών μεταφοράς PP, P ταυτόχρονα. Με inverter αντί για XOR θα υπήρχε καθυστέρηση VLSI ΙI

27 Κυκλωματικός Σχεδιασμός πύλες μετάδοσης και XOR (2/2) VLSI ΙI Κυκλωματικός Σχεδιασμός με CPL λογική Τα αμυδρά p-τύπου τρανζίστορ επαναφέρουν την τάση στο V dd Συγκρινόμενη με προσεκτική υλοποίηση του Σχ. 10.4c είναι ελαφρώς γρηγορότερη, συγκρίσιμη κατανάλωση και με μεγαλύτερη επιφάνεια VLSI ΙI

28 Κυκλωματικός Σχεδιασμός με dual rail domino λογική Οι δυναμικοί full adders χρησιμοποιούνται στους γρήγορους multipliers Χρήση footless λογικής λείπει το n transistor για το φ Όταν στην προφόρτιση δεν δημιουργείται μονοπάτι προς την γη μπορεί να παραλειφθεί το transistor Σε πολλές περιπτώσεις αυτό επιτυγχάνεται με πρόσθετο κύκλωμα. VLSI ΙI Carry Propagate Addition N-bit είσοδοι N-bit Sum VLSI ΙI

29 Μετάδοση Κρατουμένου Δύο παραδείγματα όπου τα carry και sum bits επηρεάζονται από το C in Η απλούστερη σχεδίαση είναι ο carry ripple adder (RCA) όπου το carry out ενός bit είναι συνδεδεμένο στο carry in του επόμενου bit VLSI ΙI Ripple Carry Adder Η καθυστέρηση είναι ο χρόνος για τη μετάδοση του carry μέσα από τα N στάδια Η πρόσθεση είναι αυτοδυϊκή πράξη (η συνάρτηση των συμπληρωματικών εισόδων είναι το συμπλήρωμα της συνάρτησης) Ένας αθροιστής που δέχεται συμπληρ. εισόδους παράγει μη συμπληρ. εξόδους (C, S) Η παράληψη των αντιστροφέων μικραίνει το critical path Η χρήση NOT στις εισόδους και sum δεν είναι στο critical path VLSI ΙI

30 Carry Generation & Propagation G P i: j i: j G P i: i i: i G P i: k i: k i P P k: j i i: k G Gi Ai Bi P A B k1: j i i block adder k k-1 j Το block (i:j) παράγει carry όταν το block (i:k) παράγει carry ή όταν το block [(k-1):j] παράγει carry και το block (i:k) το μεταδίδει i>k>j bits Για το bit 0 έχουμε: C C 0 in n C C out Το sum είναι: Si Pi Gi 1:0 G0:0 C in P0:0 0 Το group μεταδίδει carry αν και το πάνω και το κάτω μέρος μεταδίδουν carry VLSI ΙI Πρόσθεση με Λογική Γέννησης & Διάδοσης Κρατουμένου (1/2) G G A B i: i i i i P P A B i: i i i i G G P G i: j i: k i: k k1: j P P P i: j i: k k1: j S P G i i i 1:0 Η πρόσθεση μπορεί να απλοποιηθεί στη διαδικασία των παραπάνω τριών βημάτων VLSI ΙI

31 Πρόσθεση με Λογική Γέννησης & Διάδοσης Κρατουμένου (2/2) Η λογική υπολογισμού του 1 ου και 3 ου επιπέδου δεν αλλάζει στις διάφορες μεθόδους υπολογισμού του C i = G i:0, i=1 N Υπάρχουν εναλλακτικές μέθοδοι υλοποίησης για το 2 ο επίπεδο με πλεονεκτήματα σε ταχύτητα, επιφάνεια και πολυπλοκότητα VLSI ΙI Διαμερασμός λογικής PG Ένα μέρος από hardware του Group PG logic μπορεί να μοιραστεί στην bitwise PG logic για απλοποίηση του Group PG logic VLSI ΙI

32 Carry-Ripple Addition (1/7) Το critical path του carry ripple adder περνάει από carry in σε carry out κατά μήκος της αλυσίδας όλων των βαθμίδων Επειδή τα σήματα P, G θα έχουν ήδη υπολογιστεί τη στιγμή που θα έρθει το carry μπορούν να χρησιμοποιηθούν για την απλούστευση της συνάρτησης του Cout σε μία AND-OR πύλη C A B A B C i i i i i i1 A B A B C G i i i i i1 PC i i i1 C G i i:0 G G P G i:0 i i i 1:0 Η πρόσθεση με κύμα μπορεί να παρουσιασθεί σαν ακραία μιας λογικής PG ομάδας όπου Μια ομάδα 1-bit (εδώ το Gi) group συνδυάζεται με ένα (i)-bit (G(i-1)0=Ci-1) group για να σχηματισθεί ένα (i+1)-bit group VLSI ΙI Carry-Ripple Addition (2/7) Critical path Το critical path περνάει μέσα από μια αλυσίδα από AND- OR πύλες και όχι από αλυσίδα από majority πύλες που έχουν μεγαλύτερη καθυστέρηση και επιφάνεια VLSI ΙI

33 Carry-Ripple Addition (3/7) PG Logic για 16-bit adder Χρήση διαγραμμάτων αυτού του είδους για σύγκριση διαφορετικών υλοποιήσεων αθροιστών. Δείχνει την καθυστέρηση της κάθε λογικής VLSI ΙI Carry-Ripple Addition (4/7) Γενικά σε όλα τα διαγράμματα ισχύει: Παραγωγή των bit Propagate και Generate grey box: Παραγωγή των group Generate XOR:Για παραγωγή των Sum VLSI ΙI

34 Carry-Ripple Addition (5/7) Σε τέτοιου είδους διαγράμματα θα ισχύει αυτή η αντιστοίχηση VLSI ΙI Carry-Ripple Addition (6/7) Carry-Ripple critical path t pg (N-1)t AO t xor t t ( N 1) t t ripple pg AO xor VLSI ΙI

35 Carry-Ripple Addition (7/7) block adder i k k-1 j Οι buffers χρησιμοποιούνται για να μειώσουν το φορτίο στο critical path δηλαδή για μικρότερο fun-out bits k k-1 Μείωση σταδίων με higher valency κελιά. Όμως έχουμε διατάξεις Ν transistors στη σειρά VLSI ΙI i l l-1 j Manchester Carry Chain Adder (1/5) Δυναμική Majority Στατική Βαθμίδα: Το C in Βαθμίδα: Είναι gate μεταδίδεται μέσω της γρηγορότερη και πύλης μεταφοράς. G απαιτεί λιγότερη (Generate Carry) επιφάνεια δημιουργεί το Nmos transistor όταν άγει και Kill VLSI ΙI (Κ ) το Pmos

36 Manchester Carry Chain Adder (2/5) Πολλά επίπεδα της δυναμικής βαθμίδας συνδέονται και δημιουργούν την Manchester carry chain για έναν multi-bit Manchester adder Υπάρχουν πολλά transistors στη σειρά οπότε έχουμε μεγάλη αντίσταση και η καθυστέρηση μεγαλώνει στο τετράγωνο. Δηλαδή αν: R : t 2 R : 2 t 4t H διάταξη αυτή επειδή έχει N tarnsistors στη σειρά εισάγει μεγάλη καθυστέρηση γι αυτό το Ν είναι συνήθως μικρό (π.χ. Ν=4) VLSI ΙI Manchester Carry Chain Adder (3/5) Α Β Επίλυση του προηγούμενου προβλήματος με εισαγωγή inverter Προφόρτιση: Άγουν όλα τα φ οπότε η χωρητικότητα του κόμβου (ΑΒ) φορτίζεται από 4 τρανζίστορς στα οποία εφαρμόζεται το φ Εκφόρτιση: Η κατανεμημένη χωρητικότητα εκφορτίζεται μέσω 4 τρανζίστορ (3 του κόμβου ΑΒ και το n τρανζίστορ του αντιστροφέα) VLSI ΙI

37 Manchester Carry Chain Adder (4/5) C G C 0 0:0 0 C G G PC 1 1: C G G P G PC 2 2: C G G P G P G PC 3 3: Ο Manchester carry chain μπορεί να αναπαρασταθεί σαν ένας buffer με 4 gray cells (συνήθως βέλτιστη επιλογή στο μέγεθος της αλυσίδας) VLSI ΙI Manchester Carry Chain Adder (5/5) Grey cell buffe r Καλύτερος από τον carry-ripple (N/3 slots) όμως αργός για μεγάλους adders VLSI ΙI

38 Carry-Skip Adder (1/12) Υπολογίζει το group propagate (P4:1, P8:5, P12:9, P16:13) για κάθε αλυσίδα από carry (τεσσάρων bit εδώ) και το χρησιμοποιεί για να παρακάμψει μεγάλους carry ripple adders => μείωση critical path VLSI ΙI Carry-Skip Adder (2/12) Mux AND 4 εισόδων για propagate 4 εισόδων Carry Ripple adder (click for details) VLSI ΙI

39 Carry-Skip Adder (3/12) Ελέγχει το MUX ο οποίος επιλέγει την είσοδο 1 (Cin) ή την είσοδο 0 (έξοδο Cout του τετράμπιτου αθροιστή) Σωστό carry-in Υπολογίζεται το carry-out για carry-in=0 O Mux επιλέγει το σωστό carryout με βάση το Cin VLSI ΙI Carry-Skip Adder (4/12) Αρχικά τα 4 group propagate blocks ταυτόχρονα υπολογίζουν το P4:1, P8:5, P12:9, P16:13 Παράλληλα ο carry ripple που αντιστοιχεί στα ψηφία 4:1 και του είναι γνωστό το Cin υπολογίζει τα S4:1 και το C4 Όταν P4:1=1 δηλαδή ο block αθροιστής (4:1) κάνει propagate τότε o MUX επιλέγει το Cin, δηλαδή το Cin διαδίδεται στην έξοδο του block. Όταν το P4:1=0 τότε ο MUX επιλέγει την έξοδο του ripple carry VLSI ΙI

40 Carry-Skip Adder (5/12) Critical path Το critical path (συνολική καθυστέρηση) μπορεί να οριστεί με βάση την χειρότερη περίπτωση για την εύρεση του carry-out (το πιο αργό σήμα) Με βάση τα προηγούμενα η χειρότερη περίπτωση είναι να χρειαστεί να περάσει το carry-out από όλους τους multiplexers δηλαδή να έχουμε συνεχώς Propagate εκτός του πρώτου (4:1) VLSI ΙI Carry-Skip Adder (6/12) n bit group Εδώ έχουμε: n=4 k=4 N=n k=16 bits k n-bit groups VLSI ΙI

41 Carry-Skip Adder (7/12) Grey cell Mux. Delay ίσο με μία AND22-OR Καθορίζουν αν κάθε ομάδα γεννά carry Με γκρί αναπαρίσταται ο υπολογισμός του sum και carry-out αγνοώντας το carryin στο bit 5 Με γαλάζιο αναπαρίσταται ο υπολογισμός του σωστού carry-out Αθροιστές παράγουν carry-out όταν το carry-in στέλνεται μέσω παράκαμψης VLSI ΙI Carry-Skip Adder (8/12) Critical path t pg (n-1)t carry (k-1)t AO (n-1)t carry t xor Συνολικά η καθυστέρηση είναι: t t ( n 1) t ( n 1) t ( k 1) t t skip pg carry carry AO xor t t 2( n 1) t ( k 1) t t skip pg carry AO xor VLSI ΙI

42 Carry-Skip Adder (9/12) VLSI ΙI Carry-Skip Adder (10/12) Λιγότερα bits στο 1 ο και τελευταίο block για μείωση του critical path Blocks (2, 3, 4, 4, 3) Το critical path εξαρτάται από το μέγεθος του 1 ου και τελευταίου block. Μία βελτίωσή του είναι η προσθήκη λιγότερων bits στο 1 ο και τελευταίο block και περισσότερα bits στα ενδιάμεσα blocks VLSI ΙI

43 Carry-Skip Adder (11/12) Ο Mux παρουσιάζει περίπου την ίδια καθυστέρηση με μία AND-OR πύλη => μπορούσαν να αντικατασταθούν γιατί αντιστοιχούν εδώ στην ίδια λογική Αύξηση του critical path και προβλήματα στον adder Στην πρόσθεση Cin και Cin=0 δεν παρουσιάζεται πρόβλημα Αν όμως Cin=1 κάθε block παράγει carry. Αν το Cin=0 θα πρέπει να μεταδοθεί μέσω των N βαθμίδων Το πρόβλημα αυτό δεν υπάρχει στους domino skip adders, CLA και carry select adders VLSI ΙI Carry-Skip Adder (12/12) Το πρόβλημα αυτό λύνεται με την χρήση domino λογικής επειδή όλα τα κρατούμενα μηδενίζονται κατά τη διάρκεια της προφόρτισης Ο carry-skip adder με τη βαθμίδα Manchester επιλύει το πρόβλημα που δημιουργούν οι AND-OR πύλες VLSI ΙI

44 Carry-Lookahead Adder (1/7) Ο Carry-lookahead Adder είναι παρόμοιος με τον carry-skip Υπολογίζονται στα ορθογώνια group generate και τα group propagate σήματα (γρηγορότερο από το ripple carry) ώστε να μην χρειαστεί να περάσει από τον ripple-carry για να προσδιορίσει αν δημιουργεί carry VLSI ΙI Carry-Lookahead Adder (2/7) Critical path Ο Carry-lookahead Adder παρουσιάζει καλύτερο critical path από τον carryskip επειδή δε χρειάζεται να περάσει από όλους τους ripple carry VLSI ΙI

45 Carry-Lookahead Adder (3/7) High valency black cell (Fig καθυστ. tpg(4) Grey cell VLSI ΙI Carry-Lookahead Adder (4/7) Critical path t pg t pg ( n ) ( n1) t AO ( k1) t AO t xor Critical path του Carry-Lookahead Adder t t t ( ) n 1 k 1 t t cla pg pg n AO xor VLSI ΙI

46 Carry-Lookahead Adder (5/7) Time slot 1 Time slot 2 Time slot 3 Time slot 4 Time slot 5 Time slot 6 Time slot 7 Time slot 8 Time slot 9 Οι χρονικές στιγμές δε διαρκούν όλες το ίδιο VLSI ΙI Carry-Lookahead Adder (6/7) High valency black cell Βαθμίδα Mancheste r Για βελτίωση του critical path γίνεται συνδυασμός των high valency κελιών και της βαθμίδας Manchester VLSI ΙI

47 Carry-Lookahead Adder (7/7) Time slot 1 Time slot 2 Time slot 3 Time slot 4 Time slot 5 Time slot 6 Time slot 7 Το critical path υπολογίζεται τώρα σε 7 χρονικές στιγμές (time slots) ενώ στην προηγούμενη υλοποίηση διαρκούσε 9 χρονικές στιγμές (time slots) VLSI ΙI Carry-Select Adder (1/12) Γίνεται προϋπολογισμός των εξόδων για τις πιθανές εισόδους VLSI ΙI

48 Carry-Select Adder (2/12) ύστερα με ένα MUX γίνεται η επιλογή της σωστής εξόδου S ανάλογα με το Carry in VLSI ΙI Carry-Select Adder (3/12) Ένας αθροιστής υπολογίζει το άθροισμα σε περίπτωση που το carry-in είναι 0 Ένας δεύτερος αθροιστής υπολογίζει το άθροισμα σε περίπτωση που το carry-in είναι 1 Εκτός από το πρώτο group που έχουμε έναν αθροιστή επειδή το Carry-in είναι ήδη γνωστό, συνήθως 0 VLSI ΙI

49 Carry-Select Adder (4/12) Με βάση το πραγματικό carry που έρχεται από την προηγούμενη βαθμίδα ο Multiplexer επιλέγει το κατάλληλο άθροισμα που έχει προϋπολογιστεί VLSI ΙI Carry-Select Adder (5/12) VLSI ΙI

50 Carry-Select Adder (6/12) n bits k n-bit groups VLSI ΙI Carry-Select Adder (7/12) nt AO t pg t mux ( k 2) t AO Critical path delay of the carry-select adder t t [ n ( k 2)] t t select pg AO mux VLSI ΙI

51 Carry-Select Adder (8/12) Critical path του carry-select t t nt ( k 2) t t select pg AO AO mux Ο χρόνος για τον υπολογισμό μόνο των Carries για κάθε block Επειδή τo Carry in για κάθε block είναι γνωστό (0 ή 1) ο υπολογισμός των Carries για όλα τα blocks γίνεται ταυτόχρονα => η συνολική καθυστέρηση είναι ίση με την καθυστέρηση ενός block VLSI ΙI Carry-Select Adder (9/12) Critical path delay of the carry-select adder t t nt ( k 2) t t select pg AO AO mux Ο χρόνος για τον υπολογισμό των Propagate και Generate για κάθε block Ο υπολογισμός των Propagate και Generate γίνεται σε ένα slot Επειδή τα P,G και των k blocks υπολογίζονται ταυτόχρονα => η συνολική καθυστέρηση είναι ίση με αυτή του ενός block, δηλαδή t pg VLSI ΙI

52 Carry-Select Adder (10/12) Στον συγκεκριμένο 16-bit Full Adder έχουμε n=4 N=16 bits (k n) k=4 Critical path του carry-select t t nt ( k 2) t t select pg AO AO mux Click for critical path delay details Αν θέλουμε να υπολογίσουμε σε πόσα clks θα έχουμε το άθροισμα δυο 16-bit αριθμών Α (16:0), Β (16:0) σύμφωνα με τα προηγούμενα αυτός θα είναι 7 times slots VLSI ΙI Carry-Select Adder (11/12) Time slot 4 Time slot 5 Time slot 6 Time slot 7 Time slot 1 Time slot 2 Time slot 3 VLSI ΙI

53 Carry-Select Adder (12/12) Logic removed in the carry increment adder VLSI ΙI Carry-Increment Adder (1/5) Grey cell Black cell (ίσο delay με grey) Χρησιμοποιείται μία μικρή αλυσίδα από ripple black cells για τον υπολογισμό των PG σημάτων για τα bits κάθε group. Όταν το carry-out του προηγούμενου block γίνει διαθέσιμο, το τελικό gray cell σε κάθε στήλη υπολογίζει το carry-out, το οποίο είναι 1 αν το group γεννά (generates) carry ή αν το group μεταδίδει (propagates) carry και το προηγούμενο group γεννά (generates) carry VLSI ΙI

54 Carry-Increment Adder (2/5) Critical path t pg n k 1 t AO 1 t AO t xor Συνολικά το critical path delay του carry-increment adder είναι: t t [( n 1) ( k 1)] t t increment pg AO xor VLSI ΙI Carry-Increment Adder (3/5) Το Critical path delay είναι παρόμοιο με αυτό του Carry Select Adder t t [( n 1) ( k 1)] t t increment pg AO xor Αντικαθιστώντας το ripple carry chain με Manchester carry chain επιτυγχάνουμε μεγαλύτερη ταχύτητα t t t ( ) ( k 1) t t increment pg pg n AO xor VLSI ΙI

55 Carry-Increment Adder (4/5) Critical path t pg 2NtAO Για Ν=16, 2N 6 t xor Με αυτή την βελτίωση που παρουσιάζεται στο σχήμα το critical path delay γίνεται: tincrement tpg 2NtAO txor VLSI ΙI Carry-Increment Adder (5/5) Βελτιωμένη υλοποίηση: Χρήση buffers για μείωση του fan-out VLSI ΙI

56 Conditional-Sum Adder (1/7) Η λειτουργία του στηρίζεται στην λειτουργία του carry select adder VLSI ΙI Conditional-Sum Adder (2/7) Στις 2 πρώτες γραμμές οι full adders υπολογίζουν το sum και carry-out για κάθε bit υποθέτοντας για carry-in 0 και 1αντίστοιχα VLSI ΙI

57 Conditional-Sum Adder (3/7) C in =0 C in =1 2 bit blocks Στις επόμενες 2 γραμμές τα ζεύγη από Multiplexers επιλέγουν το sum και carry-out από το ανώτερο επίπεδο για κάθε block που αποτελείται από 2 bit, υποθέτοντας πάλι για carry-in 0 και 1. VLSI ΙI Conditional-Sum Adder (4/7) 4 bit blocks Στις επόμενες 2 γραμμές τα ζεύγη από Multiplexers επιλέγουν το sum και carry-out 2-bits από το προηγούμενο επίπεδο για κάθε 4-bit block VLSI ΙI

58 Conditional-Sum Adder (5/7) 8 bit blocks Ομοίως ισχύει και για τα επόμενες 2 γραμμές οι οποίες επιλεγούν sum και carry για block 8-bits VLSI ΙI Conditional-Sum Adder (6/7) 16 bit block Τέλος η τελευταία γραμμή επιλέγει το τελικό Carry-out και το sum για block 16-bit. VLSI ΙI

59 Conditional-Sum Adder (7/7) Time slot 1 Time slot 2 Time slot 3 Time slot 4 Time slot 5 Ο carry select adder υπολογίζει το άθροισμα 2 16-bit αριθμών σε 7 times slots (click for details) ενώ ο conditional sum adder σε 5 times slots VLSI ΙI Conditional-Sum Adder Παράδειγμα 16 bit αριθμοί προς άθροιση Τα sum και carry που προκύπτουν ανά bit από την πρόσθεση των a, b για υποθετικό carry-in=0 VLSI ΙI

60 Conditional-Sum Adder Παράδειγμα Το αποτέλεσμα της επιλογής ανά 2 bits που προκύπτει από την πρόσθεση για carry-in=0. Το bit 1 παραμένει ίδιο από το προηγούμενο επίπεδο ενώ το bit 2 επιλέγεται με βάση το carry-in στο bit 1 του προηγούμενου επιπέδου Εδώ το carry=0 άρα επιλέγει ο MUX τη στήλη [1 0] από το block row για carry-in=0 VLSI ΙI Conditional-Sum Adder Παράδειγμα Ομοίως βγαίνουν τα αποτέλεσματα της επιλογής ανά 2 bits που προκύπτουν από την πρόσθεση για carry-in=1 Τώρα. Εδώ carry=1 άρα επιλέγω τη στήλη [0 1] από το VLSI ΙI carry-in=

61 Conditional-Sum Adder Παράδειγμα το αποτέλεσμα της επιλογής ανά 4 bits για carry-in=0. Τα bits 1,2 παραμένουν ίδια από το προηγούμενο επίπεδο ενώ τα bits 3,4 επιλέγονται με βάση το carry-in από το bit 2 του προηγούμενου επιπέδου. Εδώ carryin=0 άρα επιλέγω το block [0 0] από VLSI ΙI block row για carry-in= Conditional-Sum Adder Παράδειγμα VLSI ΙI

62 Conditional-Sum Adder Παράδειγμα VLSI ΙI Ομοίως βγαίνουν τα αποτέλεσμα της επιλογής ανά 8 bits για carry-in=0 αλλά και για carry-in=1 127 Conditional-Sum Adder Παράδειγμα VLSI ΙI

63 Conditional-Sum Adder Παράδειγμα τελικό αποτέλεσμα Sum και Carry-out VLSI ΙI Conditional-Sum Adder Παράδειγμα Time slot 1 Time slot 2 Time slot 3 Time slot 4 Time slot 5 VLSI ΙI

64 Conditional-Sum Adder Ο conditional sum adder περιέχει 2Ν full adders και 2Nlog 2 N multiplexters VLSI ΙI Conditional-Sum Adder- Βελτιώσεις Όπως με τον carry-select adder έτσι και ο Conditional Sum Adder μπορεί να βελτιωθεί υπολογίζοντας το sum με XORs και αντικαθιστώντας τους multiplexers με AND-OR πύλες. Αυτό μας οδηγεί σε Sklansky tree adder (αναλύεται παρακάτω) VLSI ΙI

65 Tree Adders Για τους αθροιστές μεγάλου μήκους (Ν >16 bits), η καθυστέρηση εξαρτάται από την καθυστέρηση του διάδοσης του κρατουμένου διαμέσου των σταδίων πρόβλεψης Μπορεί να κατασκευαστεί ένα πολυεπίπεδο δένδρο δομών πρόβλεψης => η καθυστέρηση διάδοσης του κρατούμενου να είναι logn Τέτοιοι αθροιστές αναφέρονται συνήθως ως αθροιστές δένδρου Υπάρχουν πολλοί τρόποι κατασκευής του δένδρου πρόβλεψης με συμβιβασμούς ανάμεσα στο πλήθος των επιπέδων λογικής πλήθος των λογικών πυλών μέγιστο βαθμό οδήγησης εξόδου κάθε πύλης και ποσότητα καλωδίωσης μεταξύ των σταδίων Τρία θεμελιώδη δένδρα είναι οι αρχιτεκτονικές: Brent-Kung, Sklansky και Kogge-Stone VLSI ΙI Brent Kung (1/3) Black cell Grey cell Υπολογίζονται αρχικά τα PG για κάθε block ανα 2 bits Υπολογισμός των PG για κάθε block ανα 4 bits Υπολογισμός των PG για κάθε block ανα 8 bits Υπολογισμός των PG για κάθε block ανα 16 bits Υπολογισμός σε μορφή δέντρου των απαραίτητων G των ενδιάμεσων bit για το τελικό αποτέλεσμα VLSI ΙI

66 Brent Kung (2/3) Max fan-out=2 VLSI ΙI Brent Kung (3/3) Δεν καταλαμβάνει μεγάλη επιφάνεια Δεν έχει πρόβλημα πυκνότητας καλωδίων Απαιτεί 2log 2 N-1 επίπεδα για τον τελικό υπολογισμό δεν είναι η καλύτερη επιλογή για την καθυστέρηση VLSI ΙI

67 Sklansky (1/4) Blac k cell Grey cell Υπολογίζει πολλά μαζί Generate μειώνοντας έτσι την καθυστέρηση σε σχέση με τον Brent-Kung tree adder VLSI ΙI Sklansky (2/4) Max fan-out=8 Ο βαθμός οδήγησης εξόδου x2 σε κάθε στάδιο [8, 4, 2, 1] Χρήση Buffers λόγω μεγάλου fan-out. Αλλιώς θα έχει μεγάλη καθυστέρηση VLSI ΙI

68 Sklansky (3/4) Critical path t pg log 2 Nt AO Για Ν=16, log 2 N=4 t xor Critical path delay του Slansky (ισχύει και για Kogge-Stone tree adder): t t log 2 N t t tree pg AO xor VLSI ΙI Sklansky (4/4) Απαιτεί log 2 N επίπεδα για τον τελικό υπολογισμό (μικρότερο delay από τον Brent-Kung) Καταλαμβάνει μεγαλύτερη επιφάνεια σε σχέση με τον Brent-Kung Δεν έχει πρόβλημα πυκνότητας καλωδίων VLSI ΙI

69 Kogge-Stone (1/3) Blac k cell Grey cell Με αντίστοιχο κόστος τη μεγάλη πυκνότητα των καλωδίων για τα οποία πρέπει να ευρεθούν διαδρομές (πρόβλημα) Μειώνει το max fan-out σε 2 (μία από τις διαδρομές με max fan-out) Max fan-out=2 VLSI ΙI Kogge-Stone (2/3) Critical path t pg log 2 Nt AO Για Ν=16, t xor log 2 N= 4 Critical path delay του Kogge-Stone tree adder (ισχύει και για Slansky): t t log 2 N t t tree pg AO xor VLSI ΙI

70 Kogge-Stone (3/3) Απαιτεί log 2 N επίπεδα για τον τελικό υπολογισμό Καταλαμβάνει μεγάλη επιφάνεια (μεγάλος αριθμός από black cells) Έχει μεγάλο αριθμό καλωδίων για τα οποία πρέπει να ευρεθούν διαδρομές (πρόβλημα) VLSI ΙI Han Carlson (1/4) Οι Han-Carlson trees είναι μία οικογένεια από δίκτυα μεταξύ Kogge-Stone και Brent- Kung Στο διάγραμμα χρησιμοποιεί την τεχνική Brent-Kung Μείωση επιπέδων με χρήση τεχνικής (μακριών καλωδίων) του Kogge-Stone VLSI ΙI

71 Han Carlson (2/4) Critical path t pg 5t AO t xor VLSI ΙI Han Carlson (3/4) Max fan-out=2 Μία από τις διαδρομές με max fan-out VLSI ΙI

72 Han Carlson (4/4) Έχει ικανοποιητική καθυστέρηση Δεν καταλαμβάνει μεγάλη επιφάνεια (αριθμός πυλών) Δεν έχει μεγάλη πυκνότητα καλωδίων VLSI ΙI Knowles (1/4) Είναι συνδυασμός Kogge-Stone και Slansky. Το πρόβλημα του μεγάλου fun-out που παρουσιάζει ο Slansky επιλύεται με τη μέθοδο (μεγάλων καλωδίων) Kogge-Stone VLSI ΙI

73 Knowles (2/4) Max fan-out=3 Μία από τις διαδρομές με max fan-out VLSI ΙI Knowles (3/4) Critical path t pg 4t AO t xor Μία από τις διαδρομές με την μέγιστη καθυστέρηση VLSI ΙI

74 Knowles (4/4) Απαιτεί log 2 N επίπεδα για τον τελικό υπολογισμό (η μικρότερη καθυστέρση) Καταλαμβάνει μεγάλη επιφάνεια (αριθμός πυλών) Δεν έχει μεγάλο πρόβλημα πυκνότητας καλωδίων VLSI ΙI Lander Fischer (1/4) Οι Ladner-Fischer trees είναι οικογένεια δικτύων μεταξύ Slansky και Brent-Kung Αρχικά γίνονται οι υπολογισμοί όπως στους Brent-Kung trees Ενώ οι υπόλοιποι υπολογισμοί γίνονται με τη μέθοδο των Slansky trees VLSI ΙI

75 Lander Fischer (2/4) Max fan-out=5 Η διαδρομή με max fan-out VLSI ΙI Lander Fischer (3/4) Critical path t pg 5t AO t xor VLSI ΙI

76 Lander Fischer (4/4) Απαιτεί 2log 2 N-1 επίπεδα για τον τελικό υπολογισμό (όχι τόσο καλή καθυστέρηση) Καταλαμβάνει πολύ μικρή επιφάνεια Δεν έχει πρόβλημα πυκνότητας καλωδίων VLSI ΙI Ομαδοποίηση tree adders (1/2) Θέτοντας L=log 2 N γίνεται η περιγραφή του κάθε tree με 3 μεταβλητές: (l,f,t) στο σύνολο [0,L-1] Αντιστοίχηση χαρακτηριστικών με μεταβλητές: Λογικά επίπεδα: L + l Fan-out: 2 f + 1 Ιδανική περίπτωσ ηl=log 2 N Διαδρομή Καλωδίων: 2 t Ιδανική περίπτωσ η fan-out: 2 VLSI ΙI

77 15:14 15:12 15:8 14:12 14:8 15:14 15:12 15:8 13:12 13:8 14:13 14:11 14:7 12:8 13:12 13:10 13:6 11:10 12:11 12:9 12:5 11:8 10:8 11:10 11:8 11:4 9:8 10:9 10:7 10:3 15:14 15:12 15:8 9:8 9:6 9:2 14:13 14:11 14:7 8:7 8:5 8:1 7:6 7:4 7:6 7:4 7:0 13:12 13:10 13:6 6:4 6:5 6:3 6:0 12:11 5:4 12:9 12:5 5:4 5:2 5:0 11:10 11:8 11:4 4:3 4:1 4:0 3:2 3:0 10:9 10:7 10:3 3:2 3:0 2:0 9:8 9:6 9:2 1:0 2:1 2:0 8:7 8:5 8:1 1:0 7:6 7:4 7:0 6:5 6:3 6:0 5:4 5:2 5:0 4:3 4:1 4:0 3:2 3:0 2:1 2:0 1:0 15:14 15:12 15:8 13:12 13:8 11:10 11:8 9:8 15:8 13:0 11:0 9:0 7:6 7:4 7:0 5:4 5:0 3:2 3:0 1:0 Ομαδοποίηση tree adders (2/2) Στις 3 άκρες του κύβου παρουσιάζονται οι ακραίες περιπτώσεις των trees σε Logic levels (Brent-Kung), Fanout (Slansky) και wire Tracks (Kogge-Stone) Οι υπόλοιποι έχουν πιο ισορροπημένα tradeoffs Οι μεταβλητές (l,f,t) δίνουν μία τρισδιάστατη απεικόνιση των tree adders VLSI ΙI Taxonomy Revisited (f) Ladner-Fischer (b) Sklansky l (Logic Levels) Brent- 15:014:013:012:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0 Ladner- Ladner- Fischer Kung 3 (7) f (Fanout) Sklansky Fischer 2 (6) 15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0 (a) Brent-Kung 3 (9) 2 (5) 1 (5) (e) Knowles [2,1,1,1] (3) 0 (2) 0 (4) 0 (1) Han- Carlson Knowles [4,2,1,1] New (1,1,1) 15:14 13:12 11:10 9:8 7:6 5:4 3:2 1:0 15:12 11:8 7:4 15:8 7:0 3:0 11:0 1 (2) 13:0 9:0 5:0 15:014:013:0 12:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0 Han- 15:014:013:012:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0 Knowles Carlson [2,1,1,1] 2 (4) (d) Han-Carlson (c) Kogge-Stone Kogge- Stone 3 (8) 15:14 15:12 13:12 13:10 11:10 11:8 9:8 9:6 7:6 7:4 5:4 5:2 3:2 3:0 1:0 15:8 13:6 11:4 9:2 7:0 5:0 t (Wire Tracks) 15:014:013:012:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0 15:014:013:012:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0 VLSI ΙI

78 Higher-valency Tree Adders (1/5) Οι tree adders που περιγράφηκαν μέχρι τώρα μπορούν να χρησιμοποιήσουν περισσότερα από δύο groups σε κάθε στάδιο Ο αριθμός από bits που συνδυάζεται σε κάθε πύλη ονομάζεται valency ή radix του cell VLSI ΙI Higher-valency Tree Adders (2/5) Brent-Kung (27-bits) Valenc y 3 Βαθμίδα Manchester Βαθμίδα carryincrement ή Conditional adder Μεγάλος αριθμός από λογικά επίπεδα Βαθμίδα Manchester ή Domino χωρίς υπολογισμό των carries VLSI ΙI

79 Slansky (27-bits) Higher-valency Tree Adders (3/5) Max Fan-out=19 Πολύ μεγάλο Fan-out Βαθμίδα Manchester ή Domino χωρίς υπολογισμό των carries VLSI ΙI Kogge-Stone (27-bits) Higher-valency Tree Adders (4/5) Μικρό Fan-out (Max Fan-out=2) Μεγάλη πυκνότητα καλωδίων Μεγάλος αριθμός από elements VLSI ΙI

80 Han-Carlson (27-bits) Higher-valency Tree Adders (5/5) Συνδυασμός Kogge-Stone και Brent-Kung, οπότε έχει ισορροπημένα tradeoffs (τρισδιάστατη απεικόνιση) Βαθμίδα carryincrement ή Conditional adder Σχετικά μεγάλος αριθμός από επίπεδα VLSI ΙI Hybrid Tree/Select Adders (1/6) Οι tree adders μπορούν να συνδυαστούν με carry select adders διαμορφώνοντας μία υβριδική μορφή adder trees μικρότερη καθυστέρηση και μικρότερος αριθμός πυλών Λειτουργία Ο carry select adder προϋπολογίζει τα sum για carry-in 0 και 1 αντίστοιχα για μικρά groups (4, 8 ή 16 bits) Ταυτόχρονα όμως ο tree adder υπολογίζει το carry-in σε κάθε τέτοιο group Τέλος ένας multiplexer επιλέγει το σωστό sum με βάση το υπολογισμένο carry-in Το μέγεθος κάθε group πρέπει να είναι τέτοιο ώστε τα carry-in και τα προϋπολογισμένα sums να γίνονται διαθέσιμα περίπου την ίδια χρονική στιγμή VLSI ΙI

81 Spanning-tree adder (27-bits) Hybrid Tree/Select Adders (2/6) Βαθμίδα Manchester valency-4 grey cell για τον υπολογισμό Αθροιστές 3-bit (radix3) προϋπολογίζουν τα sums και με mux γίνεται η σωστή επιλογή του του G 3:0 αποτελέσματος Ο Spanning tree adder βασίζεται στους higher valency Brent-Kung tree adders VLSI ΙI Hybrid Tree/Select Adders (3/6) Spanning-tree adder (27-bits) Carry select box spanning bits i j Χρησιμοποιούνται μικροί carryripple adders για τον προϋπολογισμό των sum για carry-in 0 και 1 Και ύστερα γίνεται η επιλογή του σωστού sum με έναν multiplexer με βάση το Cin VLSI ΙI

82 Hybrid Tree/Select Adders (4/6) Υπολογισμός PG group G 3:0 G 2:0 G 4:0 Απλοποίηση του carry-select επειδή τα carry-in είναι σταθερά. Control σήμα: Αν Cin=0 όλοι οι mux επιλέγουν το 0 ενώ αν Cin=1 επιλέγουν το 1 VLSI ΙI Hybrid Tree/Select Adders (5/6) Sparse-tree adder (33-bits) Valency 2 4-bit group Ο sparse tree adder είναι παρόμοιος με τον Slansky tree adder Χρήση buffer για μείωση fan-out (max fanout=3) Υπολογίζει τα carry σε κάθε 4-bit group μειώνοντας τον αριθμό των πυλών και την κατανάλωση ενέργειας στον tree adder VLSI ΙI

83 Hybrid Tree/Select Adders (6/6) Hybrid Kogge-Stone select adder (27-bits) Valency 3 Αθροιστές 3-bit (radix3) για τα sums και mux για επιλογή σωστού αποτελέσματος Χρησιμοποιεί valency 3 και επιλέγει σωστό αποτέλεσμα με carry select adder ανα 3-bit Αυτό το design καταφέρνει να μειώσει τον αριθμό λογικών επιπέδων, τον αριθμό των πυλών, την κατανάλωση ενέργειας καθώς και την πυκνότητα των καλωδίων VLSI ΙI Σύνοψη Αθροιστών Architecture Classification Logic Levels Max Fanout Tracks Cells Carry-Ripple N N Carry-Skip n=4 N/ N Carry-Inc. n=4 N/ N Brent-Kung (L-1, 0, 0) 2log 2 N N Sklansky (0, L-1, 0) log 2 N N/ Nlog 2 N Kogge-Stone (0, 0, L-1) log 2 N 2 N/2 Nlog 2 N VLSI ΙI

84 Σύνοψη Αθροιστών (Επιφάνεια Καθυστέρηση μετά από σύνθεση) VLSI ΙI Πρόσθεση Πολλών Εισόδων (Multi-input Adders) Υποθέστε ότι θέλουμε να προσθέσουμε k N-bit λέξεις Παράδειγμα: = Άμεση λύση: k-1 N-input CPAs Μεγάλη επιφάνεια Μεγάλη καθυστέρηση VLSI ΙI

85 Carry Save Addition Ένας A full adder αθροίζει 3 εισόδους και παράγει 2 εξόδους (άθροισμα & κρατούμενο) Το κρατούμενο έχει διπλάσιο βάρος από το άθροισμα Χ+Υ+Ζ = S +2C Χρήση παράλληλων N full adders η δομή καλείται carry save adder ή [3:2] adders Παράγει N sums και N carry εξόδους X 4 Y 4 Z 4 X 3 Y 3 Z 3 X 2 Y 2 Z 2 X 1 Y 1 Z 1 C 4 S 4 C 3 S 3 C 2 S 2 C 1 S 1 X N...1 Y N...1 Z N...1 n-bit CSA C N...1 S N...1 VLSI ΙI Εφαρμογή Carry Save Addition (CSA) Χρήση k-2 βαθμίδων από CSAs Δεν υπάρχει μετάδοση του κρατουμένου Χρήση ενός τελικού CPA για τον υπολογισμό του αποτελέσματος Μπορεί να είναι οτιδήποτε: RCA, Carry select, Carry Skip. Χρήση σε πολ/στές για άθροιση πολλαπλών λέξεων μερικών γινομένων!!! _ 4-bit CSA bit CSA 01010_ _ 0101_ _ 01010_ A B S VLSI ΙI X Y Z S C X Y Z S C 85

86 CSA Κυκλωματική Δομή Χρήση k-2 βαθμίδων από CSAs Δεν υπάρχει μετάδοση του κρατουμένου Χρήση ενός τελικού CPA για τον υπολογισμό του αποτελέσματος Μπορεί να είναι οτιδήποτε: RCA, Carry select, Carry Skip. Χρήση σε πολ/στές για άθροιση πολλαπλών λέξεων μερικών γινομένων!!! VLSI ΙI Αφαίρεση VLSI ΙI

87 Περίγραμμα Διάλεξης Πρόσθεση / Αφαίρεση Ανιχνευτές 1/0 Συγκριτές Μετρητές Κωδικοποίηση Ολισθητές Πολλαπλασιασμός VLSI ΙI Συγκριτές 0 s ανιχνευτής : A = s ανιχνευτής : A = Συγκριτής ισότητας: A = B Συγκριτής μέτρου: A < B VLSI ΙI

88 1 s detector: N-input AND gate 1 s & 0 s Ανιχνευτές (1/3) 0 s detector: NOTs + 1 s detector (N-input NOR) A 7 A 6 A 5 A 4 A 3 A 2 allones A 3 A 2 A 1 A 0 allzeros A 1 A 0 VLSI ΙI s & 0 s Ανιχνευτές (2/3) Αν η λέξη που ελέγχεται έχει μια απόκλιση ως προς το χρόνο άφιξης των εξόδων θα μπορούσε να χρησιμοποιηθεί μία ασύμμετρη σχεδίαση Εδώ, η καθυστέρηση από την τελευταία έξοδο που αλλάζει, Α7, είναι μια καθυστέρηση ενός κύκλου A 7 A 6 A 5 A 4 A 3 A 2 allones A 1 A 0 VLSI ΙI

89 1 s & 0 s Ανιχνευτές (3/3) Χρήση δομής ψευδο-nmos ή δυναμική NOR για υλοποίηση τoυ καλωδιωμένο-or Ικανοποιητικό για λέξεις ως και 16 bit Για μεγαλύτερου μεγέθους λέξεις, οι πύλες μπορούν να χωριστούν σε δομικές μονάδες των 8 με 16 bit μείωση καθυστέρησης παρασιτικών στοιχείων αποφυγή προβλημάτων με το ρεύμα διαρροής της περιοχής υποκατωφλίου. VLSI ΙI Συγκριτής ισότητας Έλεγχος ισότητας ανά ψηφίο (XNOR, aka equality gate) 1 s ανιχνευτής B[3] A[3] B[2] A[2] B[1] A[1] B[0] A[0] A = B VLSI ΙI

90 Συγκριτής μέτρου (1/3) Για μη προσημασμένους αριθμούς Α και Β, υπολογίζουμε το Β-Α = Β+ A +1 Αν το κρατούμενο εξόδου δεν είναι μηδέν, τότε Α Β. Ένας ανιχνευτής του 0 δείχνει ότι οι αριθμοί είναι ίσοι. Το B 3 A B C N A B A 3 B 2 A 2 B 1 Z A = B A 1 B 0 A 0 VLSI ΙI Συγκριτής μέτρου (2/3) B 3 A B C N A B A 3 B 2 A 2 B 1 Z A = B A 1 B 0 A 0 VLSI ΙI

91 Συγκριτής μέτρου (3/3) Η σύγκριση προσημασμένων αριθμών σε συμπλήρωμα ως προς 2 είναι πιο σύνθετη λόγω της εμφάνισης υπερχείλισης Αντί να ελέγχεται το κρατούμενο εξόδου, πρέπει να καθοριστεί αν το αποτέλεσμα είναι αρνητικό (Negative N) πιο σημαντικό δυαδικό ψηφίο του αποτελέσματος και αν υπάρχει υπερχείλιση (Overflow V) V = 1, αν οι είσοδοι έχουν διαφορετικά πρόσημα (τα MSBs είναι διαφορετικά) και το πρόσημο εξόδου είναι διαφορετικό από το πρόσημο του B Τo πραγματικό πρόσημο της διαφοράς Β-Α είναι το (Ν XOR V) η υπερχείλιση αναστρέφει το πρόσημο Αν το πρόσημο είναι αρνητικό γνωρίζουμε ότι A > B Οι άλλες σχέσεις μεταξύ των Α και Β μπορούν να εξαχθούν από το σήμα που δίνει το πρόσημο και το σήμα Ζ. VLSI ΙI Signed vs. Unsigned VLSI ΙI

92 Καταχωρητές ολίσθησης γραμμικής ανατροφοδότησης (LFSR) Ένας (LFSR Linear Feedback Shift Register) αποτελείται από Ν καταχωρητές συνδεδεμένους σε σειρά Η είσοδος έρχεται από μια πύλη XOR συγκεκριμένων ψηφίων Αυτός ο LFSR είναι ένας μέγιστου μήκους καταχωρητή ολίσθησης η έξοδός του διέρχεται διαδοχικά από όλους τους 2n-1 συνδυασμούς Οι είσοδοι της πύλης XOR ονομάζονται ακολουθία λήψεων και συχνά προσδιορίζονται από ένα χαρακτηριστικό πολυώνυμο χαρακτηριστικό πολυώνυμο 1+x 2 +x 3 (οι είσοδοι από το 2 ο και 3 ο καταχωρητή) VLSI ΙI Καταχωρητές ολίσθησης γραμμικής ανατροφοδότησης Η έξοδος Υ προκύπτει από την ακολουθία 7 bit [ ] παράδειγμα μιας ψευδοτυχαίας ακολουθίας Οι LFSRs χρησιμοποιούνται ως: μετρητές υψηλής ταχύτητας γεννήτριες ψευδοτυχαίων αριθμών Οι ψευδοτυχαίες ακολουθίες είναι βολικές για ενσωματωμένη αυτοδοκιμή και έλεγχο του ρυθμού μετάδοσης λαθών σε τηλεπικοινωνιακές συνδέσεις VLSI ΙI

93 Περίγραμμα Διάλεξης Πρόσθεση / Αφαίρεση Ανιχνευτές 1/0 Συγκριτές Μετρητές Κωδικοποίηση Ολισθητές Πολλαπλασιασμός VLSI ΙI Ολισθητές (Shifters) Λογική ολίσθηση (Logical Shift): Shifts number left or right and fills with 0 s 1011 LSR 1 = LSL1 = 0110 Αριθμητική Ολίσθηση (Arithmetic Shift): Shifts number left or right. Rt shift sign extends 1011 ASR1 = ASL1 = 0110 Περιστροφή (Rotate): Shifts number left or right and fills with lost bits 1011 ROR1 = ROL1 = 0111 VLSI ΙI

94 Ολισθητής Χοάνης (Funnel Shifter) Δημιουργεί μία λέξη 2Ν-1 bits και επιλέγει ένα υπό-πεδίο Υ των Ν bits Ο πίνακας δείχνει τη χρήση των εισόδων για ολίσθηση μιας λέξης Α των N bit κατά k bit. Για τις αριστερές περιστροφές, η παραγωγή της απόκλισης απαιτεί μια αφαίρεση σε 2 s complement Αν το Ν είναι δύναμη του 2, λαμβάνεται μια είσοδος Ζ των (2Ν-1) bits & παίρνοντας απλά το συμπλήρωμα ως προς 1 του μεγέθους ολίσθησης k για τις αριστερές ολισθήσεις Μπορεί να υποστηρίξει όλους τους τύπους ολισθήσεων και περιστροφών VLSI ΙI Array Funnel Shifter N N-input multiplexers Use 1-of-N hot select signals for shift amount nmos pass transistor design (V t drops!) k[1:0] left Inverters & Decoder s 3 s 2 s 1 s 0 Y 3 Y 2 Z 6 Y 1 Z 5 Y 0 Z 4 Z 3 Z 2 Z 1 Z 0 VLSI ΙI

95 Logarithmic Funnel Shifter Η προηγούμενη διάταξη λειτουργεί καλά για μεσαίου μεγέθους ολισθητές αλλά έχει υψηλή παρασιτική χωρητικότητα σε μεγαλύτερους ολισθητές Λογαριθμικός ολισθητής χοάνης Βασίζεται σε πολλαπλά επίπεδα μικρότερων πολυπλεκτών το πρώτο επίπεδο ολισθαίνει κατά Ν/2, το δεύτερο κατάν/4 κοκ, μέχρι το τελευταίο επίπεδο να ολισθήσει κατά 1 δε χρειάζεται κωδικοποιητής Οι πύλες ΧΟR στις εισόδους ελέγχου αναστρέφουν υπό συνθήκη το μέγεθος που υφίσταται ολίσθηση, όταν πρόκειται για αριστερές ολισθήσεις VLSI ΙI bit Logarithmic Funnel Shifter VLSI ΙI

96 Γεννήτρια λέξεων VLSI ΙI bit Logarithmic Funnel Μεγάλοι πολυπλέκτες για μείωση καθυστέρησης και κατανάλωσης Λέξεις με εύρος > 32 bits εισάγουν ανομοιογένειες VLSI ΙI

97 Περιστροφικός Ολισθητής Barrel Shifter Εκτελεί δεξιές περιστροφές Χειρίζεται τις αριστερές περιστροφές χρησιμοποιώντας το συμπληρωματικό του ποσού ολίσθησης Οι ολισθήσεις γίνονται με περιστροφές όταν έχουν το κατάλληλο κύκλωμα για masking Υλοποιούνται σε δομή πίνακα αλλά και λογαριθμική Η λογαριθμική είναι κατάλληλη για μεγάλες ολισθήσεις VLSI ΙI Λογαριθμικός Barrel Shifter Right shift only Right/Left shift Right/Left Shift & Rotate VLSI ΙI

98 Κύκλωμα μάσκας Barrel Shifter VLSI ΙI Datapath never wider than 32 bits 32-bit Logarithmic Barrel First stage preshifts by 1 to handle left shifts VLSI ΙI

99 Περίγραμμα Διάλεξης Πρόσθεση / Αφαίρεση Ανιχνευτές 1/0 Συγκριτές Μετρητές Κωδικοποίηση Ολισθητές Πολλαπλασιασμός VLSI ΙI Πολλαπλασιασμός (1/2) Ο πολλαπλασιασμός είναι μια λιγότερο συνηθισμένη πράξη απ ότι η πρόσθεση είναι όμως βασική για μρ, ψηφιακούς επεξεργαστές σήματος και γραφικά Η βασικότερη μορφή αφορά στο σχηματισμό του γινομένου δύο μη προσημασμένων (θετικών) δυαδικών αριθμών μπορεί να γίνει με την παραδοσιακή τεχνική στη βάση του 2 παράδειγμα, ο πολ/σμός δύο θετικών αριθμών των 4 bit VLSI ΙI

100 Πολλαπλασιασμός (2/2) Ο πολλαπλασιασμός Μ N bit μπορεί να θεωρηθεί ως: Σχηματισμός Ν μερικών γινομένων Μ bits το καθένα Κατάλληλη ολίσθηση και μερικών γινόμενων Παραγωγή αποτελέσματος Ρ Μ+Ν bits Ο δυαδικός πολλαπλασιασμός ισοδυναμεί με τη λογική πράξη AND τα μερικά γινόμενα είναι το AND των ψηφίων του πολ/στή και του πολ/στέου Κάθε στήλη μερικών γινομένων πρέπει να προστεθεί και κάθε κρατούμενο περνά στην επόμενη στήλη Ορίζουμε τον πολλαπλασιαστέο ως Υ = (ym-1, ym-2,., y1, y0) και τον πολλαπλασιαστή ως Χ = (xn-1, xn-2,, x1, x0) Για πολλαπλασιασμό μη προσημασμένων αριθμών ισχύει: P y x x y M 1 N 1 N 1 M 1 j i i j j2 i2 i j2 j0 i0 i0 j0 VLSI ΙI Πολλαπλασιασμός Γενική μορφή Multiplicand: Y = (y M-1, y M-2,, y 1, y 0 ) Multiplier: X = (x N-1, x N-2,, x 1, x 0 ) Product: P y x x y M 1 N 1 N 1 M 1 j i i j j2 i2 i j2 j0 i0 i0 j0 y 5 y 4 y 3 y 2 y 1 y 0 x 5 x 4 x 3 x 2 x 1 x 0 multiplicand multiplier x 0 y 5 x 0 y 4 x 0 y 3 x 0 y 2 x 0 y 1 x 0 y 0 x 1 y 5 x 1 y 4 x 1 y 3 x 1 y 2 x 1 y 1 x 1 y 0 x 2 y 5 x 2 y 4 x 2 y 3 x 2 y 2 x 2 y 1 x 2 y 0 x 3 y 5 x 3 y 4 x 3 y 3 x 3 y 2 x 3 y 1 x 3 y 0 partial products p 11 p0 x 4 y 5 x 4 y 4 x 4 y 3 x 4 y 2 x 4 y 1 x 4 y 0 p 10 p 9 p 8 p 7 p 6 p 5 p 4 p 3 p 2 p 1 x 5 y 5 x 5 y 4 x 5 y 3 x 5 y 2 x 5 y 1 x 5 y 0 product VLSI ΙI

101 multiplier x Διάγραμμα κουκίδων (Dot Diagram) x 0 partial products x 15 Πολ/σμοί μεγάλων αριθμών απεικονίζονται ευκολότερα με τα διαγράμματα κουκίδων Κάθε κουκίδα αντιπροσωπεύει μια θέση για ένα ψηφίο που μπορεί να είναι 0 ή 1 Τα μερικά γινόμενα αναπαριστώνται από ένα οριζόντιο κουτί γραμμής κουκίδων, ολισθημένο σύμφωνα με το βάρος τους Τα δυαδικά ψηφία του πολ/στή που χρησιμοποιούνται για την παραγωγή των μερικών γινομένων φαίνονται στα δεξιά VLSI ΙI Γενικές αρχές υλοποίησης πολ/σμού (1/2) Πλήθος τεχνικών για την εκτέλεση του πολλαπλασιασμού Η επιλογή βασίζεται πάνω σε μετρικές σχεδιασμού η καθυστέρηση, ο ρυθμός λειτουργίας (throughput), επιφάνεια και πολυπλοκότητα Η προφανής λύση είναι η χρήση αθροιστή διάδοσης κρατουμένου (CPA) Μ+1 bits σε δομή αλυσίδας Χρειάζεται N-1 CPAs και είναι αργή, ακόμα κι αν χρησιμοποιηθεί ένας γρήγορος CPA Αποδοτικότερες δομές με χρήση ορισμένου τύπου πίνακες ή δένδρα αθροιστών για την πρόσθεση των μερικών γινομένων VLSI ΙI

102 Γενικές αρχές υλοποίησης πολ/σμού (2/2) Κλασσική δομή πίνακα για μη προσημασμένους αριθμούς Τροποποίηση πίνακα για προσημασμένους αριθμούς σε συμπλήρωμα ως προς 2 αλγόριθμος Baugh-Wooley Κωδικοποίησης Booth για μείωση πλήθους μερικών γινομένων Δένδρα Wallace για μείωση λογικών επιπέδων πρόσθεσης Τα δένδρα Wallace οδηγούν σε πολύπλοκα layouts και έχουν μεγάλου μήκους, μη κανονικές διασυνδέσεις Υβριδικές δομές πινάκων / δένδρων VLSI ΙI Πολ/στής τύπου πίνακα (Array Multiplier) (1/3) y3 y2 y1 y0 x0 x1 CSA Array x2 x3 CPA p7 p6 p5 p4 p3 p2 p1 p0 A B Sin A Cin critical path A B A B B = Cout Sin Cin Cout Cin = Cout Sout Cin Cout Sout Sout Sout Χρήση CSAs για την πρόσθεση των μερικών γινομένων Kάθε κύτταρο περιέχει μια πύλη AND δύο εισόδων σχηματίζει ένα μερικό γινόμενο, και έναν αθροιστή CSA πρόσθεση μερικού γινόμενου στο τρέχον άθροισμα VLSI ΙI

103 Πολ/στής τύπου πίνακα (Array Multiplier) (2/3) y3 y2 y1 y0 x0 x1 CSA Array x2 x3 CPA p7 p6 p5 p4 p3 p2 p1 p0 Sin A Cin A B critical path A B A B B Cout Sout Sin = Cout Cin Sout Cout Sout Cin = Cout Cin Sout Κάθε γραμμή χρησιμοποιεί CSAs για πρόσθεση μερικού γινομένου στο αποτέλεσμα της προηγούμενης και παραγωγή ένα τρέχοντος αθροίσματος-κρατουμένου Τα Ν LSBs είναι διαθέσιμα ως έξοδοι αθροίσματος κατευθείαν από τους CSA Tα MSBs παράγονται σε πλεονάζουσα μορφή αθροίσματος-κρατουμένου χρήση Μ-bit CPA για μετατροπή σε κανονική δυαδική μορφή VLSI ΙI Πολ/στής τύπου πίνακα (Array Multiplier) (3/3) y 3 y 2 y 1 y 0 x 0 x 1 CSA Array x 2 x 3 CPA p 7 p 6 p 5 p 4 p 3 p 2 p 1 p 0 B Cout Sin Sout A Cin = Cout A B Sout Sin Cin critical path Cout Υποθέτοντας ότι σε έναν CSA η έξοδος κρατουμένου είναι γρηγορότερη από αυτή του αθροίσματος, το κρίσιμο μονοπάτι σημειώνεται με τη διακεκομμένη γραμμή A Sout B Cin = Cout A Sout B Cin Μπορεί εύκολα να εφαρμοστεί pipeline καταχωρητές ανάμεσα στις γραμμές Χρήση ενός μόνο τύπο κυττάρου => εύκολη σχεδίαση & παραγωγή layout VLSI ΙI

104 Rectangular Array y 3 y 2 y 1 y 0 x 0 x 1 p 0 x 2 p 1 x 3 p 2 p 3 p 7 p 6 p 5 p 4 Ίδιοι αθροιστές μετατοπισμένοι για να ταιριάζουν σε ένα ορθογώνιο σχήμα VLSI ΙI Πολ/σμός σε συμπλήρωμα ως προς 2 (1/4) Στον πολ/σμό σε συμπλήρωμα ως προς 2 κάποια μερικά γινόμενα είναι αρνητικά και πρέπει να αφαιρεθούν Το πιο MSB ενός αριθμού σε συμπλήρωμα ως προς 2 έχει αρνητική αξία Δύο από τα μερικά γινόμενα έχουν αρνητικό βάρος => πρέπει να αφαιρεθούν και όχι να προστεθούν Ο αλγόριθμος Baugh-Wooley χειρίζεται την αφαίρεση λαμβάνοντας το συμπλήρωμα ως προς 2 των όρων που πρόκειται να αφαιρεθούν Αναστρέφοντας τα δυαδικά ψηφία και προσθέτοντας το 1 VLSI ΙI

105 Πολ/σμός σε συμπλήρωμα ως προς 2 (2/4) Το επάνω παραλληλόγραμμο αντιστοιχεί στο μη προσημασμένο πολλαπλασιασμό των δυαδικών ψηφίων, εκτός από τα MSBs Η επόμενη γραμμή έχει ένα μόνο ψηφίο, που αντιστοιχεί στο γινόμενο MSBs Οι δύο επόμενες γραμμές είναι οι ανεστραμμένοι όροι που πρόκειται να αφαιρεθούν Κάθε όρος έχει μηδενικά που βρίσκονται στην αρχή και στο τέλος του που με την αναστροφή γίνονται μονάδες Μια επιπλέον μονάδα προστίθεται LSB για λήψη συμπληρώματος ως προς 2 VLSI ΙI Πολ/σμός σε συμπλήρωμα ως προς 2 (3/4) Η καθυστέρηση εξαρτάται από τον αριθμό των γραμμών των μερικών γινομένων που θα προστεθούν Ο τροποποιημένος πολλαπλασιαστής Baugh-Wooley μειώνει τον αριθμό των μερικών γινομένων προ-υπολογίζοντας τα αθροίσματα των σταθερών 1 και μεταθέτοντας κάποιους προς τα πάνω σε επιπλέον στήλες VLSI ΙI

106 Πολ/σμός σε συμπλήρωμα ως προς 2 (4/4) VLSI ΙI Κωδικοποίηση Booth Με τον κλασσικό αλγόριθμο κάθε ψηφίο του πολ/στή παράγει ένα μερικό γινόμενο που πρέπει να προστεθεί => μεγάλο πλήθος προσθέσεων μερικών γινομένων (για μεγάλους ποσ/στές) αύξηση της καθυστέρησης Ο αλγόριθμος του Βooth κωδικοποιεί τον πολ/στή ώστε να δημιουργήσει πολλές και μεγάλου μήκους ακολουθίες από Τα παραγόμενα μερικά γινόμενα έχουν μηδενική τιμή (είναι ) Σημαντική μείωση των προσθέσεων & των χρησιμοποιούμενων αθροιστών VLSI ΙI

107 Έστω μια δυαδική ακολουθία Κωδικοποίηση Booth Βασική ιδέα Θέση i+k i+k-1 i+k-2... i+1 i i Τιμή Με βάση τη σχέση 2 ik i 2 2 ik1 2 ik VLSI ΙI i1 i 2 Θέση i+k i+k-1 i+k-2... i+1 i i Τιμή k συνεχόμενοι 1 Αφαίρεση k συνεχόμενα 0 Πρόσθεση Απαιτούνται κατάλληλες ολισθήσεις και 1 πρόσθεση (+Α) και 1 αφαίρεση (-Α) αντί k προσθέσεις (Α+Α+...+Α) του πολ/στέου Α Η διαδικασία είναι πιο κατανοητή αν 2 dummy bits b n =b -1 =0 εισαχθούν στο B=b n-1, b n-2,, b 1, b 0 Πίνακας κωδικοποίησης Booth (1/2) Multiplier Bit i Bit i+1 Λειτουργία x multiplicand (0xA) x multiplicand (+1xA) x multiplicand (-1xA) x multiplicand (0xA) Χρησιμοποιεί μόνο τους όρους 0, +Α, -Α και κατάλληλες ολισθήσεις Με βάση τον παραπάνω πίνακα ο αριθμός (+30) κωδικοποιείται σε (32-2=30) VLSI ΙI

108 Πίνακας κωδικοποίησης Booth (2/2) Worst case Κωδικοποίηση Best case Κωδικοποίηση VLSI ΙI Πολλαπλασιασμός Booth Παράδειγμα s complement Συμβατικός Booth VLSI ΙI

109 Κωδικοποίηση Booth Radix 4 (1/3) Η προηγούμενη κωδικοποίηση επιταχύνει τον πολλαπλασιασμό υπερπηδώντας ακολουθίες από συνεχόμενους 1..1 Η διαδικασία μπορεί να επιταχυνθεί ακόμη περισσότερο συνδυάζοντας 3-αδες ψηφίων του πολ/στή Στην ουσία εξετάζει ένα ζεύγος ψηφίων λαμβάνοντας υπόψη το αμέσως προηγούμενο ψηφίο δεξιά Οδηγεί στην παραγωγή το πολύ n/2 μερικών γινομένων για έναν n-bit πολ/στή Όπως και η προηγούμενη κωδικοποίηση ισχύει για προσημασμένους και μη προσημασμένους αριθμούς VLSI ΙI Πίνακας κωδικοποίησης Booth radix 4 (2/3) Ζεύγος ψηφίων πολ/στή Ψηφίο δεξιά Λειτουργία Εξήγηση i+1 i i xA No string xA End of string xA Single 1 (+2-1) xA End of string xA Beginning of string xA End/ beginning of string xA Beginning of string xA String of 1s VLSI ΙI

110 Κωδικοποίηση Radix-4 (3/3) Ζεύγος ψηφίων πολ/στή Λειτο υργία Εξήγηση i+1 i i xA No string xA xA xA xA xA xA xA End of string Single 1 (+2-1) End of string Beginning of string End/ beginning of string Beginning of string String of 1s Dummy bits xA, LSB in column 2 6 2xA, LSB in column 2 4 0xA, LSB in column 2 2-2xA, LSB in column 2 0 VLSI ΙI Πολλαπλασιασμός Booth Παράδειγμα Συμβατικός Booth Radix s complement xA -1xA 1xA Booth Radix4 VLSI ΙI

111 Κυκλώματα Κωδικοποίησης & Επιλογής Booth Το κύκλωμα κωδικοποίησης παράγει τα σήματα (single, double, neg) Το κύκλωμα επιλογής δέχεται τα σήματα (single, double, neg) και τον πολ/στεο Υ επεκταμένο ως προς το μηδέν σε Ν+1 bits έξοδος τιμές 0, Υ, 2Υ Aν το μερικό γινόμενο είναι αρνητικό ( neg=1) χρησιμοποιείται το 2 s complement VLSI ΙI Επέκταση προσήμου 16-bit πίνακας μερικών γινομένων Βοοth τάξης-4 για πολ/στή μη προσημασμένων αριθμών Ακόμα και σε μη προσημασμένους αριθμούς τα αρνητικά μερικά γινόμενα πρέπει να επεκταθούν ως προς το πρόσημο για να προστεθούν σωστά Κάθε μερικό γινόμενο επεκτείνεται ως προς το πρόσημο με βάση το σήμα neg i Ένας 1 προστίθεται στο LSB στην επόμενη γραμμή (το συμπλήρωμα ως προς 2) Μεγάλες απαιτήσεις fanout για τα MSBs VLSI ΙI

112 Απλοποιημένη επέκταση προσήμου (1/2) Τα Sign bits είναι είτε όλα 0 s είτε όλα 1 s Όμως το όλα 0 s είναι ισοδύναμο με το όλα 1 s + 1 στην κατάλληλη στήλη Η ιδέα αυτή χρησιμοποιείται για να ελαττώσει το φορτίο του MSB s s s s s s s s 1 s s s s s s s s PP 0 PP 1 PP 2 PP 3 PP 4 PP 5 PP 6 PP 7 PP 8 VLSI ΙI Απλοποιημένη επέκταση προσήμου (2/2) Δε χρειάζεται να γίνονται όλες οι προσθέσεις των 1 s in hardware Προϋπολογισμός έξω από τον πίνακα s 1 s 1 s 1 s 1 s 1 s 1 s s s s s s s s s s s s PP 0 PP 1 PP 2 PP 3 PP 4 PP 5 PP 6 PP 7 PP 8 VLSI ΙI

113 Τροποποιημένος πίνακας για αρνητικούς αριθμούς Τα ψηφία προσήμου πρέπει να επεκταθούν κατάλληλα Στη 1 η γραμμη έχουμε 11 αντί 6 ψηφία κοκ Αυξάνει την πολυπλοκότητα των multi-operand adder Αν χρησιμοποιηθεί 1 s complement και πρόσθεση 1 στο LSB => ακόμη μεγαλύτερη αύξηση των στηλών και πολυπλοκότητα των multi-operand adder VLSI ΙI Μείωση πολυπλοκότητας Two's complement αριθμός ssssss z4z3z z1z0 με τιμή Αντικαθίσταται από (-s) z4z3z2z1z0 αφού VLSI ΙI

114 Νέος πίνακας ψηφίων Για την παραγωγή του -s στη στήλη 5, συμπλήρωμα του αρχικού s σε (1- s) και πρόσθεση 1 Κρατούμενο 1 στη στήλη 6 λειτουργεί ως το επιπλέον 1 που χρειάζεται για ψηφίο προσήμου στο δεύτερο μερικό γινόμενο κ.ο.κ. Ο νέος πίνακας έχει λιγότερα ψηφία αλλά έχει στήλες με μεγαλύτερο ύψος (7 αντί 6) VLSI ΙI Εξάλειψη του επιπλέον 1 στη στήλη 5 Τοποθέτηση των δύο sign bits s1, s2 στην ίδια στήλη (1-s1)+(1-s2) = 2 -s1 -s2 Το 2 είναι το carry out για την επόμενη στήλη Επιτυγχάνεται επεκτείνοντας αρχικά το sign bit s1 Το μέγιστο ύψος της στήλης είναι πάλι 6 αντί 7 VLSI ΙI

115 Χρήση One s Complement & Carry Αν το αρνητικό μερικό γινόμενο προκύπτει από 1 s complement +1 τα επιπλέον carries εισέρχονται όπως φαίνεται στον πίνακα Οι κύκλοι δηλώνουν ότι τα συμπληρώματα των αντίστοιχων ψηφίων λαμβάνονται όταν si=1 Το επιπλέον s6 στη στήλη 5 αυξάνει το ύψος σε 7 Αν το τελευταίο μερικό γινόμενο είναι θετικό (ο πλο/στής είναι θετικός) s6 μπορεί να απαληφθεί VLSI ΙI Παράδειγμα Recoded multiplier using canonical recoding VLSI ΙI

116 Μικρότερος πίνακας του παραδείγματος VLSI ΙI Χρήση One s Complement και Carry VLSI ΙI

117 Χρήση Modified Radix-4 Booth Algorithm VLSI ΙI Παράδειγμα 2: Με radix-4 modified Booth's Same recoded multiplier VLSI ΙI

118 Πρόσθεση μερικών γινομένων Τα μερικά γινόμενα πρέπει να προστεθούν για την παραγωγή του τελικού αποτελέσματος Χρήση αθροιστών πολλαπλών ορισμάτων Fast multi-operand adder Η δομή των μερικών γινομένων πρέπει να ληφθεί υπόψη ώστε να ελαττωθεί η πολυπλοκότητα Μερικά μερικά γινόμενα έχουν μικρότερο πλήθος ψηφίων από το μέγιστο πρέπει να ευθυγραμμιστούν κατάλληλά απαιτούν λιγότερους και απλούστερους αθροιστές / μετρητές VLSI ΙI Παράδειγμα - 6 Partial Products Παράγονται όταν πολ/νται μη προσημασμένοι 6-bit αριθμοί 6 operands μπορούν να προστεθούν χρησιμοποιώντας 3 επίπεδα CSAs (Wallace tree) Το πλήθος των (3,2) μετρητών μπορεί να μειωθεί δραστικά εκμεταλλευόμενοι το γεγονός ότι μόνο μια στήλη έχει 6 ψηφία Επανασχεδίαση του διαγράμματος κουκίδων για την επιλογή των (3,2) μετρητών VLSI ΙI

119 Μείωση πολυπλοκότητας - Χρήση (2,2) Counters (HAs) Ο αριθμός των επιπέδων παραμένει 3 αλλά λιγότεροι counters VLSI ΙI Επιπλέον μείωση του πλήθους των μετρητών Reduce # of bits to closest element of 3,4,6,9,13,19, 15 (3,2) and 5 (2,2) vs. 16 (3,2) and 9 (2,2) counters VLSI ΙI

120 Reduction by Rows Adders Trees VLSI ΙI Reduction by Rows Adders Trees VLSI ΙI

121 Reduction by columns using (p, q] counters VLSI ΙI Reduction by columns using (p, q] counters VLSI ΙI

122 Υπολογισμοί παράλληλου προθέματος (1/2) Πολλές λειτουργίες χειριστών δεδομένων περιλαμβάνουν υπολογισμό μιας ομάδας εξόδων από μια ομάδα εισόδων κάθε ψηφίο εξόδου εξαρτάται από όλα τα προηγούμενα ψηφία εισόδου Η πρόσθεση δύο εισόδων Ν bit είναι ένα κλασικό παράδειγμα Κάθε έξοδος Υi εξαρτάται από ένα κρατούμενο εισόδου c i-1 από το προηγούμενο δυαδικό ψηφίο, το οποίο με τη σειρά του εξαρτάται από ένα κρατούμενο εισόδου c i-2 από το δυαδικό ψηφίο που προηγείται αυτού κοκ Με μονάδες πρόβλεψης κρατουμένου αυξανόμενου μεγέθους μπορούμε να κατασκευάσουμε αθροιστές που έχουν logn επίπεδα VLSI ΙI Υπολογισμοί παράλληλου προθέματος (2/2) Η πρόσθεση είναι ένας προθεματικός υπολογισμός που περιλαμβάνει Έναν προ-υπολογισμό σε επίπεδο bit, Ένα δένδρο λογικής ομάδας για το σχηματισμό των προθεμάτων και Ένα τελικό επίπεδο εξόδου, το οποίο Επέκταση τεχνικής σε άλλους προθεματικούς υπολογισμούς με σχετιζόμενες λογικές λειτουργίες ομάδας VLSI ΙI

123 Κωδικοποιητής προτεραιότητας (1/4) Η συνήθης εφαρμογή είναι να διαιτητεύει ανάμεσα σε Ν μονάδες που ζητούν πρόσβαση σε ένα κοινό πόρο Κάθε μονάδα i στέλνει ένα δυαδικό ψηφίο A i (δηλώνει αίτηση) και λαμβάνει ένα δυαδικό ψηφίο Υ i, (δηλώνει του δόθηκε πρόσβαση) Σε πολλαπλή αίτηση ακολουθείται σειρά προτεραιότητας Αν το λιγότερο σημαντικό δυαδικό ψηφίο της εισόδου αντιστοιχεί στην υψηλότερη προτεραιότητα, η λογική μπορεί να εκφρασθεί ως εξής VLSI ΙI Κωδικοποιητής προτεραιότητας (2/4) Μπορούμε να εκφράσουμε την κωδικοποίηση προτεραιότητας ως μια λειτουργία προθέματος, καθορίζοντας ένα πρόθεμα Χi:j που δηλώνει ότι καμία από τις εισόδους Αi Aj δεν έχουν τεθε. Η η κωδικοποίηση προτεραιότητας καθορίζεται με προ-υπολογισμούς σε επίπεδο ψηφίου, λογικής ομάδας και λογικής εξόδου με i k j : VLSI ΙI

124 Κωδικοποιητής προτεραιότητας (3/4) Οποιοδήποτε από τα δίκτυα ομάδας (π.χ, κύματος, παράκαμψης, πρόβλεψης, επιλογής, αύξησης, δένδρου) της πρόσθεσης μπορεί να χρησιμοποιηθεί για την υλοποίηση της λογικής ομάδας υπολογισμού του προθέματος Χi:0 Οι κωδικ. μικρού μήκους κωδικοποιητές χρησιμοποιούν δομή κύματος, οι μεσαίου μήκους μπορούν να χρησιμοποιούν μια δομή παράκαμψης, πρόβλεψης, επιλογής ή αύξησης Οι μεγάλου μήκους κωδικοποιητές χρησιμοποιούν δένδρα για να πετυχαίνουν καθυστέρηση logn VLSI ΙI Κωδικοποιητής προτεραιότητας (4/4) VLSI ΙI

Υποσυστήματα Χειρισμού Δεδομένων

Υποσυστήματα Χειρισμού Δεδομένων Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II VLSI ΙI 1 Υποσυστήματα Χειρισμού Δεδομένων VLSI ΙI 2 1 Περίγραμμα Διάλεξης Πρόσθεση / Αφαίρεση Ανιχνευτές 1/0 Συγκριτές Μετρητές Κωδικοποίηση Ολισθητές Πολλαπλασιασμός

Διαβάστε περισσότερα

Ψηφιακά Συστήματα VLSI

Ψηφιακά Συστήματα VLSI Ψηφιακά Συστήματα VLSI. ΑΡΙΘΜΗΤΙΚΑ ΚΥΚΛΩΜΑΤΑ VLSI Αθροιστές, Πολλαπλασιαστές (Σειριακοί- Παράλληλοι). ΠΡΑΞΕΙΣ ΜΕ ΠΡΟΣΗΜΑΣΜΕΝΟΥΣ ΑΡΙΘΜΟΥΣ Συμπλήρωμα ως προς, Αφαιρέτες, Booth, Modified Booth, αριθμητικά

Διαβάστε περισσότερα

7 η διάλεξη Ακολουθιακά Κυκλώματα

7 η διάλεξη Ακολουθιακά Κυκλώματα 7 η διάλεξη Ακολουθιακά Κυκλώματα 1 2 3 4 5 Παραπάνω παρουσιάζεται ο πιο συνήθης χωροθέτηση αριθμητικών, λογικών κυκλωμάτων. Η μονάδα επεξεργασίας είναι η λέξη (λ.χ. 32-bit σε επεξεργαστές, 8-bit σε DSP)

Διαβάστε περισσότερα

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

Ψηφιακή Λογική και Σχεδίαση

Ψηφιακή Λογική και Σχεδίαση Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 26-7 Ψηφιακή Λογική και Σχεδίαση (σχεδίαση συνδυαστικών κυκλωμάτων) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Το τρανζίστορ

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ : Κ. ΠΕΚΜΕΣΤΖΗ

ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ : Κ. ΠΕΚΜΕΣΤΖΗ ΠΡΑΞΕΙΣ ΜΕ ΠΡΟΣΗΜΑΣΜΕΝΟΥΣ ΑΡΙΘΜΟΥΣ ΚΥΚΛΩΜΑΤΙΚΕΣ ΕΦΑΡΜΟΓΕΣ ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ & ΠΑΡΑΣΤΑΣΗ ΑΡΙΘΜΩΝ Συμπλήρωμα ως προς 2 Booth, Modified Booth Reduntant αριθμητικά συστήματα Signed Digit αριθμητική Κανονική

Διαβάστε περισσότερα

i Το τρανζίστορ αυτό είναι τύπου NMOS. Υπάρχει και το συμπληρωματικό PMOS. ; Τι συμβαίνει στο τρανζίστορ PMOS; Το τρανζίστορ MOS(FET)

i Το τρανζίστορ αυτό είναι τύπου NMOS. Υπάρχει και το συμπληρωματικό PMOS. ; Τι συμβαίνει στο τρανζίστορ PMOS; Το τρανζίστορ MOS(FET) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 25-6 Το τρανζίστορ MOS(FET) πύλη (gate) Ψηφιακή και Σχεδίαση πηγή (source) καταβόθρα (drai) (σχεδίαση συνδυαστικών κυκλωμάτων) http://di.ioio.gr/~mistral/tp/comparch/

Διαβάστε περισσότερα

Πράξεις με δυαδικούς αριθμούς

Πράξεις με δυαδικούς αριθμούς Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 25-6 Πράξεις με δυαδικούς αριθμούς (αριθμητικές πράξεις) http://di.ionio.gr/~mistral/tp/csintro/ Μ.Στεφανιδάκης Πράξεις με δυαδικούς

Διαβάστε περισσότερα

Ενότητα 9 ΑΡΙΘΜΗΤΙΚΑ & ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ

Ενότητα 9 ΑΡΙΘΜΗΤΙΚΑ & ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ Ενότητα 9 ΑΡΙΘΜΗΤΙΚΑ & ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ Γενικές Γραμμές Προσημασμένοι Ακέραιοι Δυαδικοί Αριθμοί Ημιαθροιστής - Ημιαφαιρέτης Πλήρης Αθροιστής - Πλήρης Αφαιρέτης Αθροιστής Διάδοσης Κρατούμενου Επαναληπτικές

Διαβάστε περισσότερα

HY430 Εργαστήριο Ψηφιακών Κυκλωμάτων.

HY430 Εργαστήριο Ψηφιακών Κυκλωμάτων. HY430 Εργαστήριο Ψηφιακών Κυκλωμάτων Διδάσκων: Χ. Σωτηρίου, Βοηθός: (θα ανακοινωθεί) http://inf-server.inf.uth.gr/courses/ce430/ 1 Περιεχόμενα Κυκλώματα Πρόσθεσης Half-adder Full-Adder Σειριακό Κρατούμενο

Διαβάστε περισσότερα

! Εάν ο αριθμός διαθέτει περισσότερα bits, χρησιμοποιούμε μεγαλύτερες δυνάμεις του 2. ! Προσοχή στη θέση του περισσότερο σημαντικού bit!

! Εάν ο αριθμός διαθέτει περισσότερα bits, χρησιμοποιούμε μεγαλύτερες δυνάμεις του 2. ! Προσοχή στη θέση του περισσότερο σημαντικού bit! Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 25-6 Πράξεις με δυαδικούς αριθμούς (αριθμητικές ) http://di.ionio.gr/~mistral/tp/csintro/ Αριθμοί Πράξεις με δυαδικούς αριθμούς

Διαβάστε περισσότερα

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 28 Αριθμητικές Συναρτήσεις και Κυκλώματα Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Πρόσθεση

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων

Ψηφιακά Συστήματα. 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων Ψηφιακά Συστήματα 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd

Διαβάστε περισσότερα

EE434 ASIC & Digital Systems Arithmetic Circuits

EE434 ASIC & Digital Systems Arithmetic Circuits EE434 ASIC & Digital Systems Arithmetic Circuits Spring 25 Dae Hyun Kim daehyun@eecs.wsu.edu Arithmetic Circuits What we will learn Adders Basic High-speed 2 Adder -bit adder SSSSSS = AA BB CCCC CCCC =

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Άλλες Αριθμητικές Συναρτήσεις/Κυκλώματα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Άλλες Αριθμητικές Συναρτήσεις/Κυκλώματα ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Αριθμητικές Συναρτήσεις και Κυκλώματα Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Πρόσθεση υαδική Πρόσθεση

Διαβάστε περισσότερα

Πανεπιστήμιο Θεσσαλίας Τμήμα Μηχανικών Η/Υ, Τηλεπικοινωνιών και Δικτύων

Πανεπιστήμιο Θεσσαλίας Τμήμα Μηχανικών Η/Υ, Τηλεπικοινωνιών και Δικτύων Πανεπιστήμιο Θεσσαλίας Τμήμα Μηχανικών Η/Υ, Τηλεπικοινωνιών και Δικτύων Οργάνωση Η/Υ Ενότητα 3η: Αριθμητικές Πράξεις και Μονοπάτι Επεξεργασίας Δεδομένων Άσκηση 1: Δείξτε πώς μπορούμε να υλοποιήσουμε ένα

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ ΠΕΡΙΕΧΟΜΕΝΑ Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ 1.1 Εισαγωγή...11 1.2 Τα κύρια αριθμητικά Συστήματα...12 1.3 Μετατροπή αριθμών μεταξύ των αριθμητικών συστημάτων...13 1.3.1 Μετατροπή ακέραιων

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Συνδυαστική Λογική. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Συνδυαστική Λογική. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Συνδυαστική Λογική Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Ψηφιακά Κυκλώματα Τα ψηφιακά κυκλώματα διακρίνονται σε συνδυαστικά (combinational)

Διαβάστε περισσότερα

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες Πρώτο Κεφάλαιο Εισαγωγή στα Ψηφιακά Συστήματα 1.1 Αναλογικά και Ψηφιακά Σήματα και Συστήματα... 1 1.2 Βασικά Ψηφιακά Κυκλώματα... 3 1.3 Ολοκληρωμένα κυκλώματα... 4 1.4 Τυπωμένα κυκλώματα... 7 1.5 Εργαλεία

Διαβάστε περισσότερα

Ψηφιακά Κυκλώματα (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική

Ψηφιακά Κυκλώματα (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική Ψηφιακά Κυκλώματα ( ο μέρος) ΜΥΥ-6 Εισαγωγή στους Η/Υ και στην Πληροφορική Ψηφιακά κυκλώματα Οι δύο λογικές τιμές, αντιστοιχούν σε ηλεκτρικές τάσεις Υλοποιούνται με τρανζίστορ ή διόδους: ελεγχόμενοι διακόπτες

Διαβάστε περισσότερα

ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ. Κεφάλαιο 3

ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ. Κεφάλαιο 3 ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ Κεφάλαιο 3 Κεντρική Μονάδα Επεξεργασίας Κεντρική Μονάδα Επεξεργασίας Μονάδα επεξεργασίας δεδομένων Μονάδα ελέγχου Μονάδα επεξεργασίας δεδομένων Δομή Αριθμητικής Λογικής Μονάδας

Διαβάστε περισσότερα

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI. 1 ΗΥ330 - Διάλεξη 11η - Κυκλώματα Δεδομένων

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI.  1 ΗΥ330 - Διάλεξη 11η - Κυκλώματα Δεδομένων HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLI Διδάσκων: Χ. Σωτηρίου, Βοηθοί: θα ανακοινωθούν http://inf-server.inf.uth.gr/courses/ce330 1 Περιεχόμενα Δομικοί Λίθοι Ψηφιακών Κυκλωμάτων Κύκλωμα Πλήρους

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΣΥΝΔΥΑΣΤΙΚΗ ΛΟΓΙΚΗ 2017, Δρ. Ηρακλής Σπηλιώτης Συνδυαστικά και ακολουθιακά κυκλώματα Τα λογικά κυκλώματα χωρίζονται σε συνδυαστικά (combinatorial) και ακολουθιακά (sequential).

Διαβάστε περισσότερα

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία ΗΜΥ 00 Εισαγωγή στην Τεχνολογία Στέλιος Τιμοθέου ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΑ ΘΕΜΑΤΑ ΜΑΣ ΣΗΜΕΡΑ Δυαδική λογική Πύλες AND, OR, NOT, NAND,

Διαβάστε περισσότερα

Εισαγωγή στους Υπολογιστές

Εισαγωγή στους Υπολογιστές Εισαγωγή στους Υπολογιστές Ενότητα 10: Ψηφιακή Αριθμητική Βασίλης Παλιουράς Πολυτεχνική Σχολή Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σκοποί ενότητας Εισαγωγικές έννοιες ψηφιακής λογικής

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ & ΗΛΕΚΤΡΟΝΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ & μ-υπολογιστων ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ Θεωρητικό Μέρος Οι σειριακές λειτουργίες είναι πιο

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 9: Σχεδιασµός Συνδυαστικών Κυκλωµάτων ΙΙ (Κεφάλαιο 5) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 6 η :

Διαβάστε περισσότερα

Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 2013 Διάρκεια εξέτασης : 160 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών:

Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 2013 Διάρκεια εξέτασης : 160 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών: Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 23 Διάρκεια εξέτασης : 6 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών: Θέμα (,5 μονάδες) Στις εισόδους του ακόλουθου κυκλώματος c b a εφαρμόζονται οι κάτωθι κυματομορφές.

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ. ΜΑΘΗΜΑ 2 ο. ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ

ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ. ΜΑΘΗΜΑ 2 ο. ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ ΜΑΘΗΜΑ 2 ο ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ 2009-10 ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ 1 Άλγεβρα Βοοle η θεωρητική βάση των λογικών κυκλωμάτων Η άλγεβρα Βοοle ορίζεται επάνω στο σύνολο

Διαβάστε περισσότερα

ΠΛΗ10 Κεφάλαιο 2. ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών

ΠΛΗ10 Κεφάλαιο 2. ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: 2 2.3 : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών Στόχοι Μαθήματος: Να γνωρίσετε τις βασικές αρχές αριθμητικής των Η/Υ. Ποια είναι τα κυκλώματα

Διαβάστε περισσότερα

Τμήμα Χρηματοοικονομικής & Ελεγκτικής ΤΕΙ Ηπείρου Παράρτημα Πρέβεζας. Πληροφορική Ι. Μάθημα 4 ο Πράξεις με bits. Δρ.

Τμήμα Χρηματοοικονομικής & Ελεγκτικής ΤΕΙ Ηπείρου Παράρτημα Πρέβεζας. Πληροφορική Ι. Μάθημα 4 ο Πράξεις με bits. Δρ. Τμήμα Χρηματοοικονομικής & Ελεγκτικής ΤΕΙ Ηπείρου Παράρτημα Πρέβεζας Πληροφορική Ι Μάθημα 4 ο Πράξεις με bits Δρ. Γκόγκος Χρήστος Κατηγορίες πράξεων με bits Πράξεις με δυαδικά ψηφία Αριθμητικές πράξεις

Διαβάστε περισσότερα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II Επιμέλεια: Βασίλης Παλιουράς, Αναπληρωτής Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας 1 Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σημείωμα

Διαβάστε περισσότερα

Κεφάλαιο 8. Αριθμητική Λογική μονάδα

Κεφάλαιο 8. Αριθμητική Λογική μονάδα Κεφάλαιο 8 Αριθμητική Λογική μονάδα 8.1 Εισαγωγή Στη μηχανική υπολογιστών η αριθμητική/λογική μονάδα (ALU) είναι ένα ψηφιακό κύκλωμα το οποίο εκτελεί αριθμητικούς και λογικούς υπολογισμούς. Η ALU είναι

Διαβάστε περισσότερα

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A].

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A]. Κανονική μορφή συνάρτησης λογικής 5. Η κανονική μορφή μιας λογικής συνάρτησης (ΛΣ) ως άθροισμα ελαχιστόρων, από τον πίνακα αληθείας προκύπτει ως εξής: ) Παράγουμε ένα [A] όρων από την κάθε σειρά για την

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 5 η :

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΕΦΑΡΜΟΓΩΝ

ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΗΛΕΚΤΡΟΝΙΚΑ ΚΑΙ ΣΥΣΤΗΜΑΤΑ ΟΜΑ Α Α Αριθµητική Λογική Μονάδα των 8-bit 1. Εισαγωγή Γενικά µια αριθµητική λογική µονάδα (ALU, Arithmetic Logic Unit)

Διαβάστε περισσότερα

4.1 Θεωρητική εισαγωγή

4.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 4 ΥΑ ΙΚΟΣ ΑΘΡΟΙΣΤΗΣ-ΑΦΑΙΡΕΤΗΣ Σκοπός: Να µελετηθούν αριθµητικά κυκλώµατα δυαδικής πρόσθεσης και αφαίρεσης. Να σχεδιαστούν τα κυκλώµατα από τους πίνακες αληθείας

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ - VLSI Ενότητα: Συνδιαστικά κυκλώματα, βασικές στατικές λογικές πύλες, σύνθετες και δυναμικές πύλες Κυριάκης

Διαβάστε περισσότερα

Εισαγωγή στην επιστήμη των υπολογιστών. Πράξεις με μπιτ

Εισαγωγή στην επιστήμη των υπολογιστών. Πράξεις με μπιτ Εισαγωγή στην επιστήμη των υπολογιστών Πράξεις με μπιτ 1 Πράξεις με μπιτ 2 Αριθμητικές Πράξεις σε Ακέραιους Πρόσθεση, Αφαίρεση, Πολλαπλασιασμός, Διαίρεση 3 Πρόσθεση στη μορφή συμπληρώματος ως προς δύο

Διαβάστε περισσότερα

Γενικά Στοιχεία Ηλεκτρονικού Υπολογιστή

Γενικά Στοιχεία Ηλεκτρονικού Υπολογιστή Γενικά Στοιχεία Ηλεκτρονικού Υπολογιστή 1. Ηλεκτρονικός Υπολογιστής Ο Ηλεκτρονικός Υπολογιστής είναι μια συσκευή, μεγάλη ή μικρή, που επεξεργάζεται δεδομένα και εκτελεί την εργασία του σύμφωνα με τα παρακάτω

Διαβάστε περισσότερα

4/10/2008. Στατικές πύλες CMOS και πύλες με τρανζίστορ διέλευσης. Πραγματικά τρανζίστορ. Ψηφιακή λειτουργία. Κανόνες ψηφιακής λειτουργίας

4/10/2008. Στατικές πύλες CMOS και πύλες με τρανζίστορ διέλευσης. Πραγματικά τρανζίστορ. Ψηφιακή λειτουργία. Κανόνες ψηφιακής λειτουργίας 2 η διάλεξη 25 Σεπτεμβρίου Πραγματικά τρανζίστορ Στατικές πύλες CMOS και πύλες με τρανζίστορ διέλευσης Γιώργος Δημητρακόπουλος Τμήμα Επιστήμης Υπολογιστών Πανεπιστήμιο Κρήτης Η τάση στο gate του τρανζίστορ

Διαβάστε περισσότερα

Εργαστηριακή άσκηση. Θεωρητικός και πρακτικός υπολογισμός καθυστερήσεων σε αναστροφείς CMOS VLSI

Εργαστηριακή άσκηση. Θεωρητικός και πρακτικός υπολογισμός καθυστερήσεων σε αναστροφείς CMOS VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

Λάμπρος Μπισδούνης. ρ. Ηλεκτρολόγος Μηχανικός

Λάμπρος Μπισδούνης. ρ. Ηλεκτρολόγος Μηχανικός ΑΝΑΛΥΣΗ ΚΑΤΑΝΑΛΩΣΗΣ ΕΝΕΡΓΕΙΑΣ & ΚΑΘΥΣΤΕΡΗΣΗΣ ΚΥΚΛΩΜΑΤΩΝ CMOS & ΤΕΧΝΙΚΕΣ ΣΧΕΔΙΑΣΜΟΥ ΑΡΙΘΜΗΤΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ ΜΕ ΧΑΜΗΛΗ ΚΑΤΑΝΑΛΩΣΗ ΕΝΕΡΓΕΙΑΣ & ΥΨΗΛΗ ΤΑΧΥΤΗΤΑ Λάμπρος Μπισδούνης ρ. Ηλεκτρολόγος Μηχανικός Χανιά

Διαβάστε περισσότερα

Γ2.1 Στοιχεία Αρχιτεκτονικής. Γ Λυκείου Κατεύθυνσης

Γ2.1 Στοιχεία Αρχιτεκτονικής. Γ Λυκείου Κατεύθυνσης Γ2.1 Στοιχεία Αρχιτεκτονικής Γ Λυκείου Κατεύθυνσης Ορισμός άλγεβρας Boole Η άλγεβρα Boole ορίζεται, ως μία αλγεβρική δομή A, όπου: (α) Το Α είναι ένα σύνολο στοιχείων που περιέχει δύο τουλάχιστον στοιχεία

Διαβάστε περισσότερα

Ψηφιακή Λογική Σχεδίαση

Ψηφιακή Λογική Σχεδίαση Ψηφιακή Λογική Σχεδίαση Γ. Θεοδωρίδης Ψηφιακή Λογική Σχεδίαση Γ. Θεοδωρίδης 1 Κεφάλαιο 8 Σχεδίαση στο Επίπεδο Μεταφοράς Περιεχομένων Καταχωρητών Ψηφιακή Λογική Σχεδίαση Γ. Θεοδωρίδης 2 Περίγραμμα Κεφαλαίου

Διαβάστε περισσότερα

1 η Θεµατική Ενότητα : Αριθµητικά Κυκλώµατα. Επιµέλεια διαφανειών: Χρ. Καβουσιανός

1 η Θεµατική Ενότητα : Αριθµητικά Κυκλώµατα. Επιµέλεια διαφανειών: Χρ. Καβουσιανός η Θεµατική Ενότητα : Αριθµητικά Κυκλώµατα Επιµέλεια διαφανειών: Χρ. Καβουσιανός Άθροιση + + + + a +b 2c+s + Κρατούµενο προηγούµενης βαθµίδας κρατούµενο άθροισµα Μεταφέρεται στην επόµενη βαθµίδα σηµαντικότητας

Διαβάστε περισσότερα

Κεφάλαιο 4. Λογική Σχεδίαση

Κεφάλαιο 4. Λογική Σχεδίαση Κεφάλαιο 4 Λογική Σχεδίαση 4.1 Εισαγωγή Λογικές συναρτήσεις ονομάζουμε εκείνες για τις οποίες μπορούμε να αποφασίσουμε αν είναι αληθείς ή όχι. Χειριζόμαστε τις λογικές προτάσεις στην συγγραφή λογισμικού

Διαβάστε περισσότερα

"My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch

My Binary Logic Ένας προσομοιωτής λογικών πυλών στο Scratch "My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch Καραγιάννη Ελένη 1, Καραγιαννάκη Μαρία-Ελένη 2, Βασιλειάδης Αθανάσιος 3, Κωστουλίδης Αναστάσιος-Συμεών 4, Μουτεβελίδης Ιωάννης-Παναγιώτης 5,

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 2005. υαδική Αφαίρεση. υαδική Αφαίρεση (συν.) Ακόµη ένα παράδειγµα Αφαίρεσης.

Περίληψη. ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 2005. υαδική Αφαίρεση. υαδική Αφαίρεση (συν.) Ακόµη ένα παράδειγµα Αφαίρεσης. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο 2005 Κεφάλαιο 5 -ii: Αριθµητικές Συναρτήσεις και Κυκλώµατα Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Αφαίρεση δυαδικών Περίληψη

Διαβάστε περισσότερα

Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής

Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής Βασισμένο σε μια εργασία των Καζαρλή, Καλόμοιρου, Μαστοροκώστα, Μπαλουκτσή, Καλαϊτζή, Βαλαή, Πετρίδη Εισαγωγή Η Εξελικτική Υπολογιστική

Διαβάστε περισσότερα

ΑΡΙΘΜΗΤΙΚΗ ΓΙΑ ΥΠΟΛΟΓΙΣΤΕΣ

ΑΡΙΘΜΗΤΙΚΗ ΓΙΑ ΥΠΟΛΟΓΙΣΤΕΣ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ ΑΡΙΘΜΗΤΙΚΗ ΓΙΑ ΥΠΟΛΟΓΙΣΤΕΣ ΣΗΜΜΥ, 5 Ο ΕΞΑΜΗΝΟ http://www.cslab.ece.ntua.gr/courses/comparch t / / h 1 ΑΡΙΘΜΟΙ Decimal Eύκολο για τον άνθρωπο Ιδιαίτερα για την εκτέλεση αριθμητικών

Διαβάστε περισσότερα

Συνδυαστικά Λογικά Κυκλώματα

Συνδυαστικά Λογικά Κυκλώματα Συνδυαστικά Λογικά Κυκλώματα Ένα συνδυαστικό λογικό κύκλωμα συντίθεται από λογικές πύλες, δέχεται εισόδους και παράγει μία ή περισσότερες εξόδους. Στα συνδυαστικά λογικά κυκλώματα οι έξοδοι σε κάθε χρονική

Διαβάστε περισσότερα

9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ

9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ 61 9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ I. Βασική Θεωρία Οι πύλες NAND και NOR ονομάζονται οικουμενικές πύλες (universal gates) γιατί κάθε συνδυαστικό κύκλωμα μπορεί να υλοποιηθεί

Διαβάστε περισσότερα

a -j a 5 a 4 a 3 a 2 a 1 a 0, a -1 a -2 a -3

a -j a 5 a 4 a 3 a 2 a 1 a 0, a -1 a -2 a -3 ΑΣΚΗΣΗ 5 ΑΘΡΟΙΣΤΕΣ - ΑΦΑΙΡΕΤΕΣ 5.1. ΣΚΟΠΟΣ Η πραγματοποίηση της αριθμητικής πρόσθεσης και αφαίρεσης με λογικά κυκλώματα. 5.2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΗΣΗΣ: Κάθε σύστημα αρίθμησης χαρακτηρίζεται

Διαβάστε περισσότερα

Αθροιστές. Ημιαθροιστής

Αθροιστές. Ημιαθροιστής Αθροιστές Η πιο βασική αριθμητική πράξη είναι η πρόσθεση. Για την πρόσθεση δύο δυαδικών ψηφίων υπάρχουν τέσσερις δυνατές περιπτώσεις: +=, +=, +=, +=. Οι τρεις πρώτες πράξεις δημιουργούν ένα άθροισμα που

Διαβάστε περισσότερα

Πράξεις με δυαδικούς αριθμούς

Πράξεις με δυαδικούς αριθμούς Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 25-6 Πράξεις με δυαδικούς αριθμούς (λογικές πράξεις) http://di.ionio.gr/~mistral/tp/csintro/ Μ.Στεφανιδάκης Εκτέλεση πράξεων

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

w x y Υλοποίηση της F(w,x,y,z) με πολυπλέκτη 8-σε-1

w x y Υλοποίηση της F(w,x,y,z) με πολυπλέκτη 8-σε-1 Άσκηση 1 Οι λύσεις απαντήσεις που προτείνονται είναι ενδεικτικές και θα πρέπει να προσθέσετε Α) Αρχικά σχεδιάζουμε τον πίνακα αληθείας της λογικής έκφρασης: w x y z x G1 =x y G2 =z w F = G1 G2 Είσοδοι

Διαβάστε περισσότερα

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 1) Να μετατρέψετε τον δεκαδικό αριθμό (60,25) 10, στον αντίστοιχο δυαδικό 11111,11 111001,01 111100,01 100111,1 111100,01 2)

Διαβάστε περισσότερα

K24 Ψηφιακά Ηλεκτρονικά 6: Πολυπλέκτες/Αποπολυπλέκτες

K24 Ψηφιακά Ηλεκτρονικά 6: Πολυπλέκτες/Αποπολυπλέκτες K24 Ψηφιακά Ηλεκτρονικά 6: Πολυπλέκτες/Αποπολυπλέκτες TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ ΤΕΧΝΟΛΟΓΙΚΟ Περιεχόμενα 1 2 3 4 Λειτουργία Πολυπλέκτης (Mul plexer) Ο

Διαβάστε περισσότερα

Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα

Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα επαναληπτικής εξέτασης 2016 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 1. Συστήματα Αριθμών

Ψηφιακά Συστήματα. 1. Συστήματα Αριθμών Ψηφιακά Συστήματα 1. Συστήματα Αριθμών Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd Thomas L.,

Διαβάστε περισσότερα

Κυκλώµατα µε MSI. υαδικός Αθροιστής & Αφαιρέτης

Κυκλώµατα µε MSI. υαδικός Αθροιστής & Αφαιρέτης 5 η Θεµατική Ενότητα : Συνδυαστικά Κυκλώµατα µε MSI υαδικός Αθροιστής & Αφαιρέτης A i B i FA S i C i C i+1 D Σειριακός Αθροιστής Σειριακός Αθροιστής: απαιτεί 1 πλήρη αθροιστή, 1 στοιχείο µνήµης και παράγει

Διαβάστε περισσότερα

ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ - ΑΡΙΘΜΗΤΙΚΕΣ ΠΡΑΞΕΙΣ

ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ - ΑΡΙΘΜΗΤΙΚΕΣ ΠΡΑΞΕΙΣ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ - ΑΡΙΘΜΗΤΙΚΕΣ ΠΡΑΞΕΙΣ ΣΗΜΜΥ, 5 Ο ΕΞΑΜΗΝΟ http://www.cslab.ece.ntua.gr/courses/comparch 1 ΑΡΙΘΜΟΙ Decimal Eύκολο για τον άνθρωπο Ιδιαίτερα για την εκτέλεση αριθμητικών πράξεων

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 12: Σύνοψη Θεμάτων Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http://arch.icte.uowm.gr/mdasyg

Διαβάστε περισσότερα

Πρόλογος Το αντικείμενο της ψηφιακής σχεδίασης συστημάτων VLSI αποτελεί την αιχμή της σύγχρονης τεχνολογίας. Εξελίσσεται ταχύτατα, ίσως ταχύτερα από κάθε άλλο κλάδο της τεχνολογίας. Αποτελεί το όχημα όλης

Διαβάστε περισσότερα

Chapter 3 Αριθμητική Υπολογιστών

Chapter 3 Αριθμητική Υπολογιστών Chapter 3 Αριθμητική Υπολογιστών Διαφάνειες διδασκαλίας από το πρωτότυπο αγγλικό βιβλίο (4 η έκδοση), μετάφραση: Καθ. Εφαρμογών Νικόλαος Πετράκης, Τμήματος Ηλεκτρονικών Μηχανικών του Τ.Ε.Ι. Κρήτης. Τελευταία

Διαβάστε περισσότερα

3. Απλοποίηση Συναρτήσεων Boole

3. Απλοποίηση Συναρτήσεων Boole 3. Απλοποίηση Συναρτήσεων Boole 3. Μέθοδος του χάρτη Η πολυπλοκότητα ψηφιακών πυλών που υλοποιούν μια συνάρτηση Boole σχετίζεται άμεσα με την πολύπλοκότητα της αλγεβρικής της έκφρασης. Η αλγεβρική αναπαράσταση

Διαβάστε περισσότερα

Chapter 3. Αριθμητική Υπολογιστών. Έβδομη (7 η ) δίωρη διάλεξη. Η διασύνδεση Υλικού και λογισμικού David A. Patterson και John L.

Chapter 3. Αριθμητική Υπολογιστών. Έβδομη (7 η ) δίωρη διάλεξη. Η διασύνδεση Υλικού και λογισμικού David A. Patterson και John L. Η διασύνδεση Υλικού και λογισμικού David A. Patterson και John L. Hennessy Chapter 3 Αριθμητική Υπολογιστών Έβδομη (7 η ) δίωρη διάλεξη. Διαφάνειες διδασκαλίας από το πρωτότυπο αγγλικό βιβλίο (4 η έκδοση),

Διαβάστε περισσότερα

1. ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΩΝ. α i. (α i β i ) (1.3) όπου: η= το πλήθος ακεραίων ψηφίων του αριθμού Ν. n-1

1. ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΩΝ. α i. (α i β i ) (1.3) όπου: η= το πλήθος ακεραίων ψηφίων του αριθμού Ν. n-1 1. ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΩΝ 1.1 Εισαγωγή Το δεκαδικό σύστημα (Decimal System) αρίθμησης χρησιμοποιείται από τον άνθρωπο και είναι κατάλληλο βέβαια γι αυτόν, είναι όμως εντελώς ακατάλληλο για τις ηλεκτρονικές

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 3

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 3 ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 3 ΑΠΛΟΠΟΙΗΣΗ και ΥΛΟΠΟΙΗΣΗ ΛΟΓΙΚΩΝ ΣΥΝΑΡΤΗΣΕΩΝ Σκοπός: Η κατανόηση της σχέσης µιας λογικής συνάρτησης µε το αντίστοιχο κύκλωµα. Η απλοποίηση λογικών συναρτήσεων

Διαβάστε περισσότερα

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f.

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f. 6. Καταχωρητές Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f. Καταχωρητής 4 ψηφίων Καταχωρητής με παράλληλη φόρτωση Η εισαγωγή

Διαβάστε περισσότερα

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή 6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή Εισαγωγή Η σχεδίαση ενός ψηφιακού συστήµατος ως ακολουθιακή µηχανή είναι εξαιρετικά δύσκολη Τµηµατοποίηση σε υποσυστήµατα µε δοµικές µονάδες:

Διαβάστε περισσότερα

Ενότητα 7 ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΕΣ - ΚΩΔΙΚΟΠΟΙΗΤΕΣ ΑΠΟΠΛΕΚΤΕΣ - ΠΟΛΥΠΛΕΚΤΕΣ

Ενότητα 7 ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΕΣ - ΚΩΔΙΚΟΠΟΙΗΤΕΣ ΑΠΟΠΛΕΚΤΕΣ - ΠΟΛΥΠΛΕΚΤΕΣ Ενότητα 7 ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΕΣ - ΚΩΔΙΚΟΠΟΙΗΤΕΣ ΑΠΟΠΛΕΚΤΕΣ - ΠΟΛΥΠΛΕΚΤΕΣ Γενικές Γραμμές Δυαδικοί Αριθμοί έναντι Δυαδικών Κωδίκων Δυαδικοί Αποκωδικοποιητές Υλοποίηση Συνδυαστικής Λογικής με Δυαδικό Αποκωδικοποιητή

Διαβάστε περισσότερα

ΒΑΣΙΚΕΣ ΑΡΧΕΣ ΨΗΦΙΑΚΗΣ ΤΕΧΝΟΛΟΓΙΑΣ. Κεφάλαιο 3

ΒΑΣΙΚΕΣ ΑΡΧΕΣ ΨΗΦΙΑΚΗΣ ΤΕΧΝΟΛΟΓΙΑΣ. Κεφάλαιο 3 ΒΑΣΙΚΕΣ ΑΡΧΕΣ ΨΗΦΙΑΚΗΣ ΤΕΧΝΟΛΟΓΙΑΣ Κεφάλαιο 3 Δυαδική λογική Με τον όρο λογική πρόταση ή απλά πρόταση καλούμε κάθε φράση η οποία μπορεί να χαρακτηριστεί αληθής ή ψευδής με βάση το νόημα της. π.χ. Σήμερα

Διαβάστε περισσότερα

Μικροηλεκτρονική - VLSI

Μικροηλεκτρονική - VLSI ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Μικροηλεκτρονική - VLSI Ενότητα 6.1: Συνδυαστική Λογική - Βασικές Πύλες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 3. Λογικές Πράξεις & Λογικές Πύλες

Ψηφιακά Συστήματα. 3. Λογικές Πράξεις & Λογικές Πύλες Ψηφιακά Συστήματα 3. Λογικές Πράξεις & Λογικές Πύλες Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd

Διαβάστε περισσότερα

9 ο Μαθητικό Συνέδριο Πληροφορικής Κεντρικής Μακεδονίας. "My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch

9 ο Μαθητικό Συνέδριο Πληροφορικής Κεντρικής Μακεδονίας. My Binary Logic Ένας προσομοιωτής λογικών πυλών στο Scratch 9 ο Μαθητικό Συνέδριο Πληροφορικής Κεντρικής Μακεδονίας Θεσσαλονίκη, 25-28 Απριλίου 2017, ΝΟΗΣΙΣ "My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch Κωνσταντίνος Παρασκευόπουλος Καθηγητής Πληροφορικής

Διαβάστε περισσότερα

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM).

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM). Μνήμες Ένα από τα βασικά πλεονεκτήματα των ψηφιακών συστημάτων σε σχέση με τα αναλογικά, είναι η ευκολία αποθήκευσης μεγάλων ποσοτήτων πληροφοριών, είτε προσωρινά είτε μόνιμα Οι πληροφορίες αποθηκεύονται

Διαβάστε περισσότερα

ΗΜΜΥ 100 Εισαγωγή στην Τεχνολογία

ΗΜΜΥ 100 Εισαγωγή στην Τεχνολογία University of Cyprus ptical Diagnostics ΗΜΜΥ 100 Εισαγωγή στην Τεχνολογία Διάλεξη 14 60 MB RAM κι όμως με ξέχασες! Ομαδική Εργασία 4 Η Στόχοι και Διαδικασία Καθορίστε Τον σκοπό και τους στόχους Τη διαδικασία

Διαβάστε περισσότερα

9. ΚΑΤΑΧΩΡΗΤΕΣ (REGISTERS)

9. ΚΑΤΑΧΩΡΗΤΕΣ (REGISTERS) 9. ΚΑΤΑΧΩΡΗΤΕΣ (REGISTERS) 9.. ΕΙΣΑΓΩΓΗ Όπως έχουμε ήδη αναφέρει για την αποθήκευση μιας πληροφορίας ενός ψηφίου ( bit) απαιτείται ένα στοιχείο μνήμης δηλαδή ένα FF. Επομένως για περισσότερα του ενός ψηφία

Διαβάστε περισσότερα

Αποκωδικοποιητές Μνημών

Αποκωδικοποιητές Μνημών Αποκωδικοποιητές Μνημών Φθινόπωρο 2008 Γιώργος Δημητρακόπουλος Τμήμα Επιστήμης Υπολογιστών Πανεπιστήμιο Κρήτης Γ. Δημητρακόπουλος ΗΥ422 1 Η χρήση των αποκωδικοποιητών Η δομή της μνήμης (για λόγους πυκνότητας)

Διαβάστε περισσότερα

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης Θέμα 1ο (3 μονάδες)

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης Θέμα 1ο (3 μονάδες) Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2016 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το ανωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ Αποδοτική σχεδίαση Multiplier-Adder/Accumulator για αριθμούς σε μορφή

Διαβάστε περισσότερα

Εισαγωγή στα κυκλώµατα CMOS 2

Εισαγωγή στα κυκλώµατα CMOS 2 1 η Θεµατική Ενότητα : Εισαγωγή στα κυκλώµατα CMOS Επιµέλεια διαφανειών:. Μπακάλης Εισαγωγή Τεχνολογία CMOS = Complementary Metal Oxide Semiconductor Συµπληρωµατικού Ηµιαγωγού Μετάλλου Οξειδίου Αποτελείται

Διαβάστε περισσότερα

Αναπαράσταση Δεδομένων. ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική

Αναπαράσταση Δεδομένων. ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική Αναπαράσταση Δεδομένων ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική Αναπαράσταση δεδομένων Κατάλληλη συμβολική αναπαράσταση δεδομένων, για απλοποίηση βασικών πράξεων, όπως πρόσθεση Πόσο εύκολο είναι

Διαβάστε περισσότερα

Οργάνωση Η/Υ. Γιώργος Δημητρίου. Μάθημα 2 ο Σύντομη Επανάληψη. Πανεπιστήμιο Θεσσαλίας - Τμήμα Πληροφορικής

Οργάνωση Η/Υ. Γιώργος Δημητρίου. Μάθημα 2 ο Σύντομη Επανάληψη. Πανεπιστήμιο Θεσσαλίας - Τμήμα Πληροφορικής Γιώργος Δημητρίου Μάθημα 2 ο Σύντομη Επανάληψη Από την Εισαγωγή στους Η/Υ Γλώσσες Μηχανής Πεδία εντολής Μέθοδοι διευθυνσιοδότησης Αρχιτεκτονικές συνόλου εντολών Κύκλος εντολής Αλγόριθμοι/Υλικό Αριθμητικών

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 6: Δυαδικές Πράξεις, Συμπλήρωμα του 2, Δυαδικοί Αποκωδικοποιητές, Κωδικοποιητές, Πολυπλέκτες Δρ. Μηνάς Δασυγένης @ieee.ormdasygg

Διαβάστε περισσότερα

Αριθμητικά Συστήματα

Αριθμητικά Συστήματα Αριθμητικά Συστήματα Οργάνωση Δεδομένων (1/2) Bits: Η μικρότερη αριθμητική μονάδα ενός υπολογιστικού συστήματος, η οποία δείχνει δύο καταστάσεις, 0 ή 1 (αληθές η ψευδές). Nibbles: Μονάδα 4 bit που παριστά

Διαβάστε περισσότερα

ΜΕΡΟΣ 1 ο : Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα

ΜΕΡΟΣ 1 ο : Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα ΜΕΡΟΣ 1 ο : Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα 1. Για a=1, b=1 και c=0, υπολογίστε τις τιμές των λογικών παραστάσεων ab c, a+b +c, a+b c και ab +c Δώστε τα σύνολα τιμών των δυαδικών

Διαβάστε περισσότερα

K15 Ψηφιακή Λογική Σχεδίαση 7-8: Ανάλυση και σύνθεση συνδυαστικών λογικών κυκλωμάτων

K15 Ψηφιακή Λογική Σχεδίαση 7-8: Ανάλυση και σύνθεση συνδυαστικών λογικών κυκλωμάτων K15 Ψηφιακή Λογική Σχεδίαση 7-8: Ανάλυση και σύνθεση συνδυαστικών λογικών κυκλωμάτων Γιάννης Λιαπέρδος TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ Η έννοια του συνδυαστικού

Διαβάστε περισσότερα

Πανεπιστήμιο Θεσσαλίας - Τμήμα Πληροφορικής. Οργάνωση Η/Υ. Γιώργος ηµητρίου. Μάθηµα 2 ο Σύντοµη Επανάληψη

Πανεπιστήμιο Θεσσαλίας - Τμήμα Πληροφορικής. Οργάνωση Η/Υ. Γιώργος ηµητρίου. Μάθηµα 2 ο Σύντοµη Επανάληψη Γιώργος ηµητρίου Μάθηµα 2 ο Σύντοµη Επανάληψη Από την Εισαγωγή στους Η/Υ Γλώσσες Μηχανής n Πεδία εντολής n Μέθοδοι διευθυνσιοδότησης n Αρχιτεκτονικές συνόλου εντολών n Κύκλος εντολής Αλγόριθµοι/Υλικό Αριθµητικών

Διαβάστε περισσότερα

Πολλαπλασιασμός και Διαίρεση Ακεραίων

Πολλαπλασιασμός και Διαίρεση Ακεραίων ΗΥ 134 Εισαγωγή στην Οργάνωση και στον Σχεδιασμό Υπολογιστών Ι Διάλεξη 1 Πολλαπλασιασμός και Διαίρεση Ακεραίων Νίκος Μπέλλας Τμήμα Μηχανικών Η/Υ, Τηλεπικοινωνιών και Δικτύων 1 Πολλαπλασιασμός Ακεραίων

Διαβάστε περισσότερα

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017 Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ Κ. Δεμέστιχας Εργαστήριο Πληροφορικής Γεωπονικό Πανεπιστήμιο Αθηνών Επικοινωνία μέσω e-mail: cdemest@aua.gr, cdemest@cn.ntua.gr 1 5. ΑΛΓΕΒΡΑ BOOLE ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕΡΟΣ Β 2 Επαναληπτική

Διαβάστε περισσότερα

Αριθμητικά Συστήματα Κώδικες

Αριθμητικά Συστήματα Κώδικες Αριθμητικά Συστήματα Κώδικες 1.1 Εισαγωγή Κεφάλαιο 1 Ένα αριθμητικό σύστημα ορίζει ένα σύνολο τιμών που χρησιμοποιούνται για την αναπαράσταση μίας ποσότητας. Ποσοτικοποιώντας τιμές και αντικείμενα και

Διαβάστε περισσότερα

Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές

Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές στους Ηλεκτρονικούς Υπολογιστές http://courseware.mech.tua.gr/ml232/ 3 ο Μάθημα Λεωνίδας Αλεξόπουλος Λέκτορας ΕΜΠ E-mail: leo@mail.tua.gr URL: http://users.tua.gr/leo Λογικές Πράξεις Λογικές Συναρτήσεις

Διαβάστε περισσότερα

Κεφάλαιο 3 Κεντρική Μονάδα Επεξεργασίας

Κεφάλαιο 3 Κεντρική Μονάδα Επεξεργασίας ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ Κεφάλαιο 3 Κεντρική Μονάδα Επεξεργασίας Κεντρική Μονάδα Επεξεργασίας Μονάδα επεξεργασίας δεδομένων Μονάδα ελέγχου Μονάδα επεξεργασίας δεδομένων Μονάδα Επεξεργασίας Δεδομένων Μονάδα

Διαβάστε περισσότερα

Εκτέλεση πράξεων. Ψηφιακά Ηλεκτρονικά και Δυαδική Λογική. Πράξεις με δυαδικούς αριθμούς. Πράξεις με δυαδικούς αριθμούς

Εκτέλεση πράξεων. Ψηφιακά Ηλεκτρονικά και Δυαδική Λογική. Πράξεις με δυαδικούς αριθμούς. Πράξεις με δυαδικούς αριθμούς Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 24-5 Πράξεις με δυαδικούς αριθμούς (λογικές πράξεις) http://di.ionio.gr/~mistral/tp/csintro/ Μ.Στεφανιδάκης ; Ποιες κατηγορίες

Διαβάστε περισσότερα