Σχεδίαση σε επίπεδο RTL βασισμένη στα διαγράμματα ASMD

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Σχεδίαση σε επίπεδο RTL βασισμένη στα διαγράμματα ASMD"

Transcript

1 Εθνικό Μετσόβιο Πολυτεχνείο Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Τομέας Επικοινωνιών, Ηλεκτρονικής και Συστημάτων Πληροφορικής Εισαγωγή στην Σχεδίαση Συστημάτων VLSI Σχεδίαση σε επίπεδο RTL βασισμένη στα διαγράμματα ASMD (Έκδοση 2013) Η. Κουκούτσης

2 Άδεια Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης Creative Commons. Για εκπαιδευτικό υλικό, όπως εικόνες, που υπόκειται σε άδεια χρήσης άλλου τύπου, αυτή πρέπει να αναφέρεται ρητώς.

3 Στο μάθημα αυτό χρησιμοποιείται εκτενώς ύλη και σχήματα από τα βιβλία: [1]: Σχεδίαση Ολοκληρωμένων Συστημάτων CMOS VLSI, Τέταρτη έκδοση, Neil Weste, David Harris, Εκδ. Παπασωτηρίου [2]: Ψηφιακή Σχεδίαση, Τέταρτη έκδοση, M. Morris Mano, Michal Ciletti, Εκδ. Παπασωτηρίου Επίσης, χρησιμοποιούνται (μεταφρασμένα ή ως έχουν) μέρη των διαφανειών για διδάσκοντες των N. Weste και D. Harris, οι οποίες συνοδεύουν το βιβλίο [1] στην αγγλική μορφή του στην σχετική ιστοσελίδα της Pearson Education Inc. Ειδικά σε σχέση με την ύλη της παρούσας σειράς διαφανειών, ακολουθείται σε ένα βαθμό ο τρόπος παρουσίασης της σχετικής ύλης του κεφαλαίου 8 του βιβλίου [2]. Στο τελευταίο αυτό κεφάλαιο παρουσιάζεται και η δυνατή χρήση της Verilog για την περιγραφή των διαγραμμάτων ASMD και την υλοποίηση των σχετικών κυκλωμάτων. Συνιστάται στους φοιτητές να χρησιμοποιήσουν το βιβλίο [2] μαζί με τις παρούσες διαφάνειες για τη μελέτη του θέματος της σχεδίασης πολύπλοκων ακολουθιακών κυκλωμάτων με την μέθοδο των διαγραμμάτων ASMD.

4 Αρχιτεκτονική Ελεγκτή (Control Unit) Μονάδας Επεξεργασίας Δεδομένων (Datapath Unit) Συνήθως, τα βασικά στοιχεία της αρχιτεκτονικής (καταχωρητές γενικών και ειδικών τύπων, μετρητές, άλλα στοιχεία επεξεργασίας δεδομένων) τοποθετούνται στην Μονάδα Επεξεργασίας Δεδομένων. Η Μονάδα Ελέγχου (ή Ελεγκτής) καθοδηγεί την ακολουθία των πράξεων των καταχωρητών (κυρίως αυτών, αλλ όχι μόνον). Και οι δύο μονάδες (units) κατασκευάζονται έτσι, ώστε να υλοποιούν ένα κυκλωματικό αλγόριθμο που λύνει το πρόβλημα που μας ενδιαφέρει.

5 Στοιχεία διαγράμματος ASM Για την πλήρη περιγραφή των στοιχείων ενός διαγράμματος ASM, παραδείγματα των οποίων ακολουθούν, ανατρέξτε στο κεφάλαιο 8 του βιβλίου [2]. Κουτί κατάστασης Κουτί απόφασης Κουτί εντολής υπό συνθήκη

6 Από το κεφάλαιο 8 του βιβλίου [2]. Παράδειγμα τμήματος διαγράμματος ASM

7 Δύο διαφορετικά στυλ γραφής διαγράμματος ASM Από το κεφάλαιο 8 του βιβλίου [2].

8 Διατύπωση ενός ρεαλιστικού προβλήματος Υποθέστε ότι θέλουμε να κατασκευάσουμε ένα συνολικό σύστημα ελέγχου ενός ταινιομεταφορέα, ο οποίος μεταφέρει κιβώτια ποικίλων μεγεθών από έναν χώρο αποθήκευσης σε ένα φορτηγό, σύμφωνα με τις ακολουθούσες απαιτήσεις. Υποθέστε, επίσης, ότι ο ταινιομεταφορέας έχει ήδη ηλεκτρονική συσκευή με φωτοδίοδο (led) και φωτοτρανζίστορ (phototransistor), κατασκευασμένη έτσι, ώστε η σχετική δέσμη να διακόπτεται όταν οποιοδήποτε κιβώτιο περνάει από ένα συγκεκριμένο σημείο του ταινιομεταφορέα. Στην περίπτωση διακοπής της δέσμης, το σχετικό κύκλωμα δίνει ένα ψηφιακό (λογικό) σήμα Beam = 0, αλλοιώς δίνει Beam = Τα κιβώτια πρέπει να τοποθετούνται στον ταινιομεταφορέα από το προσωπικό του χώρου αποθήκευσης διαδοχικά (ποτέ περισσότερα από ένα τη φορά), αλλά όχι απαραίτητα σε χρόνους προσδιορισμένους ακριβώς. 2. Ο χειριστής πρέπει να καθορίζει τον μέγιστο αριθμό κιβωτίων μέσω μιας συσκευής εισόδου, η έξοδος της οποίας δίνει έναν δυαδικό αριθμό των n-bits, τον Max_Cntr. 3. Το ακολουθιακό σύστημα το οποίο ελέγχει τον ταινιομεταφορέα, πρέπει να ξεκινάει από μια αρχική κατάσταση S_Idle, στην οποία πρέπει να παραμένει όσο δεν πατιέται ένας διακόπτης έναρξης Start. (Συνεχίζεται στην επόμενη διαφάνεια)

9 Διατύπωση ενός ρεαλιστικού προβλήματος (συνέχεια) 4. Όταν πατιέται ο διακόπτης Start (για οσοδήποτε χρονικό διάστημα), ο ελεγκτής του ταινιομεταφορέα πρέπει να δίνει εντολή να ξεκινάει το μοτέρ του ταινιομεταφορέα και να περιμένει την ανίχνευση του πρώτου κιβωτίου. 5. Όταν μεταφερθούν τόσα κιβώτια, όσα δείχνει ο αριθμός Max_Cntr, το σύστημα πρέπει να σταματάει το μοτέρ του ταινιομεταφορέα, να ανάβει μία ένδειξη «Χώρος πλήρης» και να μεταβαίνει στην αρχική κατάσταση. Για όσο χρόνο βρίσκεται σε αυτή την κατάσταση πλέον, η ένδειξη «Χώρος πλήρης» πρέπει να παραμένει αναμμένη. Από την κατάσταση δε αυτή, πρέπει να φεύγει με νέα πίεση του διακόπτη Start. 6. Όταν πατιέται ο διακόπτης Start πρέπει επίσης να σβήνει η ένδειξη «Χώρος πλήρης» (και το σύστημα να περιμένει την ανίχνευση του πρώτου νέου κιβωτίου). 7. Το σύστημα πρέπει ακόμη να έχει έναν διακόπτη Reset, η πίεση του οποίου πρέπει να σταματάει το μοτέρ του ταινιομεταφορέα, να στέλνει το σύστημα στην αρχική κατάσταση S_Idle και να σβήνει την ένδειξη «Χώρος πλήρης».

10 Μια πιθανή αρχιτεκτονική Κατ αρχήν, προσδιορίζουμε τα βασικά στοιχεία της αρχιτεκτονικής: Καταχωρητές, άλλα στοιχεία επεξεργασίας δεδομένων (πχ. συγκριτή μεγέθους), καθώς και τις εισόδους και εξόδους του συνολικού συστήματος. Η επικοινωνία των δύο μονάδων (ελεγκτή και επεξεργαστή δεδομένων) δεν είναι ακόμη σαφής.

11 Περιγραφή λειτουργίας με το διάγραμμα ASM: Πρώτο στάδιο Αρχίζουμε να σχεδιάζουμε το διάγραμμα ASM: Ζωγραφίζουμε το κουτί της αρχικής κατάστασης S-Idle, καθώς και τις σχετικές μεταβάσεις και πράξεις καταχωρητών υπό συνθήκες. Από την κατάσταση S0 (ή S_Idle) έχουμε τις εξής μεταβάσεις σε επόμενες καταστάσεις: 1. Εάν Reset=1, επόμενη κατάσταση είναι η ίδια η S0. Το σήμα εισόδου Reset, επειδή ελέγχεται πρώτο στο διάγραμμα, έχει προτεραιότητα έναντι των άλλων σημάτων και προκαλεί επιστροφή στην S0, σύμφωνα με τις προδιαγραφές. 2. Εάν Reset=0 και Start=0, επόμενη κατάσταση είναι πάλι η S0. Το σύστημα αδρανεί (επιστρέφει στην S0), όσο το Start=0. 3. Εάν Reset=0 και Start=1, το σύστημα εκκινεί και μεταβαίνει στην επόμενη κατάσταση S1 (ή Wait_Beam_Intrpt), για να περιμένει διακοπή της δέσμης του φωτοκυττάρου. Οι πράξεις καταχωρητών που έχουν σχέση με την κατάσταση S0 είναι οι εξής: 1. Μηδενισμός του μετρητή (Cntr) άνευ όρων στην κατάσταση S0: Cntr <= Εάν Reset=0 και Start=0, μηδενίζεται ο καταχωρητή ς 1-bit Full που ελέγχει το άναμμα της επιγραφής Χώρος Πλήρης (δηλαδή σβήνει η ένδειξη, Full <= 0). Μηδενίζεται επίσης ο καταχωρητής 1-bit Belt που ελέγχει την κίνηση της ταινίας (δηλαδή σταματάει η ταινία, Belt <= 0). 3. Εάν Reset=0 και Start=1, μηδενίζεται πάλι ο καταχωρητή ς Full (δηλαδή σβήνει η ένδειξη, Full <= 0), αλλά τίθεται ο καταχωρητής Belt (ξεκινάει η ταινία, Belt <= 1).

12 Περιγραφή λειτουργίας με το διάγραμμα ASM: Δεύτερο στάδιο Στο ήδη υπάρχον τμήμα του διαγράμματος ASM (το οποίο απεικονίζεται με μπλε χρώμα) προσθέτουμε το κουτί μιας νέας κατάστασης S1 ή Wait_Beam_Intrpt, στην οποία το κύκλωμα περιμένει διακοπή της δέσμης του φωτοτρανζίστορ, δηλαδή την έλευση νέου κιβωτίου. Οι σχετικές μεταβάσεις και πράξεις καταχωρητών είναι οι εξής: 1. Εάν Reset=1, επόμενη κατάσταση θα είναι η S0 και θα μηδενιστούν οι Full και Belt: Full <= 0, Belt <= Εάν Reset=0 και Beam=1, επόμενη κατάσταση θα είναι η ίδια η S1 (δεν έχουμε διακοπή της δέσμης), ενώ δεν θα γίνει καμία πράξη καταχωρητών. 3. Εάν Reset=0 και Beam=0, επόμενη κατάσταση θα είναι η S2 (ή Beam_Intrptd, αφού το Beam μας δείχνει ότι διεκόπη η δέσμη του φωτοτρανζίστορ από νέο κιβώτιο), επομένως θα αυξηθεί και το περιεχόμενο του μετρητή: Cntr <= Cntr + 1.

13 Περιγραφή λειτουργίας με το διάγραμμα ASM: Τρίτο (τελικό) στάδιο Και πάλι, στο ήδη υπάρχον τμήμα του διαγράμματος ASM (το οποίο απεικονίζεται με μπλε χρώμα) προσθέτουμε το κουτί μιας νέας κατάστασης Beam_Intrptd, στην οποία το κύκλωμα περιμένει την αποκατάσταση της συνέχειας της δέσμης του φωτοκυττάρου, δηλαδή το τέλος του περάσματος του κιβωτίου μπροστά από το φωτοκύτταρο. Οι σχετικές μεταβάσεις και πράξεις καταχωρητών είναι οι εξής: 1. Εάν Reset=1, επόμενη κατάσταση θα είναι η S0 και θα μηδενιστούν οι Full και Belt: Full <= 0, Belt <= Εάν Reset=0 και Beam=1, επόμενη κατάσταση θα είναι η ίδια η S2 (δεν έχουμε ακόμη αποκατάσταση της συνέχειας της δέσμης), ενώ δεν θα γίνει καμία πράξη καταχωρητών. 3. Εάν Reset=0 και Beam=0 (τέλος κιβωτίου και αποκατάσταση της συνέχειας της δέσμης) και η συνθήκη Cntr=Cntr_Max έχει τιμή false (0) (δεν έχουμε φτάσει στον μέγιστο επιτρεπόμενο αριθμό κιβωτίων), επόμενη κατάσταση θα είναι S1 (πάμε στην κατάσταση αναμονής νέου κιβωτίου), ενώ δεν θα γίνει καμία πράξη καταχωρητών. 4. Εάν Reset=0 και Beam=0 (τέλος κιβωτίου και αποκατάσταση της συνέχειας της δέσμης) και η συνθήκη Cntr=Cntr_Max έχει τιμή true (1) (φθάσαμε στον μέγιστο επιτρεπόμενο αριθμό κιβωτίων), επόμενη κατάσταση θα είναι η S0 (πάμε στην αρχική κατάσταση), θα τεθεί ο Full (ανάβει η ένδειξη Χώρος Πλήρης ) και θα μηδενιστεί ο Belt (σταματάει η ταινία): Full <= 1, Belt <= 0.

14 Το πλήρες διάγραμμα ASM Στο διπλανό σχήμα φαίνεται το συνολικό, κατ αρχήν σχεδιασθέν διάγραμμα ASM. Τα βασικά στοιχεία της αρχιτεκτονικής του επεξεργαστή έχουν κατ αρχήν περιγραφεί σε προηγούμενη διαφάνεια: Μπορούμε να χρησιμοποιήσουμε οποιονδήποτε μετρητή θέλουμε, με δυνατότητα μηδενισμού, κατά προτίμηση ασύγχρονού. Ακόμη, μπορούμε να χρησιμοποιήσουμε οποιονδήποτε συγκριτή των n bits. Όμως, στην ανάπτυξη του διαγράμματος ASM κάναμε εμμέσως, επί πλέον, την υπόθεση ότι οι καταχωρητές του 1 bit τίθενται με μια εντολή, μηδενίζονται με μια άλλη, ενώ ανάμεσα σε δύο διαδοχικές εντολές διατηρούν αναλλοίωτο το περιεχόμενό τους. Ένα στοιχείο που λειτουργεί με τον τρόπο αυτό είναι το φλιπ-φλοπ JK, το οποίο τίθεται με J=1 (ενώ το Κ παραμένει στο 0) και μηδενίζεται με Κ=1 (ενώ το J παραμένει στο 0) στον επόμενο ενεργό παλμό του ρολογιού. Ένα ρολόι σχετικά γρήγορο για τα ανθρώπινα δεδομένα, πχ. >200Hz, είναι υπεραρκετό για την ορθή λειτουργία του κυκλώματος που θα ελέγχεται από το διάγραμμα αυτό.

15 Ένα άλλο στυλ γραφής του διαγράμματος ASM: Με χρήση εντολών προς τον επεξεργαστή δεδομένων Απλά αντικαθιστούμε τις πράξεις καταχωρητών με εντολές προς τον επεξεργαστή δεδομένων, που προξενούν τις πράξεις αυτές. Πχ., ή εντολή Reset_Cntr προκαλεί μηδενισμό του μετρητή. Η τοποθέτηση της εντολής αυτής σε ένα κουτί κατάστασης ή εντολής υπό συνθήκη, ισοδυναμεί με την λογική ανάθεση Reset_Cntr =1. Είναι σημαντικό να χρησιμοποιούμε ονόματα εντολών, τα οποία μας δίνουν αμέσως να καταλάβουμε τις αντίστοιχες πράξεις καταχωρητών. Πχ. Incr_Cntr για την εντολή αύξησης του περιεχομένου του μετρητή κατά 1 (από το Increment Counter), ή Stop_Belt (προφανές). Η μορφή αυτή του διαγράμματος περιγράφει πλήρως τον ελεγκτή, όπως θα δούμε στα επόμενα.

16 Πλήρως προσδιορισμένο διάγραμμα ASMD: Εντολές του ελεγκτή προς τον επεξεργαστή δεδομένων και αντίστοιχες πράξεις καταχωρητών Το διάγραμμα αυτό περιγράφει τόσο τον ελεγκτή (controller), όπως είπαμε και προηγουμένως, όσο και τον επεξεργαστή δεδομένων (datapath), δεδομένου ότι περιγράφει πλήρως τις πράξεις των καταχωρητών και των υπόλοιπων στοιχείων του επεξεργαστή. Αξίζει να τονισθεί, στο σημείο αυτό, ότι η περιγραφή του κυκλώματος με την χρήση του διαγράμματος ASMD, είναι περιγραφή της συμπεριφοράς (λειτουργίας) του κυκλώματος και όχι δομική περιγραφή.

17 Προσδιορισμός Επικοινωνίας Ελεγκτή Επεξεργαστή Δεδομένων Ο πλήρης προσδιορισμός της επικοινωνίας της μονάδας ελέγχου (ή ελεγκτή, controller) και της μονάδας επεξεργασίας δεδομένων (ή επεξεργαστή δεδομένων, datapath) είναι πολύ εύκολος, από τη στιγμή που έχουμε ολοκληρώσει το διάγραμμα ASM. Έτσι (ανατρέξτε στη βασική αρχιτεκτονική και το διάγραμμα ASMD): Οι εξωτερικές είσοδοι και έξοδοι του συστήματος είναι προφανείς από τις προδιαγραφές. Στην περίπτωσή μας, εξωτερικές είσοδοι είναι τα Reset, Start, Beam και τα bits του μέγιστου επιτρεπτού αριθμού αντικειμένων (που δίνονται στο σύστημά μας από διακόπτες). Έξοδοι του συνολικού συστήματος είναι μια γραμμή του 1 bit που ελέγχει αν η ένδειξη Χώρος Πλήρης θα ανάψει ή όχι και άλλη μια γραμμή του 1 bit που ελέγχει την κίνηση του ταινιομεταφορέα (αυτά μόνον ζητούνται από τις προδιαγραφές). Όποιες εντολές είναι σε κουτιά καταστάσεων ή σε κουτιά εντολών υπό συνθήκη, είναι έξοδοι του ελεγκτή και είσοδοι του επεξεργαστή δεδομένων. Στην περίπτωσή μας, οι εντολές αυτές είναι οι τα σήματα Incr_Cntr, Clr_Cntr, Set_Full, Reset_Full, Start_Belt και Stop_Belt. Όποια σήματα είναι σε κουτιά αποφάσεων και δεν είναι εξωτερικές είσοδοι του συστήματος, είναι σήματα κατάστασης που παράγονται στον επεξεργαστή δεδομένων, δηλαδή (εσωτερικές του συστήματος) έξοδοι του επεξεργαστή δεδομένων και (εσωτερικές του συστήματος) είσοδοι του ελεγκτή. Τα σήματα αυτά πληροφορούν τον ελεγκτή για την κατάσταση του επεξεργαστή δεδομένων. Στην περίπτωσή μας, έχουμε ένα μόνον τέτοιο σήμα, με το σύνθετο όνομα (Cntr=?Cntr_Max), το οποίο πληροφορεί τον ελεγκτή ότι ο μετρητής κιβωτίων έφθασε στη μέγιστη επιτρεπόμενη τιμή του. Μπορούμε, πλέον, να προσδιορίσουμε περαιτέρω την εσωτερική αρχιτεκτονική του συστήματός μας, όπως φαίνεται στην επόμενη διαφάνεια.

18 Περαιτέρω προσδιορισμός του συστήματος Με το σύμβολο (Cntr =? Cntr_Max) συμβολίζουμε το αποτέλεσμα της σχετικής σύγκρισης: True (1) ή False (0)

19 Κωδικοποίηση: S0 (ή S_Idle): 00 S1 (ή Wait_Beam_Intrpt): 01 S2 (ή Beam_Intrptd): 10 Μετά την κωδικοποίηση, το προκύπτον διάγραμμα ASM περιέχει όλη την πληροφορία που χρειάζεται για να σχεδιαστεί η Μονάδα Ελέγχου (ή Ελεγκτής) ως ένα απλό ακολουθιακό σύστημα, όπου το διάγραμμα ASM αντικαθιστά το διάγραμμα καταστάσεων ή FSM: Κάθε βέλος του διαγράμματος προσδιορίζει μια μετάβαση σε άλλη κατάσταση και επομένως προσδιορίζει μια γραμμή του πίνακα καταστάσεων. Τα κουτιά αποφάσεων που κάθε βέλος διασχίζει προσδιορίζουν τις τιμές εισόδων του Ελεγκτή που προκαλούν τη συγκεκριμένη μετάβαση. Στα κουτιά καταστάσεων βρίσκονται οι έξοδοι τύπου Mealy του Ελεγκτή που παίρνουν τιμή 1 στις συγκεκριμένες καταστάσεις. Στα κουτιά εντολών υπό συνθήκη βρίσκονται οι έξοδοι τύπου Moore του Ελεγκτή που παίρνουν τιμή 1 στις συγκεκριμένες καταστάσεις και υπό τις αντίστοιχες συνθήκες. Οπότε συνθέτουμε τον πίνακα της επόμενης διαφάνειας.

20 Υλοποίηση ελεγκτή: Πίνακας καταστάσεων για την σχεδίαση του ελεγκτή Ο πίνακας καταστάσεων του Ελεγκτή κατασκευάζεται με βάση τις αρχές που περιγράφηκαν στη προηγούμενη διαφάνεια (υποθέτουμε ότι χρησιμοποιούμε δύο D φλιπ-φλοπς, τα D1 και D2). ΠΙΝΑΚΑΣ ΚΑΤΑΣΤΑΣΕΩΝ (ή ΜΕΤΑΒΑΣΕΩΝ) ΕΛΕΓΚΤΗ Παρούσα Κατάσταση Είσοδοι Επόμενη Κατάσταση Έξοδοι Symbol Name D1 D2 Reset Start Beam (Cntr=?Cntr_Max) Symbol Name D D1 D D2 Incr_Cntr Reset_Cntr Set_Full Clr_Full Start_Belt Stop_Belt S0 S_Idle X X X S0 S_Idle S0 S_Idle X X S0 S_Idle S0 S_Idle X X S1 Wait_Beam_Intrpt S1 Wait_Beam_Intrpt X X X S0 S_Idle S1 Wait_Beam_Intrpt X 1 X S1 Wait_Beam_Intrpt S1 Wait_Beam_Intrpt X 0 X S2 Beam_Intrptd S2 Beam_Intrptd X X X S0 S_Idle S2 Beam_Intrptd X 0 X S2 Beam_Intrptd s2 Beam_Intrptd X 1 0 S1 Wait_Beam_Intrpt S2 Beam_Intrptd X 1 1 S0 S_Idle Προφανώς: Κάθε γραμμή του πίνακα καταστάσεων προκύπτει από ένα βέλος του διαγράμματος ASM. Η μορφή του πίνακα είναι συμπυκνωμένη. Πχ., η πρώτη γραμμή αντιστοιχεί σε 8 κανονικές γραμμές, επειδή υπάρχουν 3 X στο δεξιό μέρος του σύνθετου πίνακα αληθείας (αδιάφορες είσοδοι, 8=2 3 ). Στον συγκεκριμένο πίνακα έχουν παραληφθεί οι γραμμές που αφορούν την αχρησιμοποίητη κατάσταση 11. Αν το σύστημα βρεθεί στην κατάσταση 11 λόγω προβλήματος, πρέπει να γίνει ειδικός χειρισμός που παραλείπεται εδώ για λόγους απλότητας.

21 Υλοποίηση ελεγκτή: Πίνακας καταστάσεων για την σχεδίαση του ελεγκτή (2) ΠΙΝΑΚΑΣ ΚΑΤΑΣΤΑΣΕΩΝ (ή ΜΕΤΑΒΑΣΕΩΝ) ΕΛΕΓΚΤΗ Παρούσα Κατάσταση Είσοδοι Επόμενη Κατάσταση Έξοδοι Symbol Name D1 D2 Reset Start Beam (Cntr=?Cntr_Max) Symbol Name D D1 D D2 Incr_Cntr Reset_Cntr Set_Full Clr_Full Start_Belt Stop_Belt S0 S_Idle X X X S0 S_Idle S0 S_Idle X X S0 S_Idle S0 S_Idle X X S1 Wait_Beam_Intrpt S1 Wait_Beam_Intrpt X X X S0 S_Idle S1 Wait_Beam_Intrpt X 1 X S1 Wait_Beam_Intrpt S1 Wait_Beam_Intrpt X 0 X S2 Beam_Intrptd S2 Beam_Intrptd X X X S0 S_Idle S2 Beam_Intrptd X 0 X S2 Beam_Intrptd s2 Beam_Intrptd X 1 0 S1 Wait_Beam_Intrpt S2 Beam_Intrptd X 1 1 S0 S_Idle Ας δούμε, ως παράδειγμα, πως προκύπτουν οι γραμμές με α.α. 0 (η πρώτη) και 5 (η έκτη) του πίνακα καταστάσεων του Ελεγκτή. Κάθε μια από τις γραμμές αυτές αντιστοιχεί σε ένα βέλος του διαγράμματος. Η πρώτη γραμμή αντιστοιχεί στο βέλος του διαγράμματος που φαίνεται (μερικά) στο σχήμα: Η γραμμή αυτή σημαίνει τα εξής: Όταν βρισκόμαστε στην παρούσα κατάσταση S0 ή S_Idle, που αντιστοιχεί στον κώδικα 00 (για τα D1,D2), [στη συνέχεια της γραμμής παραθέτουμε τις τιμές των εισόδων που προκαλούν τη μετάβαση που δείχνει το βέλος] εάν το Reset είναι 1, ανεξαρτήτως της τιμής του Start (δηλαδή Start X),του Beam (X επίσης) και του (Cntr=?Cntr_Max) (X επίσης), μεταβαίνουμε στην επόμενη κατάσταση S0 ή S_Idle [που βάζουμε στην επόμενη σύνθετη στήλη Επόμενη Κατάσταση ], η οποία αντιστοιχεί στον κώδικα 00 και από τις εξόδους παίρνουν την τιμή 1 [στη παρούσα κατάσταση] οι εξής: Clr_Full, Stop_Belt [που είναι σε κουτί υπό συνθήκη] και Reset_Cnrt [που εκδίδεται άνευ όρων στην κατάσταση S0], ενώ οι υπόλοιπες (Incr_Cntr, Set_Full και Start_Belt) παραμένουν στο 0.

22 Υλοποίηση ελεγκτή: Πίνακας καταστάσεων για την σχεδίαση του ελεγκτή (3) ΠΙΝΑΚΑΣ ΚΑΤΑΣΤΑΣΕΩΝ (ή ΜΕΤΑΒΑΣΕΩΝ) ΕΛΕΓΚΤΗ Παρούσα Κατάσταση Είσοδοι Επόμενη Κατάσταση Έξοδοι Symbol Name D1 D2 Reset Start Beam (Cntr=?Cntr_Max) Symbol Name D D1 D D2 Incr_Cntr Reset_Cntr Set_Full Clr_Full Start_Belt Stop_Belt S0 S_Idle X X X S0 S_Idle S0 S_Idle X X S0 S_Idle S0 S_Idle X X S1 Wait_Beam_Intrpt S1 Wait_Beam_Intrpt X X X S0 S_Idle S1 Wait_Beam_Intrpt X 1 X S1 Wait_Beam_Intrpt S1 Wait_Beam_Intrpt X 0 X S2 Beam_Intrptd S2 Beam_Intrptd X X X S0 S_Idle S2 Beam_Intrptd X 0 X S2 Beam_Intrptd s2 Beam_Intrptd X 1 0 S1 Wait_Beam_Intrpt S2 Beam_Intrptd X 1 1 S0 S_Idle Η έκτη γραμμή αντιστοιχεί στο βέλος του διαγράμματος που φαίνεται (μερικά) στο διπλανό σχήμα: Η γραμμή αυτή σημαίνει τα εξής: Όταν βρισκόμαστε στην παρούσα κατάσταση S1 ή Wait_Beam_Intrpt, που αντιστοιχεί στον κώδικα 01 (για τα D1,D2), [στη συνέχεια της γραμμής παραθέτουμε τις τιμές των εισόδων που προκαλούν τη μετάβαση που δείχνει το βέλος] εάν το Reset είναι 0, ανεξαρτήτως της τιμής του Start (δηλαδή Start X),εάν επίσης το Beam είναι 0, ανεξαρτήτως της τιμής του (Cntr=?Cntr_Max) (X επίσης), μεταβαίνουμε στην επόμενη κατάσταση S2 ή Beam_Intrptd [που βάζουμε στην επόμενη σύνθετη στήλη Επόμενη Κατάσταση ], η οποία αντιστοιχεί στον κώδικα 10 και από τις εξόδους παίρνει την τιμή 1 [στη παρούσα κατάσταση] μόνον η Incr_Cntr [που είναι σε κουτί υπό συνθήκη, ενώ οι υπόλοιπες (Reset_Cnrt, Set_Full, Clr_Full, Start_Belt και Stop_Belt ) παραμένουν στο 0.

23 Υλοποίηση ελεγκτή: Προσδιορισμός των εισόδων φλιπ-φλοπς και των εξόδων του Ελεγκτή Για τον προσδιορισμό των εισόδων των φλιπ-φλοπ και των εξόδων του Ελεγκτή, μπορούμε να χρησιμοποιήσουμε οποιονδήποτε συστηματικό τρόπο γνωρίζουμε ή ένα αυτόματο πρόγραμμα σύνθεσης και απλοποίησης. Ένας ιδιαίτερα απλός τρόπος είναι ο εξής: Για κάθε σήμα προς υλοποίηση (πχ. για το D D1 ), αθροίζουμε τις συνθήκες του πρώτου μέρους του πίνακα αληθείας που προκαλούν 1 στο δεύτερο μέρος του μέρους του πίνακα αληθείας. Έτσι, πχ., η στήλη του D D1 έχει 1 στις στήλες 5 και 7 (εάν θεωρήσουμε την πρώτη στήλη ως στήλη 0), οπότε προκύπτει D D1 = S1 Reset Beam + S2 Reset Beam = ( S1 + S2 ) Reset Beam = = ( D1 D2 + D1 D2 ) Reset Beam (Εξίσωση Α) Υπενθυμίζουμε το σκεπτικό πίσω από τον κανόνα αυτόν στην επόμενη διαφάνεια. Μπορούμε να θεωρήσουμε τα σύμβολα S0, S1, S2 ως σήματα και να υποθέσουμε πάντα ότι κάθε ένα από τα σήματα γίνεται 1, όταν και μόνον όταν το σύστημα είναι στην αντίστοιχη κατάσταση, π.χ. S1=1, όταν και μόνον όταν το σύστημα είναι στην κατάσταση S1.

24 Υλοποίηση ελεγκτή: Προσδιορισμός των εισόδων φλιπ-φλοπς και των εξόδων του Ελεγκτή (2) Επαναλαμβάνουμε τον κανόνα: Για κάθε σήμα προς υλοποίηση (πχ. για το D D1 ), αθροίζουμε τις συνθήκες του πρώτου μέρους του πίνακα αληθείας που προκαλούν 1 στο δεύτερο μέρος του μέρους του πίνακα αληθείας. Ο κανόνας αυτός για το D D1 δίνει: D D1 = S1 Reset Beam + S2 Reset Beam = ( S1 + S2 ) Reset Beam = = ( D1 D2 + D1 D2 ) Reset Beam Ας υπενθυμίζουμε το σκεπτικό πίσω από τον κανόνα αυτόν: ΠΙΝΑΚΑΣ ΚΑΤΑΣΤΑΣΕΩΝ (ή ΜΕΤΑΒΑΣΕΩΝ) ΕΛΕΓΚΤΗ (Εξίσωση Α) Παρούσα Κατάσταση Είσοδοι Επόμενη Κατάσταση Έξοδοι Symbol Name D1 D2 Reset Start Beam (Cntr=?Cntr_Max) Symbol Name D D1 D D2 Incr_Cntr Reset_Cntr Set_Full Clr_Full Start_Belt Stop_Belt S0 S_Idle X X X S0 S_Idle S0 S_Idle X X S0 S_Idle S0 S_Idle X X S1 Wait_Beam_Intrpt S1 Wait_Beam_Intrpt X X X S0 S_Idle S1 Wait_Beam_Intrpt X 1 X S1 Wait_Beam_Intrpt S1 Wait_Beam_Intrpt X 0 X S2 Beam_Intrptd S2 Beam_Intrptd X X X S0 S_Idle S2 Beam_Intrptd X 0 X S2 Beam_Intrptd s2 Beam_Intrptd X 1 0 S1 Wait_Beam_Intrpt S2 Beam_Intrptd X 1 1 S0 S_Idle Για ευκολία, ας ονομάσουμε την συνθήκη (Cntr = Cntr_Max) απλά ως Max. Το πρώτο 1 προκύπτει από την έκτη γραμμή, όταν S1=1, Reset=0, (Start=X, αδιάφορο,) και Beam=0 (και Max=Χ, αδιάφορο). Το δεύτερο και τελευταίο 1 προκύπτει από την 8 γραμμή, όταν S2=1, Reset=0, (Start=X, αδιάφορο,) και Beam=0 (και Max=Χ, αδιάφορο). Δηλαδή, προκύπτει 1, όταν [S1=1, Reset=0, (Start=X, αδιάφορο,) και Beam=0 (και Max=Χ, αδιάφορο)] ή [S2=1, Reset=0, (Start=X, αδιάφορο,) και Beam=0 (και Max=Χ, αδιάφορο)]. Δηλαδή όταν S1 Reset Beam =1 ή όταν S2 Reset Beam = 1, επομένως όταν S1 Reset Beam + S2 Reset Beam = 1. Από την συνθήκη αυτή προκύπτει η Εξίσωση Α. Από την κωδικοποίηση δε προκύπτει ότι S1 = D1 D2 και S2 = D1 D2.

25 Υλοποίηση ελεγκτή: Προσδιορισμός των εισόδων φλιπ-φλοπς και των εξόδων του Ελεγκτή (3) Εφαρμόζουμε τον προαναφερθέντα κανόνα για την D D2 και για όλες τις εξόδους του Ελεγκτή, οπότε προκύπτει ακόμη: D D2 = D1 D2 Reset Start + D1 D2 Reset Beam Max = = D1 Reset ( D2 Start + D2 Beam Max ) Incr_Cntr = D1 D2 Reset Beam Reset_Cntr = D1 D2 Set_Full = D1 D2 Reset Beam Max Clr_Full = D1 D2 Reset + D1 D2 Reset Start + D1 D2 Reset + D1 D2 Reset = = (D1 D2 + D1 D2 + D1 D2 ) Reset + D1 D2 Reset Start Start_Belt = D1 D2 Reset Start Stop_Belt = D1 D2 Reset + D1 D2 Reset + D1 D2 Reset + D1 D2 Reset Beam Max = = (D1 D2 + D1 D2 + D1 D2 ) Reset + D1 D2 Reset Beam Max

26 Υλοποίηση ελεγκτή: Προσδιορισμός των εισόδων φλιπ-φλοπ και των εξόδων του Ελεγκτή (4) Από τις προηγούμενες εξισώσεις Boole, οι ακόλουθες: D D1 = ( D1 D2 + D1 D2 ) Reset Beam D D2 = D1 Reset ( D2 Start + D2 Beam Max ) προσδιορίζουν τις εισόδους των D φλιπ-φλοπς του ελεγκτή (D1 και D2). Οι υπόλοιπες εξισώσεις προσδιορίζουν τις εξόδους του Ελεγκτή: Incr_Cntr = D1 D2 Reset Beam Reset_Cntr = D1 D2 Set_Full = D1 D2 Reset Beam Max Clr_Full = (D1 D2 + D1 D2 + D1 D2 ) Reset + D1 D2 Reset Start Start_Belt = D1 D2 Reset Start Stop_Belt = (D1 D2 + D1 D2 + D1 D2 ) Reset + D1 D2 Reset Beam Max Ο ελεγκτής, πλέον (κατά τα γνωστά), είναι πλήρως προσδιορισμένος και μπορεί να υλοποιηθεί με οποιονδήποτε από τους γνωστούς τρόπους. Εάν βασικό κριτήριό μας είναι η εξοικονόμηση χώρου στο πυρίτιο, ένας αποτελεσματικός τρόπος είναι η σχεδίαση βελτιστοποιημένων πυλών με την μέθοδο μονοπατιών Euler. Τόσο οι είσοδοι των φλιπ-φλοπς, όσο και οι είσοδοι του επεξεργαστή δεδομένων, επειδή έχουν παραχθεί ως έξοδοι του ακολουθιακού κυκλώματος που είναι ο ελεγκτής, είναι σήματα στάθμης (και όχι μέτωπα παλμών). Η πιθανή αυτή δυασκολία αίρεται εύκολα, όπως θα δούμε στα επόμενα.

27 Υλοποίηση Μονάδας Επεξεργασίας Δεδομένων και Συνολικού Κυκλώματος Η Μονάδα επεξεργασίας Δεδομένων υλοποιείται πολύ εύκολα (η σχετική ύλη της Λογικής Σχεδίασης Ψηφιακών Συστημάτων θεωρείται γνωστή, προφανώς). Χρησιμοποιούμε: Έναν κατάλληλο μετρητή των n-bits με ασύγχρονο μηδενισμό, έναν συγκριτή μεγέθους των n-bits και Δύο JK flip-flop, ως καταχωρητές του 1 bit, με δυνατότητα Set και Reset (ή Clear). Οι διασυνδέσεις των στοιχείων αυτών, καθώς και συνδέσεις των στοιχείων αυτών με τον Ελεγκτή και τα εξωτερικά στοιχεία, είναι ήδη προσδιορισμένες στη διαφάνεια με τίτλο Περαιτέρω Προσδιορισμός του Συστήματος.

28 Η έννοια του Μπλοκ Κατάστασης Στο διπλανό σχήμα φαίνεται το αναπτυχθέν διάγραμμα ASMD. Έχει χρησιμοποιηθεί κόκκινο χρώμα για το κουτί της κατάστασης S0 και όλα τα κουτιά αποφάσεων και τα κουτιά εντολών υπό συνθήκη, τα οποία είναι τοποθετημένα σε βέλη που ξεκινάνε από την S0. Το κόκκινο τμήμα ονομάζεται block της κατάστασης S0. Είναι ιδιαίτερα σημαντικό να γίνει αντιληπτό ότι, όταν το σύστημα είναι σε μία κατάσταση, όλες οι σύγχρονες πράξεις καταχωρητών του μπλοκ της κατάστασης αυτής εκτελούνται στον αμέσως επόμενο παλμό του ρολογιού (σε σχέση με την παρούσα κατάσταση), ταυτόχρονα με την μετάβαση στην επόμενη κατάσταση, όποια κα αν είναι η τελευταία. Πχ., εάν είμαστε στην S0 και το Reset βρεθεί να έχει την τιμή 1 στο επόμενο ενεργό μέτωπο του ρολογιού, θα γίνουν ταυτόχρονα οι εξής πράξεις καταχωρητών: Cntr <= 0, Full <= 0, Belt <= 0, D1 <= 0, D2 <= 0, Δηλαδή θα μηδενιστούν οι Cntr, Full και Belt, και θα γίνει μετάβαση στην επόμενη κατάσταση (που τυχαίνει να είναι ξανά η 00, δηλαδή η S0), ταυτόχρονα. Η χρονική σύμπτωση αυτή δεν μας ενοχλεί συνήθως, εκτός από ειδικές περιπτώσεις. Παράδειγμα τέτοιας περίπτωσης θα δώσουμε στα επόμενα.

29 Η έννοια του Μπλοκ Κατάστασης (2) Στο διπλανό σχήμα έχει χρησιμοποιηθεί κόκκινο χρώμα για το κουτί της κατάστασης S1 και όλα τα κουτιά αποφάσεων και τα κουτιά εντολών υπό συνθήκη, τα οποία είναι τοποθετημένα σε βέλη που ξεκινάνε από την S1. Το κόκκινο τμήμα είναι το block της κατάστασης S1. Πάλι, όλες οι σύγχρονες πράξεις καταχωρητών του μπλοκ της κατάστασης αυτής εκτελούνται στον αμέσως επόμενο παλμό του ρολογιού (σε σχέση με την παρούσα κατάσταση), ταυτόχρονα με την μετάβαση στην επόμενη κατάσταση, όποια κα αν είναι η τελευταία. Έτσι, εάν το σύστημα είναι στην S1 και στο επόμενο ενεργό μέτωπο του ρολογιού βρεθεί Reset=0 και Beam=0, θα γίνουν ταυτόχρονα οι εξής πράξεις καταχωρητών: Cntr <= Cntr + 1, D1 <= 1, D2 <= 0, Δηλαδή θα αυξηθεί μετρητής Cntr και θα γίνει μετάβαση στην επόμενη κατάσταση, την 10, δηλαδή την S2, ταυτόχρονα.

30 Η έννοια του Μπλοκ Κατάστασης (3) Και στο διπλανό σχήμα έχει χρησιμοποιηθεί κόκκινο χρώμα για το κουτί της κατάστασης S3 και όλα τα κουτιά αποφάσεων και τα κουτιά εντολών υπό συνθήκη, τα οποία είναι τοποθετημένα σε βέλη που ξεκινάνε από την S3. Το κόκκινο τμήμα είναι το block της κατάστασης S3. Και πάλι, ισχύουν τα ίδια για τις σύγχρονες πράξεις καταχωρητών. Έτσι, πχ., εάν το σύστημα είναι στην S2 και στο επόμενο ενεργό μέτωπο του ρολογιού βρεθεί Reset=0, Beam=1 και (Cntr=?Max_Cntr)= 1, θα γίνουν ταυτόχρονα οι εξής πράξεις καταχωρητών: Full <= 1, Belt <= 0, D1 <= 0, D2 <= 0, Δηλαδή θα τεθεί ο Full, θα μηδενιστεί ο Belt και θα γίνει μετάβαση στην επόμενη κατάσταση, την 00, δηλαδή την S0, ταυτόχρονα.

31 Έλεγχος τιμών καταχωρητών Η ταυτόχρονη εκτέλεση των σύγχρονων πράξεων καταχωρητών σε ένα μπλοκ κατάστασης, μπορεί να δημιουργήσει πρόβλημα σε περίπτωση που θέλουμε να ελέγξουμε την τιμή κάποιων από αυτούς τους καταχωρητές. Έτσι, στην κατάσταση S1 του αναπτυχθέντος διαγράμματος, εάν Reset=0 και Beam=0, δίδεται εντολή σύγχρονης με το ρολόι αύξησης της τιμής του μετρητή: Cntr<=Cntr+1. Εάν βάλουμε το κουτί ελέγχου για την συνθήκη (Cntr=?Max_Cntr)=1 στο μπλοκ της κατάστασης S1, αμέσως μετά από την υπό συνθήκη αύξηση του μετρητή, μπορεί να παρουσιαστεί η εξής περίπτωση: Να δοθεί μεν εντολή να αυξηθεί ο μετρητής, αλλά επειδή η αύξηση αυτή θα καθυστερήσει μέχρι τον επόμενο παλμό του ρολογιού, ο έλεγχος της συνθήκης (Cntr=?Max_Cntr)=1 να γίνει πριν από την αύξηση του μετρητή, οπότε, φυσικά θα ληφθεί λανθασμένη απόφαση στο κουτί απόφασης. Επομένως, το περιεχόμενο των καταχωρητών, οι οποίοι μεταβάλλονται σύγχρονα με το ρολόι, πρέπει να ελέγχεται τουλάχιστον μία κατάσταση μετά από την κατάσταση, στην οποία οι καταχωρητές μεταβάλλονται (δηλαδή να παρεμβάλλεται τουλάχιστον ένας παλμός του ρολογιού ανάμεσα στη μεταβολή και τον έλεγχο), αλλοιώς θα έχουμε λανθασμένη λήψη απόφασης. Στην περίπτωση του διπλανού διαγράμματος, η μεταβολή του Cntr γίνεται στην κατάσταση S1, ενώ ο έλεγχος της τιμής του στην κατάσταση S2, άρα δεν θα παρουσιαστεί πρόβλημα. Εν γένει, πρέπει να δίνεται προσοχή στον χρονισμό εκτέλεσης όλων των πράξεων, ώστε να μην προκαλείται εσφαλμένη λειτουργία (διαφορετική από αυτήν που ο σχεδιαστής επιθυμεί).

32 Μορφή και Δράση των Εντολών προς τον Επεξεργαστή Δεδομένων Έχουμε ήδη ορίσει τα σήματα S0, S1 και S2 (τα οποία, αν θέλουμε, μπορούμε να χρησιμοποιήσουμε ή να μη χρησιμοποιήσουμε). Κάθε ένα από τα σήματα αυτά γίνεται 1, όταν και μόνον όταν το σύστημα είναι στην αντίστοιχη κατάσταση. Τα σήματα αυτά λέγονται και σήματα κατάστασης του συστήματος. Η κωδικοποίηση που χρησιμοποιήσαμε μας δίνει ότι S0 = D1 D2 = m 0 (D1, D2) (ο ελαχιστόρος 0 των D1, D2), S1 = D1 D2 = m 1 (D1, D2) (ο ελαχιστόρος 1 των D1, D2) και S2 = D1 D2 = m 2 (D1, D2) (ο ελαχιστόρος 2 των D1, D2). Επομένως, οι μεταβλητές κατάστασης είναι, στην ουσία, ελαχιστόροι του συνόλου των φλιπ-φλοπς κατάστασης του συστήματος (με την διάταξη που έχουμε ορίσει για τα φλιπ-φλοπς αυτά και χρησιμοποιούμε στον πίνακα κατάστασης, στη συγκεκριμένη περίπτωση [D1, D2]). Αν υλοποιήσουμε τα σήματα αυτά με τις κατάλληλες πύλες, οι λογικές γραμμές που προκύπτουν ονομάζονται γραμμές κατάστασης του συστήματος και έχουν τις ιδιότητες των εξόδων του αποκωδικοποιητή: Ανά πάσα στιγμή, οπωσδήποτε μία, αλλά μόνον μία από τις γραμμές αυτές είναι ενεργή (στο 1 ή H, για θετική λογική αντιστοίχηση ), ενώ οι άλλες είναι ανενεργές (στο 0 ή L). Εξ άλλου, οι σχέσεις για τα S0, S1 και S2 ορίζουν τις τρείς από τις τέσσερις εξόδους ενός αποκωδικοποιητή 2-σε-4.

33 Μορφή και Δράση των Εντολών προς τον Επεξεργαστή Δεδομένων (2) Οι γραμμές κατάστασης είναι πολύ χρήσιμες για να καταλάβει κανείς τη μορφή και τη δράση των εντολών του Ελεγκτή προς τον Επεξεργαστή Δεομένων. Εκ του τρόπου σχεδίασης και υλοποίησης των εντολών συμπεραίνουμε τα εξής: Κάθε εντολή έχει, οπωσδήποτε, ακριβώς ένα σήμα κατάστασης σε κάθε όρο γινομένου της (ή την αποκωδικοποιημένη του μορφή ως γινόμενο τονισμένων ή όχι τιμών φλιπ-φλοπς), δεδομένου ότι ο κάθε όρος γινομένου εκδίδεται στη συγκεκριμένη κατάσταση που παρουσιάζεται σε μια σύνθετη γραμμή του πίνακα αληθείας ως Παρούσα Κατάσταση. Επειδή οι μεταβλητές κατάστασης είναι ελαχιστόροι του συνόλου των φλιπ-φλοπς, ακόμη και αν υπάρχουν περισσότερα σήματα κατάστασης στη εξίσωση της εντολής, δεν συναληθεύουν: Ένας μόνο σε κάθε κατάσταση είναι διάφορος του μηδενός. Επομένως, σε κάθε κατάσταση του συστήματος, η κάθε εντολή εκφυλίζεται σε ένα γινόμενο της μορφής: Εντολή = S i (Γινόμενο τιμών των σημάτων εισόδων του συστήματος ή/και σημάτων κατάστασης του Επεξεργαστή δεδομένων, και στις δύο περιπτώσεις στην κατάσταση S i ) (Σχέση Β) όπου S i είναι η εκάστοτε παρούσα κατάσταση. Η διάρκεια παραμονής του συστήματος σε μια κατάσταση είναι μια περίοδος του ρολογιού μόνον, αλλά επειδή το σύστημα μπορεί να μεταβεί στην ίδια κατάσταση, μπορεί να παραμείνει ουσιαστικά σε κάθε κατάσταση για έναν ή παραπάνω από έναν παλμούς του ρολογιού.

34 Μορφή και Δράση των Εντολών προς τον Επεξεργαστή Δεδομένων (3) Η μορφή επομένως μιας εντολής μπορεί να είναι το πολύ μια ακολουθία των σχηματισμών που φαίνονται στο σχήμα, με μόνο μια (δηλαδή σταθερή) τιμή στο παράθυρο παρατήρησης της κατάστασης. Εξήγηση της μιας τιμής καθ όλη τη διάρκεια (του παραθύρου παρατήρησης) της κατάστασης (αναφερθείτε στις διαφάνειες θεμάτων χρονισμού φλιπ-φλοπς): 1. Έχουμε από την αρχή του μαθήματος υποθέσει ότι συγχρονίζουμε τις εισόδους του συστήματός μας με το ρολόι, αν δεν είναι ήδη συγχρονισμένες, αλλοιώς οι μεθοδολογίες σχεδίασης ακολουθιακών συστημάτων είναι δυνατόν να αποτύχουν. 2. Τα σήματα κατάστασης που παράγονται στον Επεξεργαστή δεδομένων με τη συμμετοχή εξόδων καταχωρητών που λειτουργούν με το κοινό ρολόι, είναι ήδη συγχρονισμένα με το ρολόι αυτό, καθώς ακολουθούν τους κανόνες που θέσαμε στις διαφάνειες θεμάτων χρονισμού φλιπ-φλοπς. Επομένως κανένα από τα σήματα που είναι στην παρένθεση της σχέσης Β δεν αλλάζει στο παράθυρο παρατήρησης της κατάστασης, άρα στη σχέση Β η Εντολή έχει μία μόνον, σταθερή τιμή στο παράθυρο παρατήρησης της κατάστασης. Στο διπλανό σχήμα φαίνονται πιθανές μορφές μιας εντολής του Ελεγκτή. Στην περίπτωση του παραδείγματος αυτού, το ρολόι δεν είναι τετραγωνικό [αλλά έχει duty cycle (= χρόνος στο 1 / περίοδο) 25%] και οι καταχωρητές είναι αρνητικά ακμοπυροδότητοι (στο θέμα αυτό οποιεσδήποτε επιλογές δεν προκαλούν προβλήματα χρονισμού είναι επιτρεπτές). Στο σχήμα αυτό, επίσης, έχουν παραληφθεί για λόγους απλότητας οι χρόνοι ανόδου και καθόδου των κυματομορφών και έχει υποτεθεί ότι το παράθυρο παρατήρησης καλύπτει όλη την περίοδο του ρολογιού, κάτι που δεν συμβαίνει σε υψηλές ταχύτητες ρολογιών (ανατρέξτε στις διαφάνειες για τα θέματα χρονισμού των ακολουθιακών συστημάτων)

35 Μορφή και Δράση των Εντολών προς τον Επεξεργαστή Δεδομένων (4) Ας δούμε ξανά τη γενική μορφή μιας εντολής: Η εντολή αυτή έχει τα εξής χρησιμοποιήσιμα στοιχεία: 1. Ένα θετικό μέτωπο παλμού, το Α. 2. Μια στάθμη σήματος 1 ή Η ικανοποιητικής διάρκειας, την Β. 3. Και ένα αρνητικό μέτωπο παλμού, το Β. Ο σχεδιαστής μπορεί να χρησιμοποιήσει οποιοδήποτε από τα στοιχεία αυτά για να επηρεάσει κατάλληλα τους καταχωρητές και τα υπόλοιπα στοιχεία του Επεξεργαστή Δεδομένων και να υλοποιήσει τις απαιτούμενες πράξεις. Ιδιαίτερη προσοχή πρέπει να δοθεί στα πιθανά προκύπτοντα θέματα χρονισμού. Η προβλέψιμη όμως μορφή των εντολών διευκολύνει τον ορθό σχεδιασμό του συστήματος. Ελαφρά δυσκολότερη είναι η περίπτωση των ασύγχρονων μηδενισμών ή θέσεων καταχωρητών του Επεξεργαστή Δεδομένων, αλλά, συνήθως, μια ορθή μελέτη του χρονισμού των σχετικών σημάτων επιτρέπει σωστή σχεδίαση. Στην περίπτωση της σχεδίασής μας, ο ασύγχρονος μηδενισμός του Cntr δεν προξενεί προβλήματα.

36 Χρηματοδότηση Το παρόν εκπαιδευτικό υλικό έχει αναπτυχθεί στα πλαίσια του εκπαιδευτικού έργου του διδάσκοντα. Το έργο «Ανοικτά Ακαδημαϊκά Μαθήματα» του ΕΜΠ έχει χρηματοδοτήσει μόνο την αναδιαμόρφωση του υλικού. Το έργο υλοποιείται στο πλαίσιο του Επιχειρησιακού Προγράμματος «Εκπαίδευση και Δια Βίου Μάθηση» και συγχρηματοδοτείται από την Ευρωπαϊκή Ένωση (Ευρωπαϊκό Κοινωνικό Ταμείο) και από εθνικούς πόρους.

Θέματα χρονισμού σε φλιπ-φλοπ και κυκλώματα VLSI

Θέματα χρονισμού σε φλιπ-φλοπ και κυκλώματα VLSI Εθνικό Μετσόβιο Πολυτεχνείο Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Τομέας Επικοινωνιών, Ηλεκτρονικής και Συστημάτων Πληροφορικής Εισαγωγή στην Σχεδίαση Συστημάτων VLSI Θέματα χρονισμού

Διαβάστε περισσότερα

Ψηφιακή Λογική Σχεδίαση

Ψηφιακή Λογική Σχεδίαση Ψηφιακή Λογική Σχεδίαση Επιμέλεια: Γεώργιος Θεοδωρίδης, Επίκουρος Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σημείωμα Αδειοδότησης Το παρόν

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 9: Ελαχιστοποίηση και Κωδικοποίηση Καταστάσεων, Σχεδίαση με D flip-flop, Σχεδίαση με JK flip-flop, Σχεδίαση με T flip-flop Δρ. Μηνάς

Διαβάστε περισσότερα

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή 6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή Εισαγωγή Η σχεδίαση ενός ψηφιακού συστήµατος ως ακολουθιακή µηχανή είναι εξαιρετικά δύσκολη Τµηµατοποίηση σε υποσυστήµατα µε δοµικές µονάδες:

Διαβάστε περισσότερα

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops K24 Ψηφιακά Ηλεκτρονικά 9: TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ ΤΕΧΝΟΛΟΓΙΚΟ Περιεχόμενα 1 2 3 Γενικά Ύστερα από τη μελέτη συνδυαστικών ψηφιακών κυκλωμάτων, θα μελετήσουμε

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ 1) Οι σύγχρονοι μετρητές υλοποιούνται με Flip-Flop τύπου T

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 12: Σύνοψη Θεμάτων Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http://arch.icte.uowm.gr/mdasyg

Διαβάστε περισσότερα

Ψηφιακή Λογική Σχεδίαση

Ψηφιακή Λογική Σχεδίαση Ψηφιακή Λογική Σχεδίαση Επιμέλεια: Νίκος Φακωτάκης, Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σημείωμα Αδειοδότησης Το παρόν υλικό διατίθεται

Διαβάστε περισσότερα

Καταστάσεων. Καταστάσεων

Καταστάσεων. Καταστάσεων 8 η Θεµατική Ενότητα : Εισαγωγή Ησχεδίαση ενός ψηφιακού συστήµατος µπορεί να διαιρεθεί σε δύο µέρη: τα κυκλώµατα επεξεργασίας δεδοµένων και τα κυκλώµατα ελέγχου. Το κύκλωµα ελέγχου δηµιουργεί σήµατα για

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9 ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ (COUNTERS)

ΑΣΚΗΣΗ 9 ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ (COUNTERS) ΑΣΚΗΣΗ 9 ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ (COUNTERS) Αντικείμενο της άσκησης: H σχεδίαση και η χρήση ασύγχρονων απαριθμητών γεγονότων. Με τον όρο απαριθμητές ή μετρητές εννοούμε ένα ακολουθιακό κύκλωμα με FF, οι καταστάσεις

Διαβάστε περισσότερα

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f.

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f. 6. Καταχωρητές Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f. Καταχωρητής 4 ψηφίων Καταχωρητής με παράλληλη φόρτωση Η εισαγωγή

Διαβάστε περισσότερα

Ψηφιακή Λογική Σχεδίαση

Ψηφιακή Λογική Σχεδίαση Ψηφιακή Λογική Σχεδίαση Γ. Θεοδωρίδης Ψηφιακή Λογική Σχεδίαση Γ. Θεοδωρίδης 1 Κεφάλαιο 8 Σχεδίαση στο Επίπεδο Μεταφοράς Περιεχομένων Καταχωρητών Ψηφιακή Λογική Σχεδίαση Γ. Θεοδωρίδης 2 Περίγραμμα Κεφαλαίου

Διαβάστε περισσότερα

Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα

Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα επαναληπτικής εξέτασης 2016 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης Θέμα 1ο (3 μονάδες)

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης Θέμα 1ο (3 μονάδες) Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2016 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το ανωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007 Μάθημα : Ψηφιακά Ηλεκτρονικά Τεχνολογία ΙΙ Τεχνικών Σχολών, Θεωρητικής Κατεύθυνσης

Διαβάστε περισσότερα

K15 Ψηφιακή Λογική Σχεδίαση 7-8: Ανάλυση και σύνθεση συνδυαστικών λογικών κυκλωμάτων

K15 Ψηφιακή Λογική Σχεδίαση 7-8: Ανάλυση και σύνθεση συνδυαστικών λογικών κυκλωμάτων K15 Ψηφιακή Λογική Σχεδίαση 7-8: Ανάλυση και σύνθεση συνδυαστικών λογικών κυκλωμάτων Γιάννης Λιαπέρδος TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ Η έννοια του συνδυαστικού

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 Μάθημα : Ψηφιακά Ηλεκτρονικά Τεχνολογία ΙΙ, Θεωρητικής Κατεύθυνσης Ημερομηνία

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 ΤΕΧΝΟΛΟΓΙΑ (ΙΙ) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Ψηφιακά Ηλεκτρονικά

Διαβάστε περισσότερα

Η συχνότητα f των παλµών 0 και 1 στην έξοδο Q n είναι. f Qn = 1/(T cl x 2 n+1 )

Η συχνότητα f των παλµών 0 και 1 στην έξοδο Q n είναι. f Qn = 1/(T cl x 2 n+1 ) ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 9 ΥΑ ΙΚΟΙ ΑΠΑΡΙΘΜΗΤΕΣ Σκοπός: Η µελέτη της λειτουργίας των απαριθµητών. Υλοποίηση ασύγχρονου απαριθµητή 4-bit µε χρήση JK Flip-Flop. Κατανόηση της αλλαγής του υπολοίπου

Διαβάστε περισσότερα

Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL

Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL 3.1 Εισαγωγή στα FLIP FLOP 3.1.1 Θεωρητικό Υπόβαθρο Τα σύγχρονα ακολουθιακά κυκλώματα με τα οποία θα ασχοληθούμε στο εργαστήριο των Ψηφιακών συστημάτων

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9. Tα Flip-Flop

ΑΣΚΗΣΗ 9. Tα Flip-Flop ΑΣΚΗΣΗ 9 Tα Flip-Flop 9.1. ΣΚΟΠΟΣ Η κατανόηση της λειτουργίας των στοιχείων μνήμης των ψηφιακών κυκλωμάτων. Τα δομικά στοιχεία μνήμης είναι οι μανδαλωτές (latches) και τα Flip-Flop. 9.2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ

Διαβάστε περισσότερα

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017 Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Ακολουθιακή Λογική. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Ακολουθιακή Λογική. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Ακολουθιακή Λογική Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Εισαγωγή Είσοδοι Συνδυαστικό Κύκλωμα Έξοδοι Στοιχεία Μνήμης Κατάσταση

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Καταχωρητές και Μετρητές 2. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Καταχωρητές και Μετρητές 2. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Καταχωρητές και Μετρητές Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Εισαγωγή Καταχωρητής: είναι μία ομάδα από δυαδικά κύτταρα αποθήκευσης

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Συνδυαστική Λογική. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Συνδυαστική Λογική. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Συνδυαστική Λογική Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Ψηφιακά Κυκλώματα Τα ψηφιακά κυκλώματα διακρίνονται σε συνδυαστικά (combinational)

Διαβάστε περισσότερα

f(x, y, z) = y z + xz

f(x, y, z) = y z + xz Λύσεις θεμάτων Εξεταστικής Περιόδου Ιανουαρίου Φεβρουαρίου 27 ΘΕΜΑ Ο (2, μονάδες) Δίνεται η λογική συνάρτηση : f (, y, z ) = ( + y )(y + z ) + y z. Να συμπληρωθεί ο πίνακας αλήθειας της συνάρτησης. (,

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ Κ. Δεμέστιχας Εργαστήριο Πληροφορικής Γεωπονικό Πανεπιστήμιο Αθηνών Επικοινωνία μέσω e-mail: cdemest@aua.gr, cdemest@cn.ntua.gr 1 5. ΑΛΓΕΒΡΑ BOOLE ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕΡΟΣ Β 2 Επαναληπτική

Διαβάστε περισσότερα

Ψηφιακή Λογική Σχεδίαση

Ψηφιακή Λογική Σχεδίαση Ψηφιακή Λογική Σχεδίαση Επιμέλεια: Νίκος Φακωτάκης, Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σημείωμα Αδειοδότησης Το παρόν υλικό διατίθεται

Διαβάστε περισσότερα

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 1) Να μετατρέψετε τον δεκαδικό αριθμό (60,25) 10, στον αντίστοιχο δυαδικό 11111,11 111001,01 111100,01 100111,1 111100,01 2)

Διαβάστε περισσότερα

Εισαγωγή στην πληροφορική

Εισαγωγή στην πληροφορική Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Εισαγωγή στην πληροφορική Ενότητα 4: Ψηφιακή Λογική, Άλγεβρα Boole, Πίνακες Αλήθειας (Μέρος B) Αγγελίδης Παντελής Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών

Διαβάστε περισσότερα

7.1 Θεωρητική εισαγωγή

7.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 7 ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΜΑΝ ΑΛΩΤΕΣ FLIP FLOP Σκοπός: Η κατανόηση της λειτουργίας των βασικών ακολουθιακών κυκλωµάτων. Θα µελετηθούν συγκεκριµένα: ο µανδαλωτής (latch)

Διαβάστε περισσότερα

Προγραμματισμός Ηλεκτρονικών Υπολογιστών 1

Προγραμματισμός Ηλεκτρονικών Υπολογιστών 1 Προγραμματισμός Ηλεκτρονικών Υπολογιστών 1 Ενότητα 3: Άλγεβρα Βοole και Λογικές Πράξεις Δρ. Φραγκούλης Γεώργιος Τμήμα Ηλεκτρολογίας Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης

Διαβάστε περισσότερα

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Θεµατική Ενότητα ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Ακαδηµαϊκό Έτος 2006 2007 Γραπτή Εργασία #2 Ηµεροµηνία Παράδοσης 28-0 - 2007 ΠΛΗ 2: Ψηφιακά Συστήµατα ΠΡΟΤΕΙΝΟΜΕΝΕΣ ΛΥΣΕΙΣ Άσκηση : [5 µονάδες] Έχετε στη

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 6: Σύγχρονα Ακολουθιακά Κυκλώματα Κυριάκης Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II 4 η Εργαστηριακή Άσκηση Περιγραφή Κυκλωμάτων με Ακολουθιακές Εντολές Άδειες Χρήσης Το παρόν υλικό διατίθεται με τους όρους της άδειας χρήσης Creative Commons

Διαβάστε περισσότερα

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα Κεφάλαιο 6 Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα 6.1 Εισαγωγή Η εκτέλεση διαδοχικών λειτουργιών απαιτεί τη δημιουργία κυκλωμάτων που μπορούν να αποθηκεύουν πληροφορίες, στα ενδιάμεσα στάδια των

Διαβάστε περισσότερα

Ολοκληρωμένα Κυκλώματα

Ολοκληρωμένα Κυκλώματα Δημοκρίτειο Πανεπιστήμιο Θράκης Τμήμα Ηλεκτρολόγων Μηχανικών & Μηχανικών Υπολογιστών Γ. Δημητρακόπουλος Ολοκληρωμένα Κυκλώματα Πρόοδος - Φθινόπωρο 2017 Θέμα 1 ο Σχεδιάστε το datapath για τον υπολογισμό

Διαβάστε περισσότερα

K24 Ψηφιακά Ηλεκτρονικά 6: Πολυπλέκτες/Αποπολυπλέκτες

K24 Ψηφιακά Ηλεκτρονικά 6: Πολυπλέκτες/Αποπολυπλέκτες K24 Ψηφιακά Ηλεκτρονικά 6: Πολυπλέκτες/Αποπολυπλέκτες TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ ΤΕΧΝΟΛΟΓΙΚΟ Περιεχόμενα 1 2 3 4 Λειτουργία Πολυπλέκτης (Mul plexer) Ο

Διαβάστε περισσότερα

Ασύγχρονοι Απαριθμητές. Διάλεξη 7

Ασύγχρονοι Απαριθμητές. Διάλεξη 7 Ασύγχρονοι Απαριθμητές Διάλεξη 7 Δομή της διάλεξης Εισαγωγή στους Απαριθμητές Ασύγχρονος Δυαδικός Απαριθμητής Ασύγχρονος Δεκαδικός Απαριθμητής Ασύγχρονος Δεκαδικός Απαριθμητής με Latch Ασκήσεις 2 Ασύγχρονοι

Διαβάστε περισσότερα

Άσκηση 3 Ένα νέο είδος flip flop έχει τον ακόλουθο πίνακα αληθείας : I 1 I 0 Q (t+1) Q (t) 1 0 ~Q (t) Κατασκευάστε τον πίνακα

Άσκηση 3 Ένα νέο είδος flip flop έχει τον ακόλουθο πίνακα αληθείας : I 1 I 0 Q (t+1) Q (t) 1 0 ~Q (t) Κατασκευάστε τον πίνακα Άσκηση Δίδονται οι ακόλουθες κυματομορφές ρολογιού και εισόδου D που είναι κοινή σε ένα D latch και ένα D flip flop. Το latch είναι θετικά ενεργό, ενώ το ff θετικά ακμοπυροδοτούμενο. Σχεδιάστε τις κυματομορφές

Διαβάστε περισσότερα

ε. Ένα κύκλωμα το οποίο παράγει τετραγωνικούς παλμούς και απαιτείται εξωτερική διέγερση ονομάζεται ασταθής πολυδονητής Λ

ε. Ένα κύκλωμα το οποίο παράγει τετραγωνικούς παλμούς και απαιτείται εξωτερική διέγερση ονομάζεται ασταθής πολυδονητής Λ ΑΡΧΗ 1ΗΣ ΣΕΛΙΔΑΣ Γ ΤΑΞΗ ΕΠΑΛ (ΟΜΑΔΑ Α ) & ΜΑΘΗΜΑΤΩΝ ΕΙΔΙΚΟΤΗΤΑΣ ΕΠΑΛ (ΟΜΑΔΑ Β ) ΣΑΒΒΑΤΟ 16/04/2016 - ΕΞΕΤΑΖΟΜΕΝΟ ΜΑΘΗΜΑ: ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ (ΣΥΣΤΗΜΑΤΑ ΨΗΦΙΑΚΩΝ ΗΛΕΚΤΡΟΝΙΚΩΝ) ΣΥΝΟΛΟ ΣΕΛΙΔΩΝ: ΠΕΝΤΕ (5) ΕΝΔΕΙΚΤΙΚΕΣ

Διαβάστε περισσότερα

Α. ΣΚΟΔΡΑΣ ΠΛΗ21 ΟΣΣ#2. 14 Δεκ 2008 ΠΑΤΡΑ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ 2008 Α. ΣΚΟΔΡΑΣ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ

Α. ΣΚΟΔΡΑΣ ΠΛΗ21 ΟΣΣ#2. 14 Δεκ 2008 ΠΑΤΡΑ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ 2008 Α. ΣΚΟΔΡΑΣ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ ΠΛΗ21 ΟΣΣ#2 14 Δεκ 2008 ΠΑΤΡΑ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ 7-segment display 7-segment display 7-segment display Αποκωδικοποιητής των 7 στοιχείων (τμημάτων) (7-segment decoder) Κύκλωμα αποκωδικοποίησης του στοιχείου

Διαβάστε περισσότερα

K24 Ψηφιακά Ηλεκτρονικά 10: Ακολουθιακά Κυκλώματα

K24 Ψηφιακά Ηλεκτρονικά 10: Ακολουθιακά Κυκλώματα K24 Ψηφιακά Ηλεκτρονικά : TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ ΤΕΧΝΟΛΟΓΙΚΟ Περιεχόμενα 2 3 Γενικά Όπως είδαμε και σε προηγούμενα μαθήματα, ένα ψηφιακό κύκλωμα ονομάζεται

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016 ΤΕΧΝΟΛΟΓΙΑ (ΙΙ) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΠΡΑΚΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Τεχνολογία και

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 7. Κυκλώματα Μνήμης

Ψηφιακά Συστήματα. 7. Κυκλώματα Μνήμης Ψηφιακά Συστήματα 7. Κυκλώματα Μνήμης Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd Thomas L., Ψηφιακά

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 10 ΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ

ΑΣΚΗΣΗ 10 ΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ ΑΣΚΗΣΗ ΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ Στόχος της άσκησης: Η διαδικασία σχεδίασης σύγχρονων ακολουθιακών κυκλωμάτων. Χαρακτηριστικό παράδειγμα σύγχρονων ακολουθιακών κυκλωμάτων είναι οι σύγχρονοι μετρητές. Τις αδυναμίες

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 Μάθημα: Τεχνολογία Αναλογικών και Ψηφιακών Ηλεκτρονικών Τεχνολογία Τεχνικών Σχολών

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ ΠΕΡΙΕΧΟΜΕΝΑ.3 ΑΣΥΓΧΡΟΝΟΣ ΔYΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.5 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.7 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ ΜΕ LATCH.

ΠΕΡΙΕΧΟΜΕΝΑ ΠΕΡΙΕΧΟΜΕΝΑ.3 ΑΣΥΓΧΡΟΝΟΣ ΔYΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.5 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.7 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ ΜΕ LATCH. ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΗΛΕΚΤΡΟΝΙΚΗΣ & ΥΠΟΛΟΓΙΣΤΩΝ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ & ΣΥΣΤΗΜΑΤΑ ΣΗΜΕΙΩΣΕΙΣ ΑΠΑΡΙΘΜΗΤΕΣ Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016 ΤΕΧΝΟΛΟΓΙΑ (ΙΙ) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΠΡΑΚΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Τεχνολογία και

Διαβάστε περισσότερα

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A].

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A]. Κανονική μορφή συνάρτησης λογικής 5. Η κανονική μορφή μιας λογικής συνάρτησης (ΛΣ) ως άθροισμα ελαχιστόρων, από τον πίνακα αληθείας προκύπτει ως εξής: ) Παράγουμε ένα [A] όρων από την κάθε σειρά για την

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007 Μάθημα : Ψηφιακά Ηλεκτρονικά Τεχνολογία ΙΙ Τεχνικών Σχολών, Θεωρητικής Κατεύθυνσης

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ Γ ΕΠΑΛ 14 / 04 / 2019

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ Γ ΕΠΑΛ 14 / 04 / 2019 Γ ΕΠΑΛ 14 / 04 / 2019 ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΘΕΜΑ 1 ο 1. Να γράψετε στο τετράδιό σας το γράμμα καθεμιάς από τις παρακάτω προτάσεις και δίπλα τη λέξη ΣΩΣΤΟ, αν είναι σωστή ή τη λέξη ΛΑΘΟΣ, αν είναι λανθασμένη.

Διαβάστε περισσότερα

Συνδυαστικά Λογικά Κυκλώματα

Συνδυαστικά Λογικά Κυκλώματα Συνδυαστικά Λογικά Κυκλώματα Ένα συνδυαστικό λογικό κύκλωμα συντίθεται από λογικές πύλες, δέχεται εισόδους και παράγει μία ή περισσότερες εξόδους. Στα συνδυαστικά λογικά κυκλώματα οι έξοδοι σε κάθε χρονική

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ᄃ Σχεδίαση Ψηφιακών Συστημάτων Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 10 ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ

ΑΣΚΗΣΗ 10 ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ ΑΣΚΗΣΗ ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ.. ΣΚΟΠΟΣ Η σχεδίαση ακολουθιακών κυκλωμάτων..2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ.2.. ΑΛΓΟΡΙΘΜΟΣ ΣΧΕΔΙΑΣΗΣ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Τα ψηφιακά κυκλώματα με μνήμη ονομάζονται ακολουθιακά.

Διαβάστε περισσότερα

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΗΛΕΚΤΡΟΝΙΚΗΣ & ΥΠΟΛΟΓΙΣΤΩΝ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ & ΣΥΣΤΗΜΑΤΑ ΣΗΜΕΙΩΣΕΙΣ ΑΠΑΡΙΘΜΗΤΕΣ Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ

Διαβάστε περισσότερα

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων Αγγελική Αραπογιάννη Σχολή Θετικών Επιστημών Τμήμα Πληροφορικής και Τηλεπικοινωνιών Η λειτουργία RESET R IN OUT Εάν το σήμα R είναι λογικό «1» στην έξοδο

Διαβάστε περισσότερα

Μοντελοποίηση Λογικών Κυκλωμάτων

Μοντελοποίηση Λογικών Κυκλωμάτων Μοντελοποίηση Λογικών Κυκλωμάτων Ενότητα 7: Η γλώσσα VHDL, Μοντελοποίηση, διαχείριση χρόνου Τμήμα Εφαρμοσμένης Πληροφορικής Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης Creative

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ 1) Οι απαριθμητές ή μετρητές (counters) είναι κυκλώματα που

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ. Να μελετηθεί η λειτουργία του ακόλουθου κυκλώματος. Ποιος ο ρόλος των εισόδων του (R και S) και πού βρίσκει εφαρμογή; R Q

ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ. Να μελετηθεί η λειτουργία του ακόλουθου κυκλώματος. Ποιος ο ρόλος των εισόδων του (R και S) και πού βρίσκει εφαρμογή; R Q ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΚΑΛΑΜΑΤΑΣ = ΠΑΡΑΡΤΗΜΑ ΣΠΑΡΤΗΣ = ΤΜΗΜΑ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ Συμπληρώνεται από τον διδάσκοντα (2.0) 2 (2.5) 3 (3.0) 4 (2.5) Σ ΕΞΕΤΑΣΗ ΤΟΥ ΜΑΘΗΜΑΤΟΣ

Διαβάστε περισσότερα

Ποσοτικές Μέθοδοι στη Διοίκηση Επιχειρήσεων ΙΙ Σύνολο- Περιεχόμενο Μαθήματος

Ποσοτικές Μέθοδοι στη Διοίκηση Επιχειρήσεων ΙΙ Σύνολο- Περιεχόμενο Μαθήματος Ποσοτικές Μέθοδοι στη Διοίκηση Επιχειρήσεων ΙΙ Σύνολο- Περιεχόμενο Μαθήματος Χιωτίδης Γεώργιος Τμήμα Λογιστικής και Χρηματοοικονομικής Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης

Διαβάστε περισσότερα

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ Τμήμα Ηλεκτρολόγων Μηχανικών Εργαστήριο Ενσύρματης Τηλεπικοινωνίας ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ Μάθημα 8: Σύγχρονα ακολουθιακά κυκλώµατα (µέρος Α ) Διδάσκων: Καθηγητής Ν. Φακωτάκης Κυκλώµατα οδηγούµενα από

Διαβάστε περισσότερα

Εισαγωγή στις Τηλεπικοινωνίες

Εισαγωγή στις Τηλεπικοινωνίες ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Εισαγωγή στις Τηλεπικοινωνίες Ενότητα 4: Κβάντιση και Κωδικοποίηση Σημάτων Όνομα Καθηγητή: Δρ. Ηρακλής Σίμος Τμήμα: Ηλεκτρονικών

Διαβάστε περισσότερα

8.1 Θεωρητική εισαγωγή

8.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 8 ΣΤΟΙΧΕΙΑ ΜΝΗΜΗΣ ΚΑΤΑΧΩΡΗΤΕΣ Σκοπός: Η µελέτη της λειτουργίας των καταχωρητών. Θα υλοποιηθεί ένας απλός στατικός καταχωρητής 4-bit µε Flip-Flop τύπου D και θα µελετηθεί

Διαβάστε περισσότερα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II 3 η Εργαστηριακή Άσκηση Σχεδίαση και Υλοποίηση μίας ALU δύο εισόδων VHDL Εργαστήριο_2 2012-2013 1 Άδειες Χρήσης Το παρόν υλικό διατίθεται με τους όρους της άδειας

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016 ΤΕΧΝΟΛΟΓΙΑ (ΙΙ) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Ψηφιακά Ηλεκτρονικά

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 6 ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ. 6.1 Εισαγωγή

ΚΕΦΑΛΑΙΟ 6 ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ. 6.1 Εισαγωγή ΚΕΦΑΛΑΙΟ 6 ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 6. Εισαγωγή Τα ψηφιακά κυκλώματα διακρίνονται σε συνδυαστικά και ακολουθιακά. Τα κυκλώματα που εξετάσαμε στα προηγούμενα κεφάλαια ήταν συνδυαστικά. Οι τιμές των

Διαβάστε περισσότερα

Συνδυαστικά Κυκλώματα

Συνδυαστικά Κυκλώματα 3 Συνδυαστικά Κυκλώματα 3.1. ΣΥΝΔΥΑΣΤΙΚΗ Λ ΟΓΙΚΗ Συνδυαστικά κυκλώματα ονομάζονται τα ψηφιακά κυκλώματα των οποίων οι τιμές της εξόδου ή των εξόδων τους διαμορφώνονται αποκλειστικά, οποιαδήποτε στιγμή,

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 7 FLIP - FLOP

ΑΣΚΗΣΗ 7 FLIP - FLOP ΑΣΚΗΣΗ 7 FLIP - FLOP Αντικείμενο της άσκησης: Η κατανόηση της δομής και λειτουργίας των Flip Flop. Flip - Flop Τα Flip Flop είναι δισταθή λογικά κυκλώματα με χαρακτηριστικά μνήμης και είναι τα πλέον βασικά

Διαβάστε περισσότερα

Η επικράτηση των ψηφιακών κυκλωμάτων 1o μέρος

Η επικράτηση των ψηφιακών κυκλωμάτων 1o μέρος Εθνικό Μετσόβιο Πολυτεχνείο Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Τομέας Επικοινωνιών, Ηλεκτρονικής και Συστημάτων Πληροφορικής Εισαγωγή στη Σχεδίαση VLSI Η επικράτηση των ψηφιακών κυκλωμάτων

Διαβάστε περισσότερα

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος 1 Συνδυαστικό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται ΜΟΝΟ από τις εισόδους του Εάν γνωρίζουμε τις τιμές των εισόδων του κυκλώματος, τότε μπορούμε να προβλέψουμε ακριβώς τις εξόδους του Ακολουθιακό κύκλωμα

Διαβάστε περισσότερα

Εισαγωγή στα ακολουθιακά στοιχεία CMOS

Εισαγωγή στα ακολουθιακά στοιχεία CMOS Εθνικό Μετσόβιο Πολυτεχνείο Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Τομέας Επικοινωνιών, Ηλεκτρονικής και Συστημάτων Πληροφορικής Εισαγωγή στη Σχεδίαση VLSI Εισαγωγή στα ακολουθιακά στοιχεία

Διαβάστε περισσότερα

Περιεχόμενα Ορισμός και λειτουργία των μηχανών Turing Θεωρία Υπολογισμού Ενότητα 20: Μηχανές Turing: Σύνθεση και Υπολογισμοί Επ. Καθ. Π. Κατσαρός Τμήμ

Περιεχόμενα Ορισμός και λειτουργία των μηχανών Turing Θεωρία Υπολογισμού Ενότητα 20: Μηχανές Turing: Σύνθεση και Υπολογισμοί Επ. Καθ. Π. Κατσαρός Τμήμ Θεωρία Υπολογισμού Ενότητα 20: Μηχανές Turing: Σύνθεση και Υπολογισμοί Τμήμα Πληροφορικής Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης Creative Commons. Για εκπαιδευτικό υλικό,

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τµήµα Εφαρµοσµένης Πληροφορικής & Πολυµέσων. Ψηφιακή Σχεδίαση. Κεφάλαιο 5: Σύγχρονη Ακολουθιακή

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τµήµα Εφαρµοσµένης Πληροφορικής & Πολυµέσων. Ψηφιακή Σχεδίαση. Κεφάλαιο 5: Σύγχρονη Ακολουθιακή ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τµήµα Εφαρµοσµένης Πληροφορικής & Πολυµέσων Ψηφιακή Σχεδίαση Κεφάλαιο 5: Σύγχρονη Ακολουθιακή Λογική Σύγχρονα Ακολουθιακά Κυκλώµατα Είσοδοι Συνδυαστικό κύκλωµα

Διαβάστε περισσότερα

Εισαγωγή στις Τηλεπικοινωνίες / Εργαστήριο

Εισαγωγή στις Τηλεπικοινωνίες / Εργαστήριο ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Εισαγωγή στις Τηλεπικοινωνίες / Εργαστήριο Εργαστηριακή Άσκηση 8: Κβάντιση και παλμοκωδική διαμόρφωση - Πειραματική μελέτη Δρ.

Διαβάστε περισσότερα

Πρόγραμμα Επικαιροποίησης Γνώσεων Αποφοίτων. Διδάσκοντες

Πρόγραμμα Επικαιροποίησης Γνώσεων Αποφοίτων. Διδάσκοντες Πρόγραμμα Επικαιροποίησης Γνώσεων Αποφοίτων ΕΝΟΤΗΤΑ Μ1 ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ Εκπαιδευτής: Γ. Π. ΠΑΤΣΗΣ, Επικ. Καθηγητής, Τμήμα Ηλεκτρονικών Μηχανικών, ΤΕΙ Αθήνας Διδάσκοντες 1. Γ. Πάτσης, Επικ. Καθηγητής,

Διαβάστε περισσότερα

8. Στοιχεία μνήμης. Οι δυο έξοδοι του FF είναι συμπληρωματικές σημειώνονται δε σαν. Όταν αναφερόμαστε στο FF εννοούμε πάντα την κανονική έξοδο Q.

8. Στοιχεία μνήμης. Οι δυο έξοδοι του FF είναι συμπληρωματικές σημειώνονται δε σαν. Όταν αναφερόμαστε στο FF εννοούμε πάντα την κανονική έξοδο Q. 8. ΣΟΙΧΕΙΑ ΜΝΗΜΗΣ 8. Εισαγωγή Στα συνδυαστικά κυκλώματα, που μελετήσαμε έως τώρα, δεν υπήρχε κάποια διαδικασία ανάδρασης (Feed Back) -δηλαδή οδήγηση της εξόδου των στοιχείων στην είσοδό τους- επομένως

Διαβάστε περισσότερα

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος 1 Συνδυαστικό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται ΜΟΝΟ από τις εισόδους του Εάν γνωρίζουμε τις τιμές των εισόδων του κυκλώματος, τότε μπορούμε να προβλέψουμε ακριβώς τις εξόδους του Ακολουθιακό κύκλωμα

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Μονάδες Μνήμης και Διατάξεις Προγραμματιζόμενης Λογικής

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Μονάδες Μνήμης και Διατάξεις Προγραμματιζόμενης Λογικής Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Μονάδες Μνήμης και Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Μονάδες Μνήμης - Προγραμματιζόμενη Λογική Μια μονάδα μνήμης είναι ένα

Διαβάστε περισσότερα

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

Γ2.1 Στοιχεία Αρχιτεκτονικής. Γ Λυκείου Κατεύθυνσης

Γ2.1 Στοιχεία Αρχιτεκτονικής. Γ Λυκείου Κατεύθυνσης Γ2.1 Στοιχεία Αρχιτεκτονικής Γ Λυκείου Κατεύθυνσης Ορισμός άλγεβρας Boole Η άλγεβρα Boole ορίζεται, ως μία αλγεβρική δομή A, όπου: (α) Το Α είναι ένα σύνολο στοιχείων που περιέχει δύο τουλάχιστον στοιχεία

Διαβάστε περισσότερα

Εισαγωγή στην Επιστήμη των Υπολογιστών

Εισαγωγή στην Επιστήμη των Υπολογιστών Εισαγωγή στην Επιστήμη των Υπολογιστών Ενότητα 2: Λογικές πράξεις, 2ΔΩ Τμήμα: Αγροτικής Οικονομίας & Ανάπτυξης Διδάσκων: Θεόδωρος Τσιλικρίδης Μαθησιακοί Στόχοι Η Ενότητα 2 διαπραγματεύεται θέματα που αφορούν

Διαβάστε περισσότερα

βαθµίδων µε D FLIP-FLOP. Μονάδες 5

βαθµίδων µε D FLIP-FLOP. Μονάδες 5 Κεφάλαιιο: 6 ο Τίίτλος Κεφαλαίίου:: Μανταλωτές & Flip Flop (Ιούνιος 2004 ΤΕΕ Ηµερήσιο) Να σχεδιάσετε καταχωρητή δεξιάς ολίσθησης τεσσάρων βαθµίδων µε D FLIP-FLOP. Μονάδες 5 (Ιούνιος 2005 ΤΕΕ Ηµερήσιο)

Διαβάστε περισσότερα

Ψηφιακή Λογική Σχεδίαση

Ψηφιακή Λογική Σχεδίαση Ψηφιακή Λογική Σχεδίαση Επιμέλεια: Νίκος Φακωτάκης, Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σημείωμα Αδειοδότησης Το παρόν υλικό διατίθεται

Διαβάστε περισσότερα

Οργάνωση Υπολογιστών ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΡΗΤΗΣ. Εργαστήριο 9: Εισαγωγή στην Ομοχειρία (Pipelining - Διοχέτευση) Μανόλης Γ.Η.

Οργάνωση Υπολογιστών ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΡΗΤΗΣ. Εργαστήριο 9: Εισαγωγή στην Ομοχειρία (Pipelining - Διοχέτευση) Μανόλης Γ.Η. ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΡΗΤΗΣ Οργάνωση Υπολογιστών Εργαστήριο 9: Εισαγωγή στην Ομοχειρία (Pipelining - Διοχέτευση) Μανόλης Γ.Η. Κατεβαίνης Τμήμα Επιστήμης Υπολογιστών Άδειες Χρήσης Το παρόν εκπαιδευτικό

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ ΑΝΟΙΚΤΑ ΑΚΑΔΗΜΑΪΚΑ ΜΑΘΗΜΑΤΑ Αρχιτεκτονική Υπολογιστών Οργάνωση επεξεργαστή Διδάσκων: Επίκουρος Καθηγητής Αριστείδης Ευθυμίου Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε

Διαβάστε περισσότερα

Σχεδίαση με Ηλεκτρονικούς Υπολογιστές

Σχεδίαση με Ηλεκτρονικούς Υπολογιστές ΑΡΙΣΤΟΤΕΛΕΙΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΟΝΙΚΗΣ ΑΝΟΙΧΤΑ ΑΚΑΔΗΜΑΙΚΑ ΜΑΘΗΜΑΤΑ Σχεδίαση με Ηλεκτρονικούς Υπολογιστές Ενότητα # 3: Εργαστήριο 3 Εισαγωγή στο πρόγραμμα αυτόματης σχεδίασης AutoCad 2007 Καθηγητής Ιωάννης

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 3

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 3 ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 3 ΑΠΛΟΠΟΙΗΣΗ και ΥΛΟΠΟΙΗΣΗ ΛΟΓΙΚΩΝ ΣΥΝΑΡΤΗΣΕΩΝ Σκοπός: Η κατανόηση της σχέσης µιας λογικής συνάρτησης µε το αντίστοιχο κύκλωµα. Η απλοποίηση λογικών συναρτήσεων

Διαβάστε περισσότερα

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM).

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM). Μνήμες Ένα από τα βασικά πλεονεκτήματα των ψηφιακών συστημάτων σε σχέση με τα αναλογικά, είναι η ευκολία αποθήκευσης μεγάλων ποσοτήτων πληροφοριών, είτε προσωρινά είτε μόνιμα Οι πληροφορίες αποθηκεύονται

Διαβάστε περισσότερα

Εισαγωγή στην Πληροφορική

Εισαγωγή στην Πληροφορική Ανοικτά Ακαδημαϊκά Μαθήματα στο ΤΕΙ Ιονίων Νήσων Εισαγωγή στην Πληροφορική Ενότητα 3: Ψηφιακή Λογική ΙI Το περιεχόμενο του μαθήματος διατίθεται με άδεια Creative Commons εκτός και αν αναφέρεται διαφορετικά

Διαβάστε περισσότερα

Ψηφιακή Σχεδίαση. Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ No:05. Δρ. Μηνάς Δασυγένης. Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών

Ψηφιακή Σχεδίαση. Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ No:05. Δρ. Μηνάς Δασυγένης. Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ No:05 Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http:

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 Μάθημα : Τεχνολογία Αναλογικών και Ψηφιακών Ηλεκτρονικών Τεχνολογία ΙΙ, Πρακτικής

Διαβάστε περισσότερα

Κεφάλαιο 5. Λογικά κυκλώματα

Κεφάλαιο 5. Λογικά κυκλώματα Κεφάλαιο 5 Λογικά κυκλώματα 5.1 Εισαγωγή Κάθε συνάρτηση boole αντιστοιχεί σε έναν και μοναδικό πίνακα αλήθειας. Εάν όμως χρησιμοποιήσουμε τα γραφικά σύμβολα των πράξεων, μπορούμε για κάθε συνάρτηση που

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ & ΗΛΕΚΤΡΟΝΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ & μ-υπολογιστων ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ Θεωρητικό Μέρος Οι σειριακές λειτουργίες είναι πιο

Διαβάστε περισσότερα

ΑΡΧΗ 1ΗΣ ΣΕΛΙ ΑΣ ΝΕΟ ΚΑΙ ΠΑΛΑΙΟ ΣΥΣΤΗΜΑ

ΑΡΧΗ 1ΗΣ ΣΕΛΙ ΑΣ ΝΕΟ ΚΑΙ ΠΑΛΑΙΟ ΣΥΣΤΗΜΑ ΑΡΧΗ 1ΗΣ ΣΕΛΙ ΑΣ ΕΠΑΝΑΛΗΠΤΙΚΕΣ ΠΑΝΕΛΛΑ ΙΚΕΣ ΕΞΕΤΑΣΕΙΣ ΗΜΕΡΗΣΙΩΝ ΕΠΑΓΓΕΛΜΑΤΙΚΩΝ ΛΥΚΕΙΩΝ ΚΑΙ HMEΡΗΣΙΩΝ ΚΑΙ ΕΣΠΕΡΙΝΩΝ ΕΠΑΓΓΕΛΜΑΤΙΚΩΝ ΛΥΚΕΙΩΝ (ΟΜΑ Α A ΚΑΙ ΜΑΘΗΜΑΤΩΝ ΕΙ ΙΚΟΤΗΤΑΣ ΟΜΑ Α Β ) ΤΡΙΤΗ 28 ΙΟΥΝΙΟΥ 2016

Διαβάστε περισσότερα

Εισαγωγή στην Πληροφορική

Εισαγωγή στην Πληροφορική Ανοικτά Ακαδημαϊκά Μαθήματα στο ΤΕΙ Ιονίων Νήσων Εισαγωγή στην Πληροφορική Ενότητα 3: Ψηφιακή Λογική ΙI Το περιεχόμενο του μαθήματος διατίθεται με άδεια Creative Commons εκτός και αν αναφέρεται διαφορετικά

Διαβάστε περισσότερα

Προγραμματισμός Ηλεκτρονικών Υπολογιστών 1

Προγραμματισμός Ηλεκτρονικών Υπολογιστών 1 Προγραμματισμός Ηλεκτρονικών Υπολογιστών 1 Ενότητα 3: Άλγεβρα Βοole και Λογικές Πράξεις Δρ. Φραγκούλης Γεώργιος Τμήμα Ηλεκτρολογίας Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΚΑΤΑΧΩΡΗΤΕΣ ΟΛΙΣΘΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΚΑΤΑΧΩΡΗΤΕΣ ΟΛΙΣΘΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΚΑΤΑΧΩΡΗΤΕΣ ΟΛΙΣΘΗΤΕΣ 1) Το παρακάτω κύκλωμα του σχήματος 1 είναι ένας καταχωρητής-ολισθητής

Διαβάστε περισσότερα

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ Τμήμα Ηλεκτρολόγων Μηχανικών Εργαστήριο Ενσύρματης Τηλεπικοινωνίας ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ Μάθημα 10: Ασύχρονα ακολουθιακά κυκλώµατα (µερος Α ) Διδάσκων: Καθηγητής Ν. Φακωτάκης Ασύγχρονα ακολουθιακά κυκλώµατα

Διαβάστε περισσότερα