Εισαγωγή στα ακολουθιακά στοιχεία CMOS

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Εισαγωγή στα ακολουθιακά στοιχεία CMOS"

Transcript

1 Εθνικό Μετσόβιο Πολυτεχνείο Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Τομέας Επικοινωνιών, Ηλεκτρονικής και Συστημάτων Πληροφορικής Εισαγωγή στη Σχεδίαση VLSI Εισαγωγή στα ακολουθιακά στοιχεία CMOS (και υπενθύμιση στοιχείων της ακολουθιακής λογικής) (Έκδοση 2013) Η. Κουκούτσης, Φ. Γιαννόπουλος, Σ. Ζάννος Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 1

2 Άδεια Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης Creative Commons. Για εκπαιδευτικό υλικό, όπως εικόνες, που υπόκειται σε άδεια χρήσης άλλου τύπου, αυτή πρέπει να αναφέρεται ρητώς.

3 Βιβλία Στο μάθημα αυτό χρησιμοποιείται εκτενώς ύλη και σχήματα από τα βιβλία: [1]: Σχεδίαση Ολοκληρωμένων Συστημάτων CMOS VLSI, Τέταρτη έκδοση, Neil Weste, David Harris, Εκδ. Παπασωτηρίου [2]: Ψηφιακή Σχεδίαση, Τέταρτη έκδοση, M. Morris Mano, Michal Ciletti, Εκδ. Παπασωτηρίου Επίσης, χρησιμοποιούνται (μεταφρασμένα ή ως έχουν) μέρη των διαφανειών για διδάσκοντες των N. Weste και D. Harris, οι οποίες συνοδεύουν το βιβλίο [1] στην αγγλική μορφή του στην σχετική ιστοσελίδα της Pearson Education Inc. Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 3

4 Παρενθετική υπενθύμιση #1: Χρήση του ρολογιού για την εκκίνηση (σκανδαλισμό) ενεργειών Level triggered ή levelsensitive κυκλώματα (ευαίσθητα σε επίπεδο λογικό ή τάσης) Positive edge triggered κυκλώματα (ευαίσθητα στη θετική ακμή παλμοσειράς) Negative edge triggered κυκλώματα (ευαίσθητα στην αρνητική ακμή παλμοσειράς) Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 4

5 Παρενθετική υπενθύμιση #2: Είσοδοι και έξοδοι: ενεργές χαμηλά/ψηλά ή ενεργές στο 0/στο 1 Γενικές έννοιες: (Τα επόμενα ισχύουν για εισόδους και εξόδους ευαίσθητες σε επίπεδο (λογικό ή τάσης). Ενεργοποίηση εισόδου: Έστω ότι μια πύλη έχει μια είσοδο Α. Λέμε ότι ενεργοποιούμε την είσοδο Α, όταν εμείς (δηλαδή το προηγούμενο κύκλωμα) θέτουμε (εξωτερικά) τέτοια τιμή στη συγκεκριμένη είσοδο, ώστε εσωτερικά να εμφανιστεί η λογική τιμή 1, η οποία θα προκαλέσει την έναρξη συγκεκριμένης λειτουργίας, π.χ. λογικής πράξης, πρόσθεσης, αφαίρεσης, μέτρησης, κλπ. Α Αν, για να ενεργοποιηθεί μια είσοδος χρειάζεται να βάλουμε εξωτερικά τιμή 1, τότε λέμε ότι η είσοδος αυτή είναι ενεργή στο 1. Αντίθετα, όταν η είσοδος ενεργοποιείται αν εξωτερικά βάλουμε τιμή 0, τότε λέγεται ότι η είσοδος είναι ενεργή στο 0 (στην περίπτωση αυτή το κύκλωμα λειτουργεί σαν να υπάρχει ένας νοητός λογικός αντιστροφέας ακριβώς μετά την είσοδο αυτή). Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 5

6 Είσοδοι και έξοδοι: ενεργές χαμηλά/ψηλά ή ενεργές στο 0/στο 1 (2) Αντίστοιχα, στο φυσικό επίπεδο, όταν η είσοδος ενεργοποιείται αν εξωτερικά βάλουμε τιμή Η, τότε λέγεται ότι η είσοδος είναι ενεργή στο Η ή, πιο συνηθισμένα, ενεργή ψηλά (active high). Αντίθετα, όταν η είσοδος ενεργοποιείται αν εξωτερικά βάλουμε τιμή L, τότε λέγεται ότι η είσοδος είναι ενεργή στο L ή, πιο συνηθισμένα, ενεργή χαμηλά (active low). Χρησιμοποιούμε δε τον εξής συμβολισμό στο λογικό επίπεδο: Είσοδος ενεργή στο 1: Ενώ χρησιμοποιούμε τον εξής συμβολισμό στο φυσικό επίπεδο: Είσοδος ενεργή στο Η ή ψηλά: Είσοδος ενεργή στο 0: Είσοδος ενεργή στο L ή xamηλά: Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 6

7 Είσοδοι και έξοδοι: ενεργές χαμηλά/ψηλά ή ενεργές στο 0/στο 1 (3) Ενεργοποίηση εξόδου: Το ίδιο το κύκλωμα θέτει εσωτερικά τη λογική τιμή 1 στη συγκεκριμένη έξοδο, με σκοπό να δηλώσει συγκεκριμένη κατάσταση (πχ. σωστό ή λάθος, ναι ή όχι, κλπ.), να δώσει τιμή σε μπιτ αριθμού, ή να εκδώσει συγκεκριμένη εντολή προς άλλο υποσύστημα (πχ. να εκτελεστεί κάποια λογική πράξη, ή αριθμητική πράξη, ή ολίσθηση, κλπ.). Όταν μια έξοδος ενεργοποιείται και εξωτερικά εμφανίζεται τιμή 1, τότε η έξοδος αυτή χαρακτηρίζεται ως ενεργή στο 1. Αντίθετα, όταν η έξοδος ενεργοποιείται και εξωτερικά εμφανίζεται τιμή 0, τότε η έξοδος αυτή χαρακτηρίζεται ως ενεργή στο 0 (στην περίπτωση αυτή το κύκλωμα λειτουργεί σαν να υπάρχει ένας νοητός λογικός αντιστροφέας ακριβώς πριν από την έξοδο αυτή). Αντίστοιχα, στο φυσικό επίπεδο, όταν μια έξοδος ενεργοποιείται και εξωτερικά εμφανίζεται τιμή Η, τότε λέγεται ότι η έξοδος είναι ενεργή στο Η ή, πιο συνηθισμένα, ενεργή ψηλά (active high). Αντίθετα, όταν η έξοδος ενεργοποιείται και εξωτερικά εμφανίζεται τιμή L, τότε λέγεται ότι η έξοδος είναι ενεργή στο L ή, πιο συνηθισμένα, ενεργή χαμηλά (active low). Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 7

8 Είσοδοι και έξοδοι: ενεργές χαμηλά/ψηλά ή ενεργές στο 0/στο 1 (συνέχεια) Χρησιμοποιούμε δε τον εξής συμβολισμό στο λογικό επίπεδο: Έξοδος ενεργή στο 1: Ενώ χρησιμοποιούμε τον εξής συμβολισμό στο φυσικό επίπεδο: Έξοδος ενεργή στο Η ή ψηλά: Έξοδος ενεργή στο 0: Έξοδος ενεργή στο L ή xamηλά: Για θετική λογική αντιστοίχιση (L 0 και H 1), οι όροι στο 0 και χαμηλά, καθώς και στο 1 και ψηλά είναι ισοδύναμοι. Η χρήση των όρων είσοδος ή έξοδος ενεργή χαμηλά/ψηλά ή ενεργή στο 0/στο 1 θα βοηθήσει ιδιαίτερα στην μελέτη κυκλωμάτων με σύνθετη λειτουργία. Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 8

9 Στοιχειώδες, μη ελέγξιμο κύτταρο μνήμης ή Ένα στοιχειώδες (στατικό), μη ελέγξιμο κύτταρο μνήμης, που έχει δύο δυνατές, αλλά τυχαίες, σταθερές καταστάσεις εξόδου: 0 ή 1 Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 9

10 Ελέγξιμα στοιχειώδη κύτταρα μνήμης: NOR-Μανδαλωτής (χωρίς επίτρεψη) S R S R NOR-Μανδαλωτής (NOR-latch): SR Μανδαλωτής κατασκευασμένος με πύλες NOR (τεχνολογίας CMOS) Σύμβολο NOR-μανδαλωτή (είσοδοι ενεργοί στο 1, ή στο H για θετική λογική αντιστοίχηση) Κυκλματα LogiSim Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 10

11 Ελέγξιμα στοιχειώδη κύτταρα μνήμης: Λειτουργία NOR-Μανδαλωτή (χωρίς επίτρεψη) NOR-μανδαλωτής: (α) Σύμβολο (β) Λογικό διάγραμμα (γ) Πίνακας Λειτουργίας Ας θεωρήσουμε ότι χρησιμοποιούμε θετική λογική αντιστοίχηση (L 0, H 1). 1. Οι είσοδοι ονομάζονται S και R από το Set (που σημαίνει θέτω στο 1) και το Reset (που σημαίνει μηδενίζω, θέτω στο 0) και είναι ενεργές στο 1 (ή ψηλά). 2. Σε κατάσταση ηρεμίας, οι είσοδοι κρατούνται απενεργοποιημένες (S=R=0). Οι είσοδοι αυτές αφήνουν το περιχεόμενο του μανδαλωτή αναλλοίωτο. 3. Για να πάει ο μανδαλωτής υποχρεωτικά στο 1, η είσοδος R κρατείται απενεργοποιημένη (στο 0) και στην S δίνεται βραχύς θετικός παλμός (η S πηγαίνει για λίγο στο 1 και επιστέφει στο 0). 4. Για να πάει ο μανδαλωτής υποχρεωτικά στο 0, η είσοδος S κρατείται απενεργοποιημένη (στο 0) και στην R δίνεται βραχύς θετικός παλμός (η R πηγαίνει για λίγο στο 1 και επιστέφει στο 0). 5. Ο συνδυασμός εισόδων S=R=1 απαγορεύεται. Κυκλώματα LogiSim Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 11

12 Ελέγξιμα στοιχειώδη κύτταρα μνήμης: NAND-Μανδαλωτής (χωρίς επίτρεψη) S Q R Q S NAND-Μανδαλωτής (NAND latch): Μανδαλωτής SR κατασκευασμένος με πύλες NAND (τεχνολογίας CMOS) R Σύμβολο NAND-μανδαλωτή (είσοδοι ενεργοί στο 0, ή στο L για θετική λογική αντιστοίχηση) Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 12

13 Ελέγξιμα στοιχειώδη κύτταρα μνήμης: Λειτουργία NAND-Μανδαλωτή (χωρίς επίτρεψη) NAND-μανδαλωτής (α) Σύμβολο (β) Λογικό διάγραμμα (γ) Πίνακας Λειτουργίας Ας θεωρήσουμε ότι χρησιμοποιούμε θετική λογική αντιστοίχηση (L 0, H 1). 1. Οι είσοδοι ονομάζονται πάλι S και R από το Set (που σημαίνει θέτω στο 1) και το Reset (που σημαίνει μηδενίζω, θέτω στο 0), αλλά είναι ενεργές στο 0 (ή χαμηλά). 2. Σε κατάσταση ηρεμίας, οι είσοδοι κρατούνται απενεργοποιημένες (S=R=1). Οι είσοδοι αυτές αφήνουν το περιχεόμενο του μανδαλωτή αναλλοίωτο. 3. Για να πάει ο μανδαλωτής υποχρεωτικά στο 1, η είσοδος R κρατείται απενεργοποιημένη (στο 1) και στην S δίνεται βραχύς αρνητικός παλμός (η S πηγαίνει για λίγο στο 0 και επιστέφει στο 1). 4. Για να πάει ο μανδαλωτής υποχρεωτικά στο 0, η είσοδος S κρατείται απενεργοποιημένη (στο 1) και στην R δίνεται βραχύς αρνητικός παλμός (η R πηγαίνει για λίγο στο 0 και επιστέφει στο 1). 5. Ο συνδυασμός εισόδων S=R=0 απαγορεύεται. Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 13

14 Ελέγξιμα στοιχειώδη κύτταρα μνήμης: Μανδαλωτές με επίτρεψη SR μανδαλωτής με επίτρεψη, κατασκευασμένος με δισταθές στοιχείο τύπου NAND και ο πίνακας λειτουργίας του En Και στις δύο περιπτώσεις οι είσοδοι είναι ενεργές στο 1 (ή ψηλά, για θετική λογική αντιστοίχιση). Ο SR-μανδαλωτής με επίτρεψη λειτουργεί όπως ο NOR-μανδαλωτής (επειδή έχει ενεργές στο 1 εισόδους) όταν η είσοδος En είναι ενεργή (στο 1, ή ψηλά, για θετική λογική αντιστοίχιση). Όταν η είσοδος En είναι απενεργοποιημένη (στο 0, ή χαμηλά, για θετική λογική αντιστοίχιση) το περιεχόμενο του μανδαλωτή παραμένει αναλλοίωτο. SR μανδαλωτής με επίτρεψη, κατασκευασμένος με δισταθές στοιχείο τύπου NOR (ίδιος πίνακας αληθείας) Κυκλώματα LogiSim Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 14

15 Επανάληψη και Μνημονοτεχνικός κανόνας: Τρόπος λειτουργίας SR-μανδαλωτών (με επίτρεψη ή χωρίς) S από το Set (θέση, Q=1) R από το Reset (μηδενισμός ή επαναφορά, Q=0). Και για τους δύο Μανδαλωτές SR (με επίτρεψη ή χωρίς) ισχύουν τα εξής: 1. Ο μανδαλωτής τίθεται (Q=1) όταν ενεργοποιείται η S (set), ενώ η R παραμένει μη ενεργή, 2. μηδενίζεται (Q=0) όταν ενεργοποιείται η R (reset), ενώ η S παραμένει μη ενεργή και 3. παραμένει αναλλοίωτος (Q n+1 =Q n ) όταν τόσο η S, όσο και η R παραμείνουν μη ενεργές. 4. Απαγορεύεται η ταυτόχρονη ενεργοποίηση των S και R. Οπότε: S R NOR-latch: Είσοδοι ενεργές στο 1 S R NAND-latch: Είσοδοι ενεργές στο 0 NOR-latch: S=1, R=0 => θέση (Q=1) S=0, R=1 => μηδενισμός(q=0) S=0, R=0 => αναλλοίωτος (Q n+1 =Q n ) Απαγορεύεται S=1, R=1 NAND-latch: S=0, R=1 => θέση (Q=1) S=1, R=0 => μηδενισμός(q=0) S=1, R=1 => αναλλοίωτος (Q n+1 =Q n ) Απαγορεύεται S=0, R=0 Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 15

16 Διαφανής Μανδαλωτής ή Μανδαλωτής τύπου D με επίτρεψη (α) Σύμβολο (β) Λογικό διάγραμμα (γ) Πίνακας Λειτουργίας Ας θεωρήσουμε ότι χρησιμοποιούμε θετική λογική αντιστοίχηση (L 0, H 1). 1. Οι είσοδοι ονομάζονται D και En από το Datum (που σημαίνει δεδομένο) και το Enable (που σημαίνει επιτρέπω) και, συνήθως, είναι ενεργές στο 1 (ή ψηλά). 2. Όταν En=0, το περιχεόμενο του μανδαλωτή παραμένει αναλλοίωτο, ανεξαρτήτως της τιμής του D. 3. Όταν En=1, η έξοδος Q ακολουθεί την είσοδο D, δηλαδή η έξοδος Q παίρνει πάντα ότι τιμή έχει η είσοδος D (και επομένως ακολουθεί την D στις αλλαγές τιμών, εξ ου και η ονομασία διαφανής μανδαλωτής). Κυκλώματα LogiSim Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 16

17 Διαφανής Μανδαλωτής ή Μανδαλωτής τύπου D με επίτρεψη τεχνολογίας CMOS Σε επίπεδο πυλών και διακοπτών. (CLK η επίτρεψη, που μερικές φορές χρησιμοποιείται σαν ρολόι - από το βιβλίο [1].) Σε επίπεδο τρανζίστορ Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 17

18 Χρήσεις Μανδαλωτών Ιδιαίτερα σημαντική χρήση: Στη σχεδίαση ασύγχρονων ακολουθιακών κυκλωμάτων (πχ. φλιπ-φλοπ). Χρησιμοποιούνται ευρέως ως απλούστερα στοιχεία προσωρινής αποθήκευσης πληροφορίας. Πχ. σε συστήματα απόπλεξης διαύλων διευθύνσεων/δεδομένων (demultiplexing of address/data buses). Γενικά, σε περιπτώσεις που χρειαζόμαστε μείωση του αριθμού των τρανζίστορ ή/και μεγαλύτερη ταχύτητα (σε σχέση με τα φλιπ-φλοπ, τα οποία εισάγονται στα επόμενα). Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 18

19 Παράδειγμα απόπλεξης δεδομένων-διευθύνσεων (μικροελεγκτής Analog Devices ADuC7026, 16-bit Memory System) Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 19

20 Παράδειγμα απόπλεξης δεδομένων-διευθύνσεων (2) Σύστημα Μνήμης 16-bit του ADuC7026 της Analog Devices: Βασικός Κύκλος Ανάγνωσης Μνήμης Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 20

21 Παράδειγμα απόπλεξης δεδομένων-διευθύνσεων(3) Σύστημα Μνήμης 16-bit του ADuC7026 της Analog Devices: Βασικός Κύκλος Εγγραφής Μνήμης Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 21

22 Φλιπ-φλοπ τύπου D αρνητικά ακμοπυροδότητο αφέντη-σκλάβου Φλιπ-φλοπ τύπου D, αρνητικά ακμοπυροδότητο, τύπου αφέντη-σκλάβου: Αλλάζει κατάσταση ΜΟΝΟΝ στη αρνητική ακμή του παλμού του ρολογιού. Όταν το ρολόι Clk είναι 1, ο πρώτος μανδαλωτής (αφέντης) γίνεται διαφανής και η έξοδός του Y ακολουθεί την είσοδο D, ενώ ο δεύτερος μανδαλωτής (σκλάβος) είναι αδιαφανής και η έξοδος Q είναι αποκομμένη από το Y και την είσοδο D. Όταν το Clk γίνει 0, ο πρώτος μανδαλωτής γίνεται αδιαφανής και απομονώνει το Y από την είσοδο D, ενώ ο δεύτερος μανδαλωτής γίνεται διαφανής και η τιμή του Y (δηλαδή η τελευταία τιμή που πήρε το D πριν ο πρώτος μανδαλωτής γίνει αδιαφανής) περνάει στην έξοδο Q. Ουσιαστικά, όταν εμφανιστεί αρνητικό μέτωπο στο Clk, στη έξοδο περνάει η τιμή που πήρε το D ακριβώς πριν από το αρνητικό αυτό μέτωπο του Clk, εξ ου και η αρνητικά ακμοπυροδότητη λειτουργία. Κυκλώματα LogiSim Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 22

23 Υπενθύμιση: Σύμβολα Λειτουργία Φλιπ-φλοπ τύπου D Χαρακτηριστικός πίνακας D FF Χαρακτηριστική εξίσωση D FF: Q(t+1) = D Το ισοσκελές τριγωνάκι στο εσωτερική πλευρά της εισόδου του ρολογιού (Clk) ονομάζεται ενδείκτης δυναμικής εισόδου και σημαίνει ότι η είσοδος αυτή είναι ευαίσθητη σε μέτωπο παλμού, θετικό αν δεν υπάρχει κυκλάκι στην εξωτερική πλευρά της εισόδου, αρνητικό αν υπάρχει κυκλάκι στην εξωτερική πλευρά της εισόδου. Χαρακτηριστική εξίσωση του D FF: Q(t+1) = D (που σημαίνει ότι η έξοδος του FF μετά το ενεργό μέτωπο του παλμού θα πάρει την τιμή που η είσοδος D έχει πριν από τον παλμό του ρολογιού). Η χαρακτηριστική εξίσωση προκύπτει πολύ εύκολα από τον χαρακτηριστικό πίνακα και δείχνει τον τρόπο λογικής εξάρτησης της επόμενης κατάστασης Q(t+1) από την είσοδο D. Αξίζει να σημειωθεί ότι η επόμενη κατάσταση Q(t+1) είναι ανεξάρτητη της παρούσας κατάστασης Q(t). Παρ όλα αυτά, το D FF είναι όντως στοιχείο μνήμης, λόγω της καθυστέρησης της μετάβασης της τιμής του D στο Q(t+1) κατά μία περίοδο του ρολογιού. Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 23

24 Υπενθύμιση: Φλιπ-φλοπ τύπου D θετικά ακμοπυροδότητο 2 1 Οι πύλες 1 και 2 καθηλώνουν την τιμή των S και R στο 1, όσο το ρολόι Clk είναι 0. Ο τρόπος λειτουργίας του κυκλώματος βρίσκεται εύκολα με ανάλυση. Για υποβοήθηση στην ανάλυση δίνεται κύκλωμα προσομοίωσης στο LogiSim. Κυκλώματα LogiSim Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 24

25 Φλιπ-φλοπ D (αφέντη-σκλάβου) τεχνολογίας CMOS Στο διπλανό σχήμα (από το βιβλίο [1]) φαίνεται η δομή (a, b) και λειτουργία (c, d, f) ενός θετικά ακμοπυροδότητου FF τύπου D.Το σημείο QMb είναι το σημείο εσωτερικής αποθήκευσης του bit μνήμης που μπαίνει στο FF στο θετικό μέτωπο του παλμού του ρολογιού (και αποθηκεύεται αντεστραμμένο). Η τιμή του QMb επηρεάζει τον μανδαλωτή-σκλάβο στον επόμενο θετικό μέτωπο του παλμού του ρολογιού. Στo μέρος (d) φαίνεται το ίδιο FF με επί πλέον αντιστροφείς εισόδου και εξόδου, oi οποίοι απομονώνουν το FF των (a, b) και τα οποία καταργούν συγκεκριμένα μειονεκτήματα του FF αυτού, όπως θα δούμε αργότερα. Στο μέρος (g) φαίνεται ένα ακόμη από τα σύμβολα του D FF. Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 25

26 Υπενθύμιση: Θετικά ακμοπυροδότητο φλιπ-φλοπ τύπου SR αφέντη-σκλάβου Αφέντης (μανδαλωτής SR) Ενδιάμεσος κόμβος προσωρινής διατήρησης λογικής τιμής Σκλάβος (μανδαλωτής SR) Για την λειτουργία του ισχύει ο μνημοτεχνικός κανόνας που διατυπώσαμε για τους μανδαλωτές SR, με μόνη διαφορά ότι, τελικά, γίνεται μόνον μία αλλαγή της κατάστασης του φλιπ-φλοπ ανά περίοδο ρολογιού, ακριβώς στο θετικό μέτωπο του παλμού του ρολογιού. Αδυναμία: απαγορεύεται η είσοδος S=R=1. Κυκλώματα LogiSim Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 26

27 Υπενθύμιση: Φλιπ-φλοπ τύπου JK: Χωρίς την αδυναμία του SR φλιπ-φλοπ Θετικά ακμοπυροδότητο JK FF: Η είσοδος J αντιστοιχεί λειτουργικά στην S(et) του SR FF (φλιπφλοπ): προκαλεί τη μετάβαση του FF στο Q(t+1)=1. Η είσοδος K αντιστοιχεί λειτουργικά στην R(eset) του SR FF: προκαλεί τη μετάβαση του FF στο Q(t+1)=0. Οι τιμές εισόδου J=K=1 επιτρέπονται και απλά προξενούν αντιστροφή της προηγούμενης κατάστασης του FF: Q(t+1)= Q (t) (επομένως αίρεται η αδυναμία του SR FF) Χαρακτηριστική εξίσωση JK FF: Q(t+1) = J Q (t) + K Q(t) Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 27

28 Υπενθύμιση: Περισσότερα για τον χαρακτηριστικό πίνακα του JK FF Ο χαρακτηριστικός πίνακας (ή πίνακας λειτουργίας) του JK FF γράφεται και όπως φαίνεται στην Μορφή 2. Μορφή 1 του χαρακτηριστικού πίνακα του JK FF. Παρούσα Κατάσταση Παρούσες είσοδοι Επόμενη κατάσταση Q(t) J K Q(t+1) Μορφή 2 του χαρακτηριστικού πίνακα του JK FF. Από τη Μορφή 2 του χαρακτηριστικού πίνακα του JK FF προκύπτει εύκολα η η χαρακτηριστική εξίσωση του JK FF, με χάρτη Καρνώ και απλοποίηση. (Προσοχή: ο χάρτης αυτός δεν περιγράφει λογική συνάρτηση, αλλά την λογική εξάρτηση του Q(t+1) από τα Q(t), J και Κ) J, K Q(t) Q(t+1) = J Q (t) + K Q(t) J Q (t) K Q(t) Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 28

29 Υπενθύμιση: Φλιπ-φλοπ τύπου Τ (toggle) Όταν ενεργοποιείται η είσοδος (στην περίπτωση του σχήματος για Τ=1), η έξοδος απλά αντιστρέφεται στο επόμενο ενεργό μέτωπο του παλμού του ρολογιού (στην περίπτωσή μας το θετικό), δηλαδή ισχύει: Q(t+1) = [Q(t)], όπου t παλμός του ρολογιού. Εάν η είσοδος είναι απενεργοποιημένη κατά την έλευση του ενεργού μετώπου παλμού του ρολογιού, το φλιπ-φλιπ διατηρεί την προηγούμενη τιμή του, δηλαδή Q(t+1) = Q(t). Χαρακτηριστική εξίσωση T FF: Q(t+1) = XOR[ T, Q(t) ] ή Q(t+1) = T Q(t) + T Q (t) Η χαρακτηριστική εξίσωση του T FF προκύπτει απλούστατα από την μορφή (b) του λογικού διαγράμματος και την χαρακτηριστική εξίσωση του D FF: Q(t+1) = D, D = XOR[T, Q(t)], επομένως Q(t+1) = XOR[T, Q(t)]. Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 29

30 Υπενθύμιση: Pipeline (Μονοφασικό ρολόι, ακμοπυροδότητα flip-flops.) Και στα δύο κυκλώματα: Το ρολόι διακόπτει τη ροή του σήματος, επιτρέποντας μία αλλαγή ανά κατάσταση. Στη ροή του σήματος μέσω των φλιπ-φλοπ, ανάμεσα σε ένα φλιπφλοπ που χρησιμοποιείται για την παρούσα κατάσταση και ένα φλιπ-φλοπ που χρησιμοποιείται για την επόμενη κατάσταση παρεμβάλλεται κύκλωμα συνδυαστικής λογικής Κατ ουσίαν, τα κυκλώματα αυτά λειτουργούν με τον ίδιο τρόπο και μπορούν να αναλυθούν με τον ίδιο τρόπο. Κλασσικό ακολουθιακό κύκλωμα τύπου Mealy (FSM, μηχανή πεπερασμένων καταστάσεων) Ένα ή περισσότερα flip-flops Σύστημα διοχέτευσης (pipeline) Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 30

31 Υπενθύμιση: Κυκλώματα Mealy Το γενικό μοντέλο των μηχανών πεπερασμένων καταστάσεων (FSM, finite state machines) τύπου Mealy είναι της ακόλουθης μορφής: Οι εξισώσεις κατάστασης των κυκλωμάτων Mealy είναι της μορφής: M n+1 = F 1 (X n, M n ) Y n = F 2 (X n, M n ) όπου M n και M n+1 η παρούσα και επόμενη κατάσταση των FF, X n η παρούσα είσοδος και Y n η παρούσα έξοδος (διανυσματικά μεγέθη). Στο διάγραμμα καταστάσεων ενός κυκλώματος Mealy, η έξοδος ή οι έξοδοι του κυκλώματος σημειώνονται πάνω στα βέλη μετάβασης, μετά από την είσοδο ή τις εισόδους, όπως φαίνεται στο διπλανό παράδειγμα διάγραμμα. Είναι δυνατόν δε να υπάρχει διαφορετική έξοδος για κάθε μετάβαση, δηλαδή για κάθε δυνατό συνδυασμό τιμών εισόδων και καταστάσεων. 0/0 1/0 0/ /1 0/ /0 1/0 1/0 Παράδειγμα διαγράμματος καταστάσεων κυκλώματος τύπου Mealy Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 31

32 Υπενθύμιση: Κυκλώματα Moore Μια δεύτερη μεγάλη κατηγορία μηχανών πεπερασμένων καταστάσεων είναι τα κυκλώματα Moore. Το γενικό μοντέλο των κυκλωμάτων Moore είναι της ακόλουθης μορφής (next state είναι η επόμενη κατάσταση, ενώ state register είναι τα στοιχεία μνήμης): Η διαφορά των κυκλωμάτων Moore από τα κυκλώματα Mealy είναι ότι στα κυκλώματα Moore η παρούσα έξοδος εξαρτάται μόνον από την παρούσα κατάσταση (όχι από την είσοδο). Επομένως, οι εξισώσεις κατάστασης των κυκλωμάτων Moore είναι της μορφής: M n+1 = F 1 (X n, M n ) Y n = F 2 ( M n ) Ένα παράδειγμα διαγράμματος κυκλώματος τύπου Moore φαίνεται δίπλα. Στο διάγραμμα αυτό, οι τιμές των εξόδων γράφονται μέσα στα κουτιά καταστάσεων και όχι πάνω στα βέλη, για να τονιστεί η εξάρτηση των τιμών των εξόδων από τις καταστάσεις του ακολουθιακού κυκλώματος (δηλαδή το περιεχόμενο των στοιχείων μνήμης) μόνον. Οι τιμές των εισόδων παραμένουν στα βέλη Παράδειγμα διαγράμματος καταστάσεων κυκλώματος τύπου Moore 1 Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 32

33 Υπενθύμιση: Διάγραμμα Καταστάσεων Τα διαγράμματα καταστάσεων είναι ένας τρόπος περιγραφής της λειτουργίας (ή συμπεριφοράς ) των ακολουθιακών κυκλωμάτων κατάλληλος για το ανθρώπινο μυαλό. Μετά την κωδικοποίηση, στους κύκλους που συμβολίζουν τις καταστάσεις στα διαγράμματα καταστάσεων βάζουμε την κατάσταση του ακολουθιακού κυκλώματος (το περιεχόμενο των στοιχείων μνήμης). Γενικά, όμως, κατά τη διαδικασία κατασκευής του διαγράμματος καταστάσεων, για να μπορεί το ανθρώπινο μυαλό να καταλαβαίνει καλύτερα τα διαγράμματα καταστάσεων, βάζουμε ένα όνομα κατάστασης. Το όνομα είναι συνήθως μια σειρά από αλφαριθμητικούς χαρακτήρες που, για το μυαλό μας, σημαίνει κάτι συγκεκριμένο. Δείτε το επόμενο διάγραμμα καταστάσεων: Το διάγραμμα αυτό περιγράφει ένα ακολουθιακό κύκλωμα που είναι ένας ανιχνευτής ακολουθίας δυαδικών τιμών. Ας υποθέσουμε ότι σε μια γραμμή εισόδου x έρχονται δυαδικές τιμές σε συγχρονισμό με το ρολόι (θα εξηγήσουμε αργότερα τι σημαίνει αυτό προς το παρόν ας υποθέσουμε απλά ότι σε κάθε παλμό του ρολογιού του ακολουθιακού κυκλώματος υπάρχει διαθέσιμη μια νέα τιμή της εισόδου x). Το κύκλωμα ανιχνεύει τέσσερα διαδοχικά 1. Ξεκινάει από μια αρχική κατάσταση ΑΚ και βρίσκει πότε εμφανίζονται στην είσοδο x τέσσερα διαδοχικά 1, οπότε (και μόνον τότε) δίνει σε μια έξοδο y τιμή 1. Τα απλά ονόματα καταστάσεων που χρησιμοποιήσαμε είναι δηλωτικά των καταστάσεων. Έτσι, πχ., 11 σημαίνει Έχει έρθει 11, κλπ. Επιπλέον δε, μέχρι τώρα, στη Λογική Σχεδίαση, βάζαμε τις εξόδους στη ρίζα των βελών, δεδομένου ότι θεωρούσαμε ότι τα κυκλώματα ήτα της γενικότερης δυνατής μορφής, δηλαδή τύπου Mealy. Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 33

34 Υπενθύμιση: Διάγραμμα Καταστάσεων (2) Είναι πολύ σημαντική η χρήση ικανοποιητικά επεξηγηματικών ονομάτων καταστάσεων για την εύκολη κατανόηση του διαγράμματος καταστάσεων και την αποτελεσματική επεξεργασία του από το ανθρώπινο μυαλό. Στο προηγούμενο παράδειγμα, τα ονόματα καταστάσεων είναι λακωνικά, αλλά πλήρως δηλωτικά της σημασίας των καταστάσεων. Ένα πιο πολύπλοκο παράδειγμα θα τονίσει την σημασία χρήσης κατάλληλων ονομάτων. Το διάγραμμα αυτό περιγράφει ένα ακολουθιακό κύκλωμα που είναι ανιχνευτής είτε της ακολουθίας δυαδικών τιμών 010, είτε της ακολουθίες 1011, ανάμεσα στις τιμές που έρχονται σε μια γραμμή εισόδου x σε συγχρονισμό με το ρολόι Το κύκλωμα πρέπει να ξεκινάει από μια αρχική κατάσταση ΑΚ και, όταν ανιχνεύσει είτε τη μία, είτε την άλλη ακολουθία πρέπει να δίνει σε μια έξοδο y τιμή 1, αλλοιώς να δίνει στη έξοδο αυτή τιμή 0. Η εύρεση της λειτουργίας του ακολουθιακού κυκλώματος, την οποία περιγράφει το διπλανό διάγραμμα καταστάσεων, είναι μα πολύ καλή άσκηση στην κατανόηση των διγαμμάτων καταστάσεων. Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 34

35 Υπενθύμιση: Διάγραμμα Καταστάσεων (3) Πολλές φορές, η πληροφορία για τις εισόδους και τις εξόδους στα διαγράμματα καταστάσεων σημειώνεται με πιο γενικούς τρόπους, που είναι πιο εύκολα κατανοητοί από το ανθρώπινο μυαλό. Ένας από τους τρόπους αυτούς φαίνεται στο διπλανό διάγραμμα καταστάσεων. Στο διάγραμμα αυτό υπάρχουν τρείς είσοδοι, οι Π1, Π2, Π3 και μια είσοδος, η Out. Οι είσοδοι παράγονται από πιεστικούς διακόπτες: Όταν ένας χρήστης πιέζει κάποιον διακόπτη, καθ όλο το χρονικό διάστημα, κατά το οποίο ο διακόπτης είναι πιεσμένος, η λογική έξοδος του υποσυστήματος του διακόπτη (άρα η αντίστοιχη είσοδός) μας παίρνει τιμή1. Αλλοιώς (δηλαδή όταν ο διακόπτης δεν πιέζεται), παίρνει τιμή 0. Το σύστημα ανιχνεύει τη διαδοχική πίεση των διακοπτών Π1, Π2 και Π3, αυστηρά κατά την σειρά αυτή και μόνον αυτή. Εάν πράγματι το σύστημα ανιχνεύσει πίεση διακοπτών κατά την σειρά αυτή, δίνει στην έξοδο Out τιμή 1, ενώ σε όλες τις άλλες περιπτώσεις τιμή 0. Υποτίθεται ότι, αρχικά, το σύστημα είναι σε μια αρχική κατάσταση ΑΚ, στην οποία επιστρέφει μετά από επιτυχημένη ανίχνευση της επιθυμητής ακολουθίας, καθώς και μετά από ανίχνευση οποιασδήποτε μη επιθυμητής ακολουθίας. Παρατηρήστε τα εξής: Στα βέλη των μεταβάσεων σημειώνονται όχι μόνον οι τιμές των bits των εισόδων και της εξόδου, αλλά οι πλήρεις εξισώσεις που καθορίζουν τις εισόδους και την έξοδο (πχ., Π1=1, κλπ.). Επίσης, γράφεται σε βέλος μετάβασης η εξίσωση μιας εισόδου ή εξόδου, μόνον όταν η αντίστοιχη είσοδος ή έξοδος ενεργοποιείται (στην περίπτωσή μας όταν παίρνει τιμή 1). Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 35

36 Διάγραμμα Καταστάσεων: Έξοδοι Mealy και Moore Ο προηγούμενο ςτρόπος γραφής του διαγράμματος καταστάσεων είναι αρκετά συνηθισμένος (τα αντίστοιχα περιγραφέντα κυκλώματα ονομάζονται αυτόματα πεπερασμένων καταστάσεων (finite state automata ή finite state machines, ή απλά FSM), αλλ όχι ο μόνος. Ένας ακόμη καλύτερος τρόπος περιγραφής είναι τα διαγράμματα ASM και ASMD, με τα οποία θα ασχοληθούμε αργότερα. Στο διπλανό σχήμα, ωστόσο, φαίνεται και κάτι άλλο: Σε κάθε ακολουθιακό κύκλωμα (ή FSM, που απλά είναι άλλο όνομα για την ίδια οντότητα) μπορούμε να έχουμε εξόδους Mealy και εξόδους Moore. Το μόνο μέρος του FSM που διαφέρει είναι οι έξοδοι, όχι το υπόλοιπο FSM. Στην σχεδίαση: Για την κατασκευή των εξόδων Mealy απαιτείται ένα συνδυαστικό υποκύκλωμα με εισόδους (κάποιες από) τις παρούσες καταστάσεις και τις εισόδους του συνολικού κυκλώματος, ενώ Για την κατασκευή των εξόδων Moore απαιτείται ένα άλλο συνδυαστικό υποκύκλωμα με εισόδους (κάποιες από) τις παρούσες καταστάσεις μόνον. Στο μέλλον θα αποδίδουμε πλέον τον χαρακτηρισμό Mealy ή Moore στις εξόδους ενός FSM ή ακολουθιακού κυκλώματος και όχι στο συνολικό κύκλωμα. Όπως ήδη τονίσαμε, έξοδοι Mealy και Moore μπορούν να συνυπάρχουν στο ίδιο κύκλωμα. Στο συγκεκριμένο παράδειγμα του FSM του σχήματος, η έξοδος Out είναι τύπου Mealy, ενώ η Out1 είναι τύπου Moore. Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 36

37 Επιλεγμένα παραδείγματα ακολουθιακών στοιχείων και κυκλωμάτων Διαφορά διαφανούς μανδαλωτή και ακμοπυροδότητου φλιπ-φλοπ Παράδειγμα διαδοχικής σύνδεσης ακολουθιακών στοιχείων Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 37

38 Επιλεγμένα παραδείγματα (2) (Από το βιβλίο [1]) Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 38

39 Κατασκευή ακολουθιακών στοιχείων Δυναμικοί μανδαλωτές Δυναμικός μανδαλωτής, κατασκευασμένος με ένα τρανζίστορ διέλευσης μόνον (χρησιμοποιήθηκε το 1970). Το Q είναι μαλακός κόμβος. Πλεονεκτήματα: πολύ μικρό, φορτώνει ελάχιστα το ρολόι. Μειονεκτήματα: ρίχνει την τάση εξόδου κατά V t (την τάση κατωφλίου του nmos), δεν αποκαθιστά τη τάση εξόδου (nonrestoring, τα στατικά κυκλώματα αποκαθιστούν την τάση εξόδου), έχει ευαισθησία στον θόρυβο, μπορεί να προκαλέσει ανάποδη οδήγηση, έχει πρόβλημα διαρροών, η είσοδός του είναι περιοχή διάχυσης τρανζίστορ και όχι πύλη τρανζίστορ (πρόβλημα αποκατάστασης εισόδου) D Q Πύλη μετάδοσης: Το Q είναι πάλι μαλακός κόμβος. Πλεονεκτήματα: πολύ μικρή και αυτή, φορτώνει πολύ λίγο το ρολόι, δεν προκαλεί πτώση τάσης εξόδου κατά V t. Μειονεκτήματα: Τα ίδια με αυτά του μανδαλωτή με ένα τρανζίστορ διέλευσης. D Q Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 39

40 Κατασκευή ακολουθιακών στοιχείων Δυναμικοί μανδαλωτές: Αναστρέφων Απομονωτής Δύο μορφές του αναστρέφοντος απομονωτή. Το Q είναι σκληρός κόμβος στην πρώτη μορφή, μαλακός κόμβος στη δεύτερη. Πλεονεκτήματα: Αποκαθιστούν την τάση εξόδου, δεν έχουν πρόβλημα ανάποδης οδήγησης. Μειονεκτήματα: Αντιστρέφουν την τάση. Επί πλέον μειονεκτήματα: Το πρώτο έχει περιοχή διάχυσης και όχι πύλη τρανζίστορ στην είσοδό του, το δεύτερο έχει μαλακό κόμβο στην έξοδό του (έχει ευαισθησία στον θόρυβο, έχει πρόβλημα διαρροών). Και οι δύο μανδαλωτές είναι δυναμικοί, αλλά ο πρώτος καταργεί με την χρήση ενός αντιστροφέα τα προβλήματα του μαλακού κόμβου στη έξοδο, ενώ D D X Q Q ο δεύτερος καταργεί το πρόβλημα που δημιουργείται από το ότι η είσοδος είναι περιοχή διάχυσης. Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 40

41 Κατασκευή ακολουθιακών στοιχείων Δυναμικοί μανδαλωτές: μανδαλωτής C 2 MOS Ο δυναμικός μανδαλωτής της προηγούμενης διαφάνειας μπορεί να κατασκευαστεί ως ένα τρισταθές στοιχείο με τον τρόπο που φαίνεται στο (a) μέρος του σχήματος, οπότε ονομάζεται Clocked CMOS ή C 2 MOS. Δεν κατασκευάζουμε τον μανδαλωτή αυτόν όπως φαίνεται στο μέρος (b), για να μην το κάνουμε ευαίσθητο σε θόρυβο καταμερισμού φορτίου. Ο μανδαλωτής C 2 MOS είναι λίγο πιο οικονομικός σε χώρο από τον προηγούμενο δυναμικό μανδαλωτή, αλλά είναι και λίγο πιο αργός. Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 41

42 Κατασκευή ακολουθιακών στοιχείων Ο κλασσικός στατικός μανδαλωτής Στην παράσταση του διπλανού σχήματος, ο ειδικός αντιστροφέας στο βρόχο ανάδρασης είναι είτε απλά ένας αντιστροφέας με μια κλασσική πύλη μετάδοσης στη έξοδό του, είτε ένας τρισταθής αντιστροφέας. Το φ είναι η επίτρεψη του μανδαλωτή, που θα την χρησιμοποιήσουμε και ως ρολόι σε ειδικές δομές. D X Q Πλεονεκτήματα: Στατικός (χωρίς διαρροές, με σκληρό κόμβο στην έξοδό του, κλπ.), αποκαθιστά την τάση εξόδου. Μειονεκτήματα: Η είσοδος είναι περιοχή διάχυσης και όχι πύλη τρανζίστορ, υπάρχει κίνδυνος ανάποδης οδήγησης (μέσω του βρόχου ανάδρασης και της πύλης μετάδοσης της εισόδου), ο μανδαλωτής έχει ευαισθησία στον θόρυβο εξόδου (που μπορεί να αποτελέσει είσοδο του βρόχου ανάδρασης). Οι στατικοί μανδαλωτές είναι ουσιώδους σημασίας σήμερα, λόγω του ότι δεν έχουν προβλήματα διαρροών (επομένως έχουν χαμηλή κατανάλωση). Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 42

43 Κατασκευή ακολουθιακών στοιχείων Στατικοί μανδαλωτές μερικά απομονωμένοι Ο διπλανός μανδαλωτής έχει τα πλεονεκτήματα του κλασσικού μανδαλωτή και επί πλέον έχει διορθωθεί το πρόβλημα της εισόδου με την χρήση ενός απομονωτή (το σήμα εισόδου πηγαίνει σε πύλες τρανζίστορς). Παραμένουν τα μειονεκτήματα του κινδύνου ανάποδης οδήγησης (μέσω του βρόχου ανάδρασης και της πύλης μετάδοσης της D X Q εισόδου) και της ευαισθησίας στον θόρυβο εξόδου (που μπορεί να αποτελέσει είσοδο του βρόχου ανάδρασης). Ο διπλανός μανδαλωτής έχει τα πλεονεκτήματα του κλασσικού μανδαλωτή και επί πλέον έχουν διορθωθεί τα προβλήματα της εξόδου με την χρήση ενός απομονωτή (δεν υπάρχει κίνδυνος ανάποδης οδήγησης, ο δε μανδαλωτής δεν είναι ευαίσθητος στον θόρυβο εξόδου, και τα δύο επειδή δεν υπάρχει μονοπάτι από την έξοδο προς το εσωτερικό του μανδαλωτή). Παραμένει το μειονέκτημα ότι η είσοδος είναι περιοχή διάχυσης και όχι πύλη τρανζίστορ. D X Q Ο μανδαλωτής αυτός ονομάζεται μανδαλωτής διαδρόμου δεδομένων (datapath latch), λόγω του είδους της χρήσης του.. Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 43

44 Κατασκευή ακολουθιακών στοιχείων Στατικός μανδαλωτής ολικά απομονωμένος Στον διπλανό μανδαλωτή, τόσο η είσοδος, όσο και έξοδος είναι απομονωμένες, οπότε έχουν διορθωθεί τα προβλήματα της εισόδου και της εξόδου με την χρήση των απομονωτών (το σήμα εισόδου πηγαίνει σε πύλες τρανζίστορς, δεν υπάρχει κίνδυνος ανάποδης οδήγησης, ο δε μανδαλωτής δεν είναι ευαίσθητος στον θόρυβο εξόδου, και τα δύο επειδή δεν υπάρχει μονοπάτι από την έξοδο προς το εσωτερικό του μανδαλωτή). Πλεονεκτήματα: Ο μανδαλωτής αυτός είναι ο πλέον ασφαλής στη χρήση επειδή είναι πολύ στιβαρός (στα αγγλικά very robust - έχει την ελάχιστη ευαισθησία σε θέματα θορύβου της εξόδου, ανάποδης οδήγησης, κλπ.) και είναι στατικός. Για τον λόγο αυτό χρησιμοποιείται ευρέως, ιδιαίτερα δε σε βιβλιοθήκες έτοιμων υποκυκλωμάτων. D X Q Μειονεκτήματα: Είναι ελαφρά πιο αργός από τον κλασσικό στατικό μανδαλωτή (κατά την καθυστέρηση διάδοσης του αντιστροφέα εισόδου συν την καθυστέρηση διάδοσης του αντιστροφέα εξόδου), χρειάζεται σχετικά μεγαλύτερη επιφάνεια πυριτίου και φορτώνει κάπως περισσότερο τη γραμμή του ρολογιού. Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 44

45 Κατασκευή ακολουθιακών στοιχείων Δυναμικό D Flip-Flop αφέντη-σκλάβου Τα δυναμικά FF τύπου D κατασκευάζονται ως κυκλώματα αφέντη-σκλάβου με τη χρήση δύο μανδαλωτών σε σειρά. Στην (α) μέρος του του διπλανού σχήματος φαίνεται ένα δυναμικό, αναστρέφον D FF. Ο κόμβος Μ, στον οποίο αποθηκεύεται η τιμή της εισόδου κατά τη διάρκεια του 0 του ρολογιού, είναι, προφανώς, μαλακός κόμβος. Επί πλέον δε, ο κόμβος της εξόδου Q είναι μαλακός κόμβος. Στα (β), (γ) και (δ) φαίνονται βελτιώσεις του D FF (με αντίτιμο ελαφρά μειωμένη ταχύτητα): Το FF του (β) έχει έναν επί πλέον αντιστροφέα στην έξοδο, για να εξαλείψει τα προβλήματα του μαλακού κόμβου εξόδου, οπότε έχει και κανονική έξοδο (όχι αντεστραμμένη), το FF του (γ) έχει έναν επί πλέον αντιστροφέα στην είσοδο για να εξαλείψει τα προβλήματα της εισόδου σε περιοχή διάχυσης, οπότε έχει και κανονική έξοδο (όχι αντεστραμμένη), ενώ το πλέον στιβαρό FF του (δ) έχει αντιστροφείς τόσο στην είσοδο, όσο και στην έξοδο, επομένως είναι το πλέον στιβαρό (αλλά και το πιο αργό). Οι σχεδιαστές είναι ελεύθεροι να διαλέξουν οποιαδήποτε μορφή, αρκεί να καταλαβαίνουν καλά το περιβάλλον κύκλωμα και να σιγουρευτούν ότι δεν θα έχουν προβλήματα, αλλοιώς οφείλουν να διαλέξουν την πλέον αργή, αλλά και πλέον στιβαρή έκδοση του (δ). (α) (β) Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 45 (γ) (δ)

46 Κατασκευή ακολουθιακών στοιχείων Δυναμικό D Flip-Flop τύπου C 2 MOS Στο επόμενο σχήμα φαίνεται ένα δυναμικό D flip-flop τύπου Clocked MOS ή C 2 MOS. Η πληροφορία αποθηκεύεται (αντεστραμμένη) εσωτερικά στον κόμβο Μ. Τόσο ο Μ, όσο και ο Q είναι μαλακοί κόμβοι. Στο επόμενο σχήμα χρησιμοποιείται ένας ακόμη αντιστροφέας στην έξοδο, για να εξαλείψει τα μειονεκτήματα του μαλακού κόμβου εξόδου του προηγούμενου κυκλώματος. Με τον τρόπο αυτό, το δυναμικό D flip-flop τύπου C 2 MOS καθίσταται ελαφρά αργότερο και αντιστρέφον, αλλά περισσότερο στιβαρό. Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 46

47 Κατασκευή ακολουθιακών στοιχείων Στατικό, απομονωμένο D Flip-Flop (τύπου αφέντη-σκλάβου) Στα διπλανά σχήματα φαίνεται το γνωστό στατικό D FF τύπου αφέντη-σκλάβου, αλλά πλήρως απομονωμένο, δηλαδή με απομονώνοντες αντιστροφείς στην είσοδο και τις εξόδους του. Στους βρόχους ανάδρασης, στο πάνω σχήμα μεν έχουν χρησιμοποιηθεί αντιστροφείς και πύλες μετάδοσης, στο κάτω δε τρισταθείς αντιστροφείς. Τόσο ο ενδιάμεσος κόμβος Μ, στον οποίο αποθηκεύεται η πληροφορία κατά την διάρκεια του 0 του ρολογιού, όσο και οι έξοδοι Q και Q είναι σκληροί κόμβοι. Το FF αυτό, εκτός του ότι είναι στατικό, είναι και το πλέον στιβαρό, επομένως ιδιαιτέρως κατάλληλο για γενικού τύπου περιβάλλοντα (πχ. γενικές βιβλιοθήκες στοιχείων) και περιβάλλοντα, στα οποία ο χρήστης δεν έχει απαραίτητα τον ολικό έλεγχο της σχεδίασης (πχ. ASICS). Όμως, λόγω των αντιστροφέων απομόνωσης, είναι το πλέον αργό (κατά την καθυστέρηση διάδοσης δύο αντιστροφέων). Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 47

48 Κατασκευή ακολουθιακών στοιχείων Στρατηγική υλοποίηση επίτρεψης σε ακολουθιακά στοιχεία τύπου D Για την υλοποίηση της επίτρεψης (enable) στα ακολουθιακά στοιχεία (μανδαλωτή και FF τύπου D) ακολουθούνται κυρίως δύο γραμμές στρατηγικής: 1. Η χρήση ενός πολυπλέκτη, ο οποίος: για En=0, επανατροφοδοτεί στην είσοδο του μανδαλωτή ή του φλιπ-φλοπ την έξοδό του, ώστε στον επόμενο παλμό του ρολογιού θα φορτωθεί στο στοιχείο το ίδιο περιεχόμενο, αφήνοντας, με τον τρόπο αυτό, ουσιαστικά αναλλοίωτο το περιεχόμενο του στοιχείου. για EN=1, συνδέει την εξωτερική είσοδο D στην είσοδο του στοιχείου, ώστε να προκληθεί η επιθυμητή μετάβαση. Μειονέκτημα: εισάγεται καθυστέρηση από την εξωτερική είσοδο D μέχρι την έξοδο του στοιχείου D D Symbol Multiplexer Design Clock Gating Design en Latch en Flop en D 1 Q 0 en D 1 0 Q en Latch Flop Q D Q D Latch en Flop Q Q 2. Το πέρασμα του σήματος του ρολογιού (Φ) και του σήματος επίτρεψης En από μια πύλη AND, το αποτέλεσμα της οποίας χρησιμοποιούμε ως ρολόι στο στοιχείο. Μειονέκτημα: Προτρέχοντας, θα αναφέρουμε ότι χειροτερεύει τον χρόνο συγκράτησης t setup του D στοιχείου και αυξάνει την χρονική απόκλιση t skew του ρολογιού (κατά την καθυστέρηση διάδοσης μιας πύλης AND). (Σε άλλη ενότητα θα εξετάσουμε αυτά τα χαρακτηριστικά των ακολουθιακών στοιχείων) Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 48

49 Κατασκευή ακολουθιακών στοιχείων Στοιχεία τύπου D: Άμεσες, σύγχρονες είσοδοι μηδενισμού και θέσης Ο σύγχρονος μηδενισμός (ή σύγχρονη επαναφορά, synchronous reset) υλοποιείται με λογική στην είσοδο του στοιχείου, πριν από την πρώτη πύλη μετάδοσης που χρονίζεται με το ρολόι, όπως φαίνεται στα επόμενα σχήματα. Με τον τρόπο αυτό, ο μηδενισμός γίνεται ακριβώς στο επόμενο μέτωπο του ρολογιού. Το σήμα μηδενισμού, όπως δείχνει και ο συμβολισμός του, είναι ενεργό χαμηλά (πιο σωστά, θα μπορούσαμε να βάλουμε ένα κυκλάκι πριν από την αντίστοιχη είσοδο και να μη χρησιμοποιήσουμε την μπάρα πάνω από το reset ο συμβολισμός με την μπάρα, όμως, είναι πολύ συνηθισμένος, γι αυτό και τον χρησιμοποιούμε εδώ). Η σύγχρονη θέση (synchronous set) υλοποιείται αντίστοιχα, με λογική στην είσοδο του στοιχείου, πριν από την πρώτη πύλη μετάδοσης που χρονίζεται με το ρολόι. Η είσοδος μηδενισμού αντικαθίσταται από μία ενεργή ψηλά είσοδο θέσης στην πύλη εισόδυ του στοιχείου, όπως φαίνεται στα επόμενα σχήματα (όπου απεικονίζεται μόνον η πύλη εισόδου). Για την ταυτόχρονη ύπαρξη εισόδων θέσης και μηδενισμού, απλά χρειάζεται να γίνει πιο σύνθετη η λογική της πύλης NAND της πύλης εισόδου. Είσοδος σύγχρονη θέσης Στην περίπτωση ταυτόχρονης ύπαρξης εισόδων θέσης και μηδενισμού, πρέπει να εξασφαλίσουμε ότι οι είσοδοι θέσης και μηδενισμού δεν ενεργοποιούνται ταυτόχρονα, κάτι που δεν έχουμε κάνει εδώ. Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 49

50 Κατασκευή ακολουθιακών στοιχείων Στοιχεία τύπου D: Άμεσες, ασύγχρονες είσοδοι μηδενισμού και θέσης Για να υλοποιήσουμε τον ασύγχρονο μηδενισμό (ή ασύγχρονη επαναφορά, asynchronous reset) μπορούμε μέσω ενός ενεργού χαμηλά σήματος: Στον μανδαλωτή, να μηδενίσουμε τόσο τον εσωτερικό κόμβο Μ, όσο και την είσοδο, ως εξής: Στο φλιπ-φλοπ, να μηδενίσουμε τόσο τον εσωτερικό κόμβο Μ, όσο και τον κόμβο εξόδου, ως εξής: Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 50

51 Κατασκευή ακολουθιακών στοιχείων Στοιχεία τύπου D: Άμεσες, ασύγχρονες είσοδοι μηδενισμού και θέσης Τόσο ο άμεσος ασύγχρονος μηδενισμός, όσο και άμεση ασύγχρονη θέση γίνεται, όπως είδαμε, με το να επιβάλλουμε συγκεκριμένες τιμές τόσο στον εσωτερικό κόμβο του στοιχείου, όσο και στην είσοδο (για τους μανδαλωτές) ή στον κόμβο εξόδου (στα φλιπ-φλοπ). Δεδομένου ότι, τόσο ο βρόχος του εσωτερικού κόμβου, όσο και της εξόδου, έχουν δύο στοιχεία αντιστροφής, μπορούμε να έχουμε ταυτόχρονες εισόδους για μηδενισμό και θέση. Ένας από τους τρόπους να επιτύχουμε κάτι τέτοιο, είναι αυτός που φαίνεται στο επόμενο σχήμα: Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 51

52 Κατασκευή στατικών ακολουθιακών συστημάτων Η. Κουκούτσης - Ακολουθ. Στοιχεία CMOS 52

53 Χρηματοδότηση Το παρόν εκπαιδευτικό υλικό έχει αναπτυχθεί στα πλαίσια του εκπαιδευτικού έργου του διδάσκοντα. Το έργο «Ανοικτά Ακαδημαϊκά Μαθήματα» του ΕΜΠ έχει χρηματοδοτήσει μόνο την αναδιαμόρφωση του υλικού. Το έργο υλοποιείται στο πλαίσιο του Επιχειρησιακού Προγράμματος «Εκπαίδευση και Δια Βίου Μάθηση» και συγχρηματοδοτείται από την Ευρωπαϊκή Ένωση (Ευρωπαϊκό Κοινωνικό Ταμείο) και από εθνικούς πόρους.

ΑΣΚΗΣΗ 9. Tα Flip-Flop

ΑΣΚΗΣΗ 9. Tα Flip-Flop ΑΣΚΗΣΗ 9 Tα Flip-Flop 9.1. ΣΚΟΠΟΣ Η κατανόηση της λειτουργίας των στοιχείων μνήμης των ψηφιακών κυκλωμάτων. Τα δομικά στοιχεία μνήμης είναι οι μανδαλωτές (latches) και τα Flip-Flop. 9.2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ

Διαβάστε περισσότερα

Θέματα χρονισμού σε φλιπ-φλοπ και κυκλώματα VLSI

Θέματα χρονισμού σε φλιπ-φλοπ και κυκλώματα VLSI Εθνικό Μετσόβιο Πολυτεχνείο Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Τομέας Επικοινωνιών, Ηλεκτρονικής και Συστημάτων Πληροφορικής Εισαγωγή στην Σχεδίαση Συστημάτων VLSI Θέματα χρονισμού

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Ακολουθιακή Λογική. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Ακολουθιακή Λογική. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Ακολουθιακή Λογική Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Εισαγωγή Είσοδοι Συνδυαστικό Κύκλωμα Έξοδοι Στοιχεία Μνήμης Κατάσταση

Διαβάστε περισσότερα

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops K24 Ψηφιακά Ηλεκτρονικά 9: TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ ΤΕΧΝΟΛΟΓΙΚΟ Περιεχόμενα 1 2 3 Γενικά Ύστερα από τη μελέτη συνδυαστικών ψηφιακών κυκλωμάτων, θα μελετήσουμε

Διαβάστε περισσότερα

Κεφάλαιο 7 ο. Γ. Τσιατούχας. VLSI Technology and Computer Architecture Lab. Ακολουθιακή Λογική 2

Κεφάλαιο 7 ο. Γ. Τσιατούχας. VLSI Technology and Computer Architecture Lab. Ακολουθιακή Λογική 2 ΚΥΚΛΩΜΑΤΑ VLSI Ακολουθιακή Λογική Κεφάλαιο 7 ο Γ. Τσιατούχας ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. Δισταθή κυκλώματα Μεταστάθεια 2. Μανδαλωτές 3. Flip Flops Flops 4. Δομές διοχέτευσης 5. Διανομή ρολογιού 6. Συγχρονισμός

Διαβάστε περισσότερα

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα Κεφάλαιο 6 Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα 6.1 Εισαγωγή Η εκτέλεση διαδοχικών λειτουργιών απαιτεί τη δημιουργία κυκλωμάτων που μπορούν να αποθηκεύουν πληροφορίες, στα ενδιάμεσα στάδια των

Διαβάστε περισσότερα

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων Αγγελική Αραπογιάννη Σχολή Θετικών Επιστημών Τμήμα Πληροφορικής και Τηλεπικοινωνιών Η λειτουργία RESET R IN OUT Εάν το σήμα R είναι λογικό «1» στην έξοδο

Διαβάστε περισσότερα

7.1 Θεωρητική εισαγωγή

7.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 7 ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΜΑΝ ΑΛΩΤΕΣ FLIP FLOP Σκοπός: Η κατανόηση της λειτουργίας των βασικών ακολουθιακών κυκλωµάτων. Θα µελετηθούν συγκεκριµένα: ο µανδαλωτής (latch)

Διαβάστε περισσότερα

Κεφάλαιο 10 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Ακολουθιακή Λογική 2

Κεφάλαιο 10 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Ακολουθιακή Λογική 2 ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων Ακολουθιακή Λογική Κεφάλαιο 10 ο Τμήμα Μηχανικών Η/Υ και Πληροφορικής Γ. Τσιατούχας ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. Δισταθή κυκλώματα Μεταευστάθεια 2. Μανδαλωτές 3. Flip

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

7 η διάλεξη Ακολουθιακά Κυκλώματα

7 η διάλεξη Ακολουθιακά Κυκλώματα 7 η διάλεξη Ακολουθιακά Κυκλώματα 1 2 3 4 5 6 7 Παραπάνω βλέπουμε ακολουθιακό κύκλωμα σχεδιασμένο με μανταλωτές διαφορετικής φάσης. Παρατηρούμε ότι συνδυαστική λογική μπορεί να προστεθεί μεταξύ και των

Διαβάστε περισσότερα

Ενότητα ΑΡΧΕΣ ΑΚΟΛΟΥΘΙΑΚΗΣ ΛΟΓΙΚΗΣ LATCHES & FLIP-FLOPS

Ενότητα ΑΡΧΕΣ ΑΚΟΛΟΥΘΙΑΚΗΣ ΛΟΓΙΚΗΣ LATCHES & FLIP-FLOPS Ενότητα ΑΡΧΕΣ ΑΚΟΛΟΥΘΙΑΚΗΣ ΛΟΓΙΚΗΣ LATCHES & FLIP-FLOPS Γενικές Γραμμές Ακολουθιακή Λογική Μεταστάθεια S-R RLatch h( (active high h&l low) S-R Latch with Enable Latch Flip-Flop Ασύγχρονοι είσοδοι PRESET

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 7 FLIP - FLOP

ΑΣΚΗΣΗ 7 FLIP - FLOP ΑΣΚΗΣΗ 7 FLIP - FLOP Αντικείμενο της άσκησης: Η κατανόηση της δομής και λειτουργίας των Flip Flop. Flip - Flop Τα Flip Flop είναι δισταθή λογικά κυκλώματα με χαρακτηριστικά μνήμης και είναι τα πλέον βασικά

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 9: Ελαχιστοποίηση και Κωδικοποίηση Καταστάσεων, Σχεδίαση με D flip-flop, Σχεδίαση με JK flip-flop, Σχεδίαση με T flip-flop Δρ. Μηνάς

Διαβάστε περισσότερα

5. Σύγχρονα Ακολουθιακά Κυκλώματα

5. Σύγχρονα Ακολουθιακά Κυκλώματα 5. Σύγχρονα Ακολουθιακά Κυκλώματα Ακολουθιακό (sequential) λέμε το σύστημα που περιέχει στοιχεία μνήμης, δηλ. κυκλώματα αποθήκευσης δυαδικής πληροφορίας Γενικό διάγραμμα ακολουθιακού κυκλώματος - Αποτελείται

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 6: Σύγχρονα Ακολουθιακά Κυκλώματα Κυριάκης Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

Σχεδιασμός Ψηφιακών Συστημάτων

Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ 2: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 27 Νοε-7 ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 27 Ακολουθιακά Κυκλώματα: Μανδαλωτές (Latches) και Flip-Flops Flops Διδάσκουσα:

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Καταχωρητές και Μετρητές 2. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Καταχωρητές και Μετρητές 2. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Καταχωρητές και Μετρητές Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Εισαγωγή Καταχωρητής: είναι μία ομάδα από δυαδικά κύτταρα αποθήκευσης

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 6 ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ. 6.1 Εισαγωγή

ΚΕΦΑΛΑΙΟ 6 ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ. 6.1 Εισαγωγή ΚΕΦΑΛΑΙΟ 6 ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 6. Εισαγωγή Τα ψηφιακά κυκλώματα διακρίνονται σε συνδυαστικά και ακολουθιακά. Τα κυκλώματα που εξετάσαμε στα προηγούμενα κεφάλαια ήταν συνδυαστικά. Οι τιμές των

Διαβάστε περισσότερα

Ακολουθιακά Κυκλώµατα. ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ακολουθιακά Κυκλώµατα (συν.) Ακολουθιακή Λογική: Έννοια

Ακολουθιακά Κυκλώµατα. ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ακολουθιακά Κυκλώµατα (συν.) Ακολουθιακή Λογική: Έννοια ΗΜΥ 2: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 25 ΗΜΥ-2: Λογικός Σχεδιασµός Εαρινό Εξάµηνο 25 Κεφάλαιο 6-i: Ακολουθιακά Κυκλώµατα Μανδαλωτές (Latches) και Flip-Flops Ακολουθιακά Κυκλώµατα Συνδυαστική Λογική:

Διαβάστε περισσότερα

Εισαγωγή στην πληροφορική

Εισαγωγή στην πληροφορική Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Εισαγωγή στην πληροφορική Ενότητα 4: Ψηφιακή Λογική, Άλγεβρα Boole, Πίνακες Αλήθειας (Μέρος B) Αγγελίδης Παντελής Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών

Διαβάστε περισσότερα

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες Πρώτο Κεφάλαιο Εισαγωγή στα Ψηφιακά Συστήματα 1.1 Αναλογικά και Ψηφιακά Σήματα και Συστήματα... 1 1.2 Βασικά Ψηφιακά Κυκλώματα... 3 1.3 Ολοκληρωμένα κυκλώματα... 4 1.4 Τυπωμένα κυκλώματα... 7 1.5 Εργαλεία

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 12: Σύνοψη Θεμάτων Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http://arch.icte.uowm.gr/mdasyg

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 7. Κυκλώματα Μνήμης

Ψηφιακά Συστήματα. 7. Κυκλώματα Μνήμης Ψηφιακά Συστήματα 7. Κυκλώματα Μνήμης Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd Thomas L., Ψηφιακά

Διαβάστε περισσότερα

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή 6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή Εισαγωγή Η σχεδίαση ενός ψηφιακού συστήµατος ως ακολουθιακή µηχανή είναι εξαιρετικά δύσκολη Τµηµατοποίηση σε υποσυστήµατα µε δοµικές µονάδες:

Διαβάστε περισσότερα

Ψηφιακή Λογική Σχεδίαση

Ψηφιακή Λογική Σχεδίαση Ψηφιακή Λογική Σχεδίαση Επιμέλεια: Νίκος Φακωτάκης, Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σημείωμα Αδειοδότησης Το παρόν υλικό διατίθεται

Διαβάστε περισσότερα

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 1) Να μετατρέψετε τον δεκαδικό αριθμό (60,25) 10, στον αντίστοιχο δυαδικό 11111,11 111001,01 111100,01 100111,1 111100,01 2)

Διαβάστε περισσότερα

Σχεδίαση σε επίπεδο RTL βασισμένη στα διαγράμματα ASMD

Σχεδίαση σε επίπεδο RTL βασισμένη στα διαγράμματα ASMD Εθνικό Μετσόβιο Πολυτεχνείο Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Τομέας Επικοινωνιών, Ηλεκτρονικής και Συστημάτων Πληροφορικής Εισαγωγή στην Σχεδίαση Συστημάτων VLSI Σχεδίαση σε επίπεδο

Διαβάστε περισσότερα

8. Στοιχεία μνήμης. Οι δυο έξοδοι του FF είναι συμπληρωματικές σημειώνονται δε σαν. Όταν αναφερόμαστε στο FF εννοούμε πάντα την κανονική έξοδο Q.

8. Στοιχεία μνήμης. Οι δυο έξοδοι του FF είναι συμπληρωματικές σημειώνονται δε σαν. Όταν αναφερόμαστε στο FF εννοούμε πάντα την κανονική έξοδο Q. 8. ΣΟΙΧΕΙΑ ΜΝΗΜΗΣ 8. Εισαγωγή Στα συνδυαστικά κυκλώματα, που μελετήσαμε έως τώρα, δεν υπήρχε κάποια διαδικασία ανάδρασης (Feed Back) -δηλαδή οδήγηση της εξόδου των στοιχείων στην είσοδό τους- επομένως

Διαβάστε περισσότερα

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f.

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f. 6. Καταχωρητές Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f. Καταχωρητής 4 ψηφίων Καταχωρητής με παράλληλη φόρτωση Η εισαγωγή

Διαβάστε περισσότερα

7 η Θεµατική Ενότητα : Καταχωρητές, Μετρητές και Μονάδες Μνήµης

7 η Θεµατική Ενότητα : Καταχωρητές, Μετρητές και Μονάδες Μνήµης 7 η Θεµατική Ενότητα : Καταχωρητές, Μετρητές και Εισαγωγή Καταχωρητής: είναι µία οµάδα από δυαδικά κύτταρα αποθήκευσης και από λογικές πύλες που διεκπεραιώνουν την µεταφορά πληροφοριών. Οι µετρητές είναι

Διαβάστε περισσότερα

Ψηφιακή Λογική Σχεδίαση

Ψηφιακή Λογική Σχεδίαση Ψηφιακή Λογική Σχεδίαση Επιμέλεια: Νίκος Φακωτάκης, Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σημείωμα Αδειοδότησης Το παρόν υλικό διατίθεται

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τµήµα Εφαρµοσµένης Πληροφορικής & Πολυµέσων. Ψηφιακή Σχεδίαση. Κεφάλαιο 5: Σύγχρονη Ακολουθιακή

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τµήµα Εφαρµοσµένης Πληροφορικής & Πολυµέσων. Ψηφιακή Σχεδίαση. Κεφάλαιο 5: Σύγχρονη Ακολουθιακή ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τµήµα Εφαρµοσµένης Πληροφορικής & Πολυµέσων Ψηφιακή Σχεδίαση Κεφάλαιο 5: Σύγχρονη Ακολουθιακή Λογική Σύγχρονα Ακολουθιακά Κυκλώµατα Είσοδοι Συνδυαστικό κύκλωµα

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 10 ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ

ΑΣΚΗΣΗ 10 ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ ΑΣΚΗΣΗ ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ.. ΣΚΟΠΟΣ Η σχεδίαση ακολουθιακών κυκλωμάτων..2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ.2.. ΑΛΓΟΡΙΘΜΟΣ ΣΧΕΔΙΑΣΗΣ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Τα ψηφιακά κυκλώματα με μνήμη ονομάζονται ακολουθιακά.

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 2008

ΗΜΥ 210: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 2008 ΗΜΥ-211: Εργαστήριο Σχεδιασμού Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2009 Ακολουθιακά Κυκλώματα: Μανδαλωτές (Latches), Flip-FlopsFlops και Μετρητές Ριπής Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων

Διαβάστε περισσότερα

Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα

Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα επαναληπτικής εξέτασης 2016 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές

Διαβάστε περισσότερα

Ψηφιακή Λογική Σχεδίαση

Ψηφιακή Λογική Σχεδίαση Ψηφιακή Λογική Σχεδίαση Επιμέλεια: Γεώργιος Θεοδωρίδης, Επίκουρος Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σημείωμα Αδειοδότησης Το παρόν

Διαβάστε περισσότερα

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Μετρητές 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Μετρητές 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Μετρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Μετρητής Ριπής Σύγχρονος υαδικός Μετρητής

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη q Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

Σχεδιασμός Ψηφιακών Συστημάτων

Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ 2: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 28 ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 28 Ακολουθιακά Κυκλώματα: Μανδαλωτές (Latches) και Flip-Flops Flops Διδάσκουσα: Μαρία

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ 1) Οι απαριθμητές ή μετρητές (counters) είναι κυκλώματα που

Διαβάστε περισσότερα

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM).

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM). Μνήμες Ένα από τα βασικά πλεονεκτήματα των ψηφιακών συστημάτων σε σχέση με τα αναλογικά, είναι η ευκολία αποθήκευσης μεγάλων ποσοτήτων πληροφοριών, είτε προσωρινά είτε μόνιμα Οι πληροφορίες αποθηκεύονται

Διαβάστε περισσότερα

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Μετρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Μετρητής Ριπής Σύγχρονος υαδικός

Διαβάστε περισσότερα

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης Θέμα 1ο (3 μονάδες)

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης Θέμα 1ο (3 μονάδες) Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2016 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το ανωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2009 Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 Μάθημα : Ψηφιακά Ηλεκτρονικά Τεχνολογία ΙΙ, Θεωρητικής Κατεύθυνσης Ημερομηνία

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9 ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ (COUNTERS)

ΑΣΚΗΣΗ 9 ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ (COUNTERS) ΑΣΚΗΣΗ 9 ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ (COUNTERS) Αντικείμενο της άσκησης: H σχεδίαση και η χρήση ασύγχρονων απαριθμητών γεγονότων. Με τον όρο απαριθμητές ή μετρητές εννοούμε ένα ακολουθιακό κύκλωμα με FF, οι καταστάσεις

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016 ΤΕΧΝΟΛΟΓΙΑ (ΙΙ) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΠΡΑΚΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Τεχνολογία και

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ 1) Οι σύγχρονοι μετρητές υλοποιούνται με Flip-Flop τύπου T

Διαβάστε περισσότερα

Χρήση διακοπτών για την κατασκευή λογικών πυλών Εισαγωγή στις οικογένειες πυλών nmos, CMOS, κα.

Χρήση διακοπτών για την κατασκευή λογικών πυλών Εισαγωγή στις οικογένειες πυλών nmos, CMOS, κα. Εθνικό Μετσόβιο Πολυτεχνείο Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Τομέας Επικοινωνιών, Ηλεκτρονικής και Συστημάτων Πληροφορικής Εισαγωγή στη Σχεδίαση VLSI Χρήση διακοπτών για την κατασκευή

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007 Μάθημα : Ψηφιακά Ηλεκτρονικά Τεχνολογία ΙΙ Τεχνικών Σχολών, Θεωρητικής Κατεύθυνσης

Διαβάστε περισσότερα

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A].

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A]. Κανονική μορφή συνάρτησης λογικής 5. Η κανονική μορφή μιας λογικής συνάρτησης (ΛΣ) ως άθροισμα ελαχιστόρων, από τον πίνακα αληθείας προκύπτει ως εξής: ) Παράγουμε ένα [A] όρων από την κάθε σειρά για την

Διαβάστε περισσότερα

Ακολουθιακά κυκλώματα: Μανδαλωτές και Flip-Flop. Διάλεξη 6

Ακολουθιακά κυκλώματα: Μανδαλωτές και Flip-Flop. Διάλεξη 6 Ακολουθιακά κυκλώματα: Μανδαλωτές και Flip-Flop Διάλεξη 6 Δομή της διάλεξης Εισαγωγή στην ακολουθιακή λογική Ομανδαλωτής SR Latch JK Flip-Flop D Flip-Flop Timing Definitions Latch vs Flip-Flop Ασκήσεις

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Κεφάλαιο 7 i: Καταχωρητές Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές Ολίσθησης Σειριακή Φόρτωση Σειριακή Ολίσθηση Καταχωρητές Ολίσθησης Παράλληλης Φόρτωσης

Διαβάστε περισσότερα

Κυκλώµατα. Εισαγωγή. Συνδυαστικό Κύκλωµα

Κυκλώµατα. Εισαγωγή. Συνδυαστικό Κύκλωµα 6 η Θεµατική Ενότητα : Σύγχρονα Ακολουθιακά Κυκλώµατα Εισαγωγή Είσοδοι Συνδυαστικό Κύκλωµα Έξοδοι Στοιχεία Μνήµης Κατάσταση Ακολουθιακού Κυκλώµατος : περιεχόµενα στοιχείων µνήµης Η έξοδος εξαρτάται από

Διαβάστε περισσότερα

8.1 Θεωρητική εισαγωγή

8.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 8 ΣΤΟΙΧΕΙΑ ΜΝΗΜΗΣ ΚΑΤΑΧΩΡΗΤΕΣ Σκοπός: Η µελέτη της λειτουργίας των καταχωρητών. Θα υλοποιηθεί ένας απλός στατικός καταχωρητής 4-bit µε Flip-Flop τύπου D και θα µελετηθεί

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 ΤΕΧΝΟΛΟΓΙΑ (ΙΙ) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Ψηφιακά Ηλεκτρονικά

Διαβάστε περισσότερα

Ολοκληρωμένα Κυκλώματα

Ολοκληρωμένα Κυκλώματα Δημοκρίτειο Πανεπιστήμιο Θράκης Τμήμα Ηλεκτρολόγων Μηχανικών & Μηχανικών Υπολογιστών Γ. Δημητρακόπουλος Ολοκληρωμένα Κυκλώματα Πρόοδος - Φθινόπωρο 2017 Θέμα 1 ο Σχεδιάστε το datapath για τον υπολογισμό

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Μετρητής Ριπής (Ripple Counter) Μετρητές (Counters) Μετρητής Ριπής (συν.

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Μετρητής Ριπής (Ripple Counter) Μετρητές (Counters) Μετρητής Ριπής (συν. ΗΜΥ-2: Λογικός Σχεδιασµός Εαρινό Κεφάλαιο 7 ii: Μετρητές Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Μετρητής Ριπής Περίληψη Σύγχρονος υαδικός Μετρητής Σχεδιασµός µε Flip-Flops

Διαβάστε περισσότερα

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI. 1 ΗΥ330 - Διάλεξη 7η - Ακολουθιακά Κυκλώματα

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI.  1 ΗΥ330 - Διάλεξη 7η - Ακολουθιακά Κυκλώματα HY330 Ψηφιακά - Εισαγωγή στα Συστήματα VLSI Διδάσκων: Χ. Σωτηρίου, Βοηθοί: θα ανακοινωθούν http://inf-server.inf.uth.gr/courses/ce330 1 Μανταλωτές θετικής, αρνητικής πολικότητας Σχεδίαση με Μανταλωτές

Διαβάστε περισσότερα

Μνήμες RAM. Διάλεξη 12

Μνήμες RAM. Διάλεξη 12 Μνήμες RAM Διάλεξη 12 Δομή της διάλεξης Εισαγωγή Κύτταρα Στατικής Μνήμης Κύτταρα Δυναμικής Μνήμης Αισθητήριοι Ενισχυτές Αποκωδικοποιητές Διευθύνσεων Ασκήσεις 2 Μνήμες RAM Εισαγωγή 3 Μνήμες RAM RAM: μνήμη

Διαβάστε περισσότερα

Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL

Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL 3.1 Εισαγωγή στα FLIP FLOP 3.1.1 Θεωρητικό Υπόβαθρο Τα σύγχρονα ακολουθιακά κυκλώματα με τα οποία θα ασχοληθούμε στο εργαστήριο των Ψηφιακών συστημάτων

Διαβάστε περισσότερα

Εισαγωγή στην Επιστήμη των Υπολογιστών

Εισαγωγή στην Επιστήμη των Υπολογιστών Εισαγωγή στην Επιστήμη των Υπολογιστών Ενότητα 2: Λογικές πράξεις, 2ΔΩ Τμήμα: Αγροτικής Οικονομίας & Ανάπτυξης Διδάσκων: Θεόδωρος Τσιλικρίδης Μαθησιακοί Στόχοι Η Ενότητα 2 διαπραγματεύεται θέματα που αφορούν

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο Διάλεξη 8 η : Μηχανές Πεπερασμένων Κaταστάσεων σε FPGAs

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο Διάλεξη 8 η : Μηχανές Πεπερασμένων Κaταστάσεων σε FPGAs ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 8 η :

Διαβάστε περισσότερα

Η συχνότητα f των παλµών 0 και 1 στην έξοδο Q n είναι. f Qn = 1/(T cl x 2 n+1 )

Η συχνότητα f των παλµών 0 και 1 στην έξοδο Q n είναι. f Qn = 1/(T cl x 2 n+1 ) ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 9 ΥΑ ΙΚΟΙ ΑΠΑΡΙΘΜΗΤΕΣ Σκοπός: Η µελέτη της λειτουργίας των απαριθµητών. Υλοποίηση ασύγχρονου απαριθµητή 4-bit µε χρήση JK Flip-Flop. Κατανόηση της αλλαγής του υπολοίπου

Διαβάστε περισσότερα

3 η Θεµατική Ενότητα : Σύγχρονα Ακολουθιακά Κυκλώµατα. Επιµέλεια διαφανειών: Χρ. Καβουσιανός

3 η Θεµατική Ενότητα : Σύγχρονα Ακολουθιακά Κυκλώµατα. Επιµέλεια διαφανειών: Χρ. Καβουσιανός 3 η Θεµατική Ενότητα : Σύγχρονα Ακολουθιακά Κυκλώµατα Επιµέλεια διαφανειών: Χρ. Καβουσιανός Εισαγωγή Είσοδοι Συνδυαστικό Κύκλωµα Έξοδοι Στοιχεία Μνήµης Κατάσταση Ακολουθιακού Κυκλώµατος : περιεχόµενα στοιχείων

Διαβάστε περισσότερα

Ασύγχρονοι Απαριθμητές. Διάλεξη 7

Ασύγχρονοι Απαριθμητές. Διάλεξη 7 Ασύγχρονοι Απαριθμητές Διάλεξη 7 Δομή της διάλεξης Εισαγωγή στους Απαριθμητές Ασύγχρονος Δυαδικός Απαριθμητής Ασύγχρονος Δεκαδικός Απαριθμητής Ασύγχρονος Δεκαδικός Απαριθμητής με Latch Ασκήσεις 2 Ασύγχρονοι

Διαβάστε περισσότερα

Ψηφιακή Σχεδίαση. Δρ. Μηνάς Δασυγένης Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

Ψηφιακή Σχεδίαση. Δρ. Μηνάς Δασυγένης Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 8: Μανδαλωτές SR, S R D Flip-Flops Αφέντη Σκλάβου, Σχεδιασμός Ακολουθιακών κυκλωμάτων, Πίνακας Καταστάσεων, Διάγραμμα Καταστάσεων

Διαβάστε περισσότερα

Α. ΣΚΟΔΡΑΣ ΠΛΗ21 ΟΣΣ#2. 14 Δεκ 2008 ΠΑΤΡΑ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ 2008 Α. ΣΚΟΔΡΑΣ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ

Α. ΣΚΟΔΡΑΣ ΠΛΗ21 ΟΣΣ#2. 14 Δεκ 2008 ΠΑΤΡΑ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ 2008 Α. ΣΚΟΔΡΑΣ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ ΠΛΗ21 ΟΣΣ#2 14 Δεκ 2008 ΠΑΤΡΑ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ 7-segment display 7-segment display 7-segment display Αποκωδικοποιητής των 7 στοιχείων (τμημάτων) (7-segment decoder) Κύκλωμα αποκωδικοποίησης του στοιχείου

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007 Μάθημα : Ψηφιακά Ηλεκτρονικά Τεχνολογία ΙΙ Τεχνικών Σχολών, Θεωρητικής Κατεύθυνσης

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Ακολουθιακά Κυκλώματα: Μανδαλωτές και Flip-Flops 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Ακολουθιακά Κυκλώματα: Μανδαλωτές και Flip-Flops 1 ΗΜΥ-211: Εργαστήριο Σχεδιασμού Ψηφιακών Συστημάτων Ακολουθιακά Κυκλώματα (συν.) Κυκλώματα που Κυκλώματα που αποθηκεύουν εξετάσαμε μέχρι τώρα πληροφορίες Ακολουθιακά Κυκλώματα: Μανδαλωτές (Latches), Flip-FlopsFlops

Διαβάστε περισσότερα

Κυκλώµατα. Εισαγωγή. Συνδυαστικό Κύκλωµα

Κυκλώµατα. Εισαγωγή. Συνδυαστικό Κύκλωµα 6 η Θεµατική Ενότητα : Σύγχρονα Ακολουθιακά Κυκλώµατα Εισαγωγή Είσοδοι Συνδυαστικό Κύκλωµα Έξοδοι Στοιχεία Μνήµης Κατάσταση Ακολουθιακού Κυκλώµατος : περιεχόµενα στοιχείων µνήµης Η έξοδος εξαρτάται από

Διαβάστε περισσότερα

Άσκηση 3 Ένα νέο είδος flip flop έχει τον ακόλουθο πίνακα αληθείας : I 1 I 0 Q (t+1) Q (t) 1 0 ~Q (t) Κατασκευάστε τον πίνακα

Άσκηση 3 Ένα νέο είδος flip flop έχει τον ακόλουθο πίνακα αληθείας : I 1 I 0 Q (t+1) Q (t) 1 0 ~Q (t) Κατασκευάστε τον πίνακα Άσκηση Δίδονται οι ακόλουθες κυματομορφές ρολογιού και εισόδου D που είναι κοινή σε ένα D latch και ένα D flip flop. Το latch είναι θετικά ενεργό, ενώ το ff θετικά ακμοπυροδοτούμενο. Σχεδιάστε τις κυματομορφές

Διαβάστε περισσότερα

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017 Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 Μάθημα : Τεχνολογία Αναλογικών και Ψηφιακών Ηλεκτρονικών Τεχνολογία ΙΙ, Πρακτικής

Διαβάστε περισσότερα

Προγραμματισμός Ηλεκτρονικών Υπολογιστών 1

Προγραμματισμός Ηλεκτρονικών Υπολογιστών 1 Προγραμματισμός Ηλεκτρονικών Υπολογιστών 1 Ενότητα 3: Άλγεβρα Βοole και Λογικές Πράξεις Δρ. Φραγκούλης Γεώργιος Τμήμα Ηλεκτρολογίας Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης

Διαβάστε περισσότερα

Βασικές CMOS Λογικές οικογένειες (CMOS και Domino)

Βασικές CMOS Λογικές οικογένειες (CMOS και Domino) Βασικές CMOS Λογικές οικογένειες (CMOS και Domino) CMOS Κάθε λογική πύλη αποτελείται από δύο τμήματα p-mos δικτύωμα, τοποθετείται μεταξύ τροφοδοσίας και εξόδου. Όταν είναι ενεργό φορτίζει την έξοδο στην

Διαβάστε περισσότερα

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Θεµατική Ενότητα ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Ακαδηµαϊκό Έτος 2006 2007 Γραπτή Εργασία #2 Ηµεροµηνία Παράδοσης 28-0 - 2007 ΠΛΗ 2: Ψηφιακά Συστήµατα ΠΡΟΤΕΙΝΟΜΕΝΕΣ ΛΥΣΕΙΣ Άσκηση : [5 µονάδες] Έχετε στη

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016 ΤΕΧΝΟΛΟΓΙΑ (ΙΙ) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΠΡΑΚΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Τεχνολογία και

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 Μάθημα: Τεχνολογία Αναλογικών και Ψηφιακών Ηλεκτρονικών Τεχνολογία Τεχνικών Σχολών

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ᄃ Σχεδίαση Ψηφιακών Συστημάτων Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

f(x, y, z) = y z + xz

f(x, y, z) = y z + xz Λύσεις θεμάτων Εξεταστικής Περιόδου Ιανουαρίου Φεβρουαρίου 27 ΘΕΜΑ Ο (2, μονάδες) Δίνεται η λογική συνάρτηση : f (, y, z ) = ( + y )(y + z ) + y z. Να συμπληρωθεί ο πίνακας αλήθειας της συνάρτησης. (,

Διαβάστε περισσότερα

Αυγ-13 Ακολουθιακά Κυκλώματα: Μανδαλωτές και Flip-Flops. ΗΜΥ 210: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 2009.

Αυγ-13 Ακολουθιακά Κυκλώματα: Μανδαλωτές και Flip-Flops. ΗΜΥ 210: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 2009. ΗΜΥ-20: Σχεδιασμός Ψηφιακών Συστημάτων Ακολουθιακά Κυκλώματα: Μανδαλωτές (Latches) και Flip-Flops Flops Διδάσκουσα: Μαρία Κ. Μιχαήλ Ακολουθιακά Κυκλώματα Συνδυαστική Λογική: Η τιμή σε μία έξοδο εξαρτάται

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ - VLSI Ενότητα: Συνδιαστικά κυκλώματα, βασικές στατικές λογικές πύλες, σύνθετες και δυναμικές πύλες Κυριάκης

Διαβάστε περισσότερα

βαθµίδων µε D FLIP-FLOP. Μονάδες 5

βαθµίδων µε D FLIP-FLOP. Μονάδες 5 Κεφάλαιιο: 6 ο Τίίτλος Κεφαλαίίου:: Μανταλωτές & Flip Flop (Ιούνιος 2004 ΤΕΕ Ηµερήσιο) Να σχεδιάσετε καταχωρητή δεξιάς ολίσθησης τεσσάρων βαθµίδων µε D FLIP-FLOP. Μονάδες 5 (Ιούνιος 2005 ΤΕΕ Ηµερήσιο)

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ Κ. Δεμέστιχας Εργαστήριο Πληροφορικής Γεωπονικό Πανεπιστήμιο Αθηνών Επικοινωνία μέσω e-mail: cdemest@aua.gr, cdemest@cn.ntua.gr 1 5. ΑΛΓΕΒΡΑ BOOLE ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕΡΟΣ Β 2 Επαναληπτική

Διαβάστε περισσότερα

Ψηφιακή Λογική Σχεδίαση

Ψηφιακή Λογική Σχεδίαση Ψηφιακή Λογική Σχεδίαση Επιμέλεια: Νίκος Φακωτάκης, Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σημείωμα Αδειοδότησης Το παρόν υλικό διατίθεται

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 ΑΠΑΝΤΗΣΕΙΣ

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 ΑΠΑΝΤΗΣΕΙΣ ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 Μάθημα : Μικροϋπολογιστές Τεχνολογία Τ.Σ. Ι, Θεωρητικής κατεύθυνσης Ημερομηνία

Διαβάστε περισσότερα

Αρχιτεκτονικές Υπολογιστών

Αρχιτεκτονικές Υπολογιστών ΑΡΧΙΤΕΚΤΟΝΙΚΕΣ ΥΠΟΛΟΓΙΣΤΩΝ Μάθηµα: Αρχιτεκτονικές Υπολογιστών FLIP-FLOPS ΣΥΧΡΟΝΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΙΑ ΙΚΑΣΙΑ ΑΝΑΛΥΣΗΣ ΚΑΙ ΣΧΕ ΙΑΣΗ ΣΑΚ ιδάσκων: Αναπλ. Καθ. Κ. Λαµπρινουδάκης clam@uipi.gr Αρχιτεκτονικές

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ ΠΕΡΙΕΧΟΜΕΝΑ.3 ΑΣΥΓΧΡΟΝΟΣ ΔYΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.5 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.7 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ ΜΕ LATCH.

ΠΕΡΙΕΧΟΜΕΝΑ ΠΕΡΙΕΧΟΜΕΝΑ.3 ΑΣΥΓΧΡΟΝΟΣ ΔYΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.5 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.7 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ ΜΕ LATCH. ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΗΛΕΚΤΡΟΝΙΚΗΣ & ΥΠΟΛΟΓΙΣΤΩΝ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ & ΣΥΣΤΗΜΑΤΑ ΣΗΜΕΙΩΣΕΙΣ ΑΠΑΡΙΘΜΗΤΕΣ Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 16: Μετρητές (Counters)

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 16: Μετρητές (Counters) ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 16: Μετρητές (Counters) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη q Μετρητής Ριπής q Σύγχρονος

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ FLIP-FLOP ΤΟ ΒΑΣΙΚΟ FLIP-FLOP ΧΡΟΝΙΖΟΜΕΝΑ FF ΤΥΠΟΥ FF ΤΥΠΟΥ D FLIP-FLOP Τ FLIP-FLOP ΠΥΡΟΔΟΤΗΣΗ ΤΩΝ FLIP-FLOP ΚΥΡΙΟ - ΕΞΑΡΤΗΜΕΝΟ FLIP-FLOP ΑΚΜΟΠΥΡΟΔΟΤΟΥΜΕΝΑ FLIP-FLOP ΚΥΚΛΩΜΑΤΑ

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2017-2018 Λογικές Πύλες, Στοιχεία Μνήμης, Συνδυαστική Λογική και Κυματομορφές ΗΥ220 - Βασίλης Παπαευσταθίου & Γιώργος Καλοκαιρινός 1 Τα βασικά της

Διαβάστε περισσότερα

K24 Ψηφιακά Ηλεκτρονικά 10: Ακολουθιακά Κυκλώματα

K24 Ψηφιακά Ηλεκτρονικά 10: Ακολουθιακά Κυκλώματα K24 Ψηφιακά Ηλεκτρονικά : TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ ΤΕΧΝΟΛΟΓΙΚΟ Περιεχόμενα 2 3 Γενικά Όπως είδαμε και σε προηγούμενα μαθήματα, ένα ψηφιακό κύκλωμα ονομάζεται

Διαβάστε περισσότερα

Η επικράτηση των ψηφιακών κυκλωμάτων 1o μέρος

Η επικράτηση των ψηφιακών κυκλωμάτων 1o μέρος Εθνικό Μετσόβιο Πολυτεχνείο Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Τομέας Επικοινωνιών, Ηλεκτρονικής και Συστημάτων Πληροφορικής Εισαγωγή στη Σχεδίαση VLSI Η επικράτηση των ψηφιακών κυκλωμάτων

Διαβάστε περισσότερα

Σχεδίαση κυκλωμάτων ακολουθιακής λογικής

Σχεδίαση κυκλωμάτων ακολουθιακής λογικής Σχεδίαση κυκλωμάτων ακολουθιακής λογικής Βασικές αρχές Σχεδίαση Latches και flip-flops Γιώργος Δημητρακόπουλος Δημοκρίτειο Πανεπιστήμιο Θράκης Φθινόπωρο 2013 Ψηφιακά ολοκληρωμένα κυκλώματα 1 Ακολουθιακή

Διαβάστε περισσότερα