5 STRUCTURI PROGRAMABILE

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "5 STRUCTURI PROGRAMABILE"

Transcript

1 . 5 STRUCTURI PROGRAMABILE Aplicaţiile din acest capitol îşi propun să prezinte funcţionarea circuitelor de memorie ROM(Read Only Memory) şi RAM(Random Access Memory), a structurilor programabile PLD(Programmable Logic Devices), structuri care conţin reţele programabile de porţi logice şi bistabile, precum şi a structurilor FPGA(Field Programmable Gate Arrays), reţele complexe de blocuri logice programabile şi resurse de interconectare a lor, care se configurează prin programare pentru o anumită aplicaţie. 5. Consideraţii teoretice 5.. Memoria ROM Memoria ROM este un circuit combinaţional care stochează permanent date binare, iar această informaţie poate fi numai citită. Această structură este de obicei definită ca un convertor de cod compus dintr-un decodificator şi un codificator. Vectorul de intrare în decodificator este interpretat ca o adresă, iar datele obţinute la ieşirea codificatorului reprezintă informaţia memorată la adresa respectivă. În figura 5. s-a luat un exemplu de memorie ROM care conţine 8 cuvinte de câte 4 biţi. O combinaţie binară care se aplică pe cele 3 intrări de adresă, A 2, A şi A, selectează unul dintre cele 8 cuvinte, iar cei 4 biţi de date ai cuvântului selectat sunt disponibili la ieşirile O, O, O 2 şi O 3, cu condiţia ca intrarea OE (Output Enable) să fie activată (în exemplul nostru activarea se face pe logic). Dacă OE = ieşirile memoriei sunt în starea de înaltă impedanţă (high Z). Tabelul de adevăr din figură este numai un exemplu care arată o posibilitate de implementare a 4 funcţii binare de câte 3 variabile. Există mai multe tipuri constructive de memorie ROM. Memoriile ROM sunt de obicei considerate cele care sunt încărcate cu date în procesul de fabricaţie al circuitului integrat, deci care nu sunt programabile de către utilizator. Utilizatorul poate introduce datele lui o singură dată într-o memorie PROM(Programmable ROM), sau de mai multe

2 8 5 STRUCTURI PROGRAMABILE A 2 A A ROM OE O O O 2 O 3 A 2 A A OE O O O 2 O 3 x x x high Z high Z Fig. 5. Memorie ROM de 8 cuvinte de 4 biţi şi harta memoriei high Z high Z ori, în memoriile EPROM(Erasable PROM) sau EEPROM (Electrically EPROM), diferenţa fiind dată de modalitatea de ştergere a datelor din memorie. Memoriile EPROM conţin tranzistoare MOS care conţin o poartă suplimentară, izolată de restul circuitului. Această poartă permite stocarea pe termen lung a sarcinii electrice necesare pentru memorarea bitului respectiv de informaţie. Ştergerea se face prin expunere la radiaţii ultraviolete. La memoriile EEPROM izolaţia porţii este mult mai subţire şi sarcina electrică în exces poate fi eliminată prin aplicarea unei tensiuni de polaritate inversă pe poarta tranzistorului care nu este flotantă, deci ştergerea se face pe cale electrică. Circuitul integrat 82S47, care este o memorie PROM în tehnologie Schottky TTL, a fost utilizat deja în aplicaţiile prezentate în capitolul anterior. Rolul lui era de a implementa logica combinaţională a unui automat cu stări finite (vezi punctul şi problema 4.3.7) Memoria RAM Memoria RAM este un circuit care stochează biţi de informaţie într-o matrice de memorie, la fel ca memoria ROM. Diferenţa constă în faptul că informaţia utilă memorată în RAM trebuie mai întâi să fie scrisă acolo, înainte de a fi citită. DIN3 DIN2 DIN DIN A 2 A A DCD MATRICE DE MEMORIE 8 4 WR LATCH WE CS OE DIN D Q SEL CL WR un bit de memorie DOUT DOUT3 DOUT2 DOUT DOUT Fig. 5.2 Structura unei memorii SRAM de 8 cuvinte de 4 biţi

3 . 5. Consideraţii teoretice 8 Există două tipuri constructive de memorie RAM: RAM static sau SRAM, în care biţii de date, odată ce au fost înscrişi, sunt memoraţi atât timp cât circuitul integrat este alimentat cu tensiune, şi RAM dinamic sau DRAM, în care datele memorate trebuie să fie mereu reîmprospătate prin citirea şi apoi rescrierea lor periodică în locaţiile respective de memorie, în caz contrar ele pierzându-se definitiv. Structura unei memorii SRAM este asemănătoare cu cea a unei memorii ROM. Apare în plus semnalul WE(Write Enable) care, odată ce este activat pe logic, memorează datele de pe intrările de date la adresa indicată de intrările de adresă. Se poate vedea în figura 5.2 că celula de memorie de un bit conţine un latch de tip D, iar memorarea datelor se face pe palierul de logic al ceasului, adică atunci când sunt activate semnalele WR şi SEL, acesta din urmă fiind generat de una din ieşirile decodificatorului liniilor de adresă. Activarea semnalului WR este o consecinţă a activării semnalelor de intrare WE şi CS. Circuitul integrat MMN 24, care este o memorie SRAM în tehnologie NMOS, a fost utilizat deja în aplicaţiile prezentate în capitolul anterior. Rolul lui era de a emula o memorie ROM care implementa logica combinaţională a unui automat cu stări finite (vezi punctul 4.2.4) Structuri PLD Structurile PLD conţin porţi logice şi, în unele cazuri, circuite bistabile, aranjate în aşa fel încât interconectările dintre componente să poată fi modificate pentru a implementa diverse funcţii binare. Structurile PLD combinaţionale conţin numai porţi logice cu conexiuni programabile, care permit implementarea comodă a funcţiilor binare reprezentate în formă disjunctivă. Circuitele reprezentative din această categorie sunt structurile PLA (Programmable Logic Arrays) şi structurile PAL (Programmable Array Logic). Acestea din urmă sunt marcă înregistrată a firmei AMD. Un exemplu de circuit PLD secvenţial de tip registru este circuitul integrat GAL6V8, marcă înregistrată a firmei Lattice Semiconductor, circuit care conţine 8 intrări, 8 intrări/ieşiri cu 3 stări şi 8 macrocelule programabile, numite aici OLMC (Output Logic Macro Cell). Schema completă a circuitului este dată în figura 5.3. Terminaţia QS din codul circuitului integrat provine de la Quiet Series şi are în vedere modificarea traseului intern de masă în scopul reducerii zgomotelor. Matricea de porţi ŞI conţine 248 de conexiuni programabile, iar porţile SAU au conexiuni fixe (vezi figura 5.4). Matricea de conexiuni programabile permite conectarea oricărei intrări numerice, în formă directă sau negată, la orice termen produs. Fiecare macrocelulă programabilă mai conţine câte conexiuni programabile, care stabilesc modul de lucru. În sfârşit, un număr de 64 de conexiuni programabile, grupate în 8 octeţi, stabilesc o semnătură digitală a utilizatorului, adică cel care programează circuitul integrat, pentru secretizarea hărţii de conexiuni şi evitarea multiplicării neautorizate a unui produs care conţine astfel de circuite. Schema internă a unei macrocelule programabile este dată în figura 5.4. Ieşirea porţii SAU poate fi complementată sau nu cu poarta SAU-EXCLUSIV şi aplicată direct la intrarea porţii cu 3 stări de la ieşire printr-un canal de multiplexor, sau poate fi aplicată la intrarea unui bistabil D, a cărui ieşire se poate trimite la exterior, sau returna spre matricea de

4 82 5 STRUCTURI PROGRAMABILE Fig. 5.3 Structura circuitului GAL 6V8 comutatoare programabile de la intrare. Fluxul datelor prin circuit este stabilit cu ajutorul unor multiplexoare cu intrări de selecţie programabile. Există legături între macrocelule, pe de o parte, pentru transfer de date, iar pe de altă parte, comutatorul AC se conectează fie la logic, fie la logic pentru toate macrocelulele din structură. Comutatoarele AC, XOR şi PTD se programează independent, pentru fiecare celulă în parte, după necesităţi. Proiectantul nu este obligat să cunoască structura internă a circuitului integrat, decât dacă stabileşte manual harta de conexiuni, lucru foarte plictisitor şi cu mare şansă de eroare. Există programe care realizează automat harta de conexiuni, pornind de la ecuaţiile furnizate de proiectant şi folosind un model software al circuitului PLD folosit.

5 5. Consideraţii teoretice 83 Fig. 5.4 Structura unei macrocelule programabile din circuitul GAL 6V Structuri FPGA Arhitectura unui circuit FPGA este prezentată în figura 5.5. Există trei elemente constructive de bază care se repetă ori de câte ori este necesar în structură: blocul logic, blocul de intrare-ieşire, şi resursele de interconectare ale blocurilor, de fapt matrici de comutatoare programabile, numite şi switchbox-uri. Blocul logic poate conţine sute sau mii de porţi logice şi poate fi configurat diferit în funcţie de aplicaţie. Realizarea interconexiunilor permite o utilizare superioară a resurselor logice faţă de structurile PLD. Resurse de interconectare Bloc de intrare ieşire Bloc logic Switch Box Fig. 5.5 Arhitectura FPGA

6 84 5 STRUCTURI PROGRAMABILE Fig. 5.6 Schema simplificată a blocului logic la circuitul XC4 Structura blocului logic al circuitului XC4 produs de firma Xilinx este dată în figura 5.6. Modulele F şi G sunt generatoare de funcţii binare programabile cu câte 4 intrări, iar împreună cu modulul H, care este tot un generator de funcţii binare, permit implementarea unor funcţii cu 9 variabile independente. Blocul logic mai conţine o logică combinaţională de selecţie şi 2 bistabile de tip D pentru stocarea rezultatelor date de generatoarele de funcţii. Ieşirile generatoarelor de funcţii se pot utiliza independent de ieşirile elementelor de stocare de tip registru. Fiecare bloc de intrare/ieşire controlează un singur pin al circuitului integrat şi se poate configura ca port de intrare, port de ieşire sau port bidirecţional. Semnalele de intrare se pot aplica direct sau prin bistabile de intrare. Semnalele de ieşire, care se pot inversa în interiorul blocului, se pot conecta direct la ieşirea pinului sau la bistabilul de ieşire. Matricea de cuplare programabilă, sau switchbox-ul, este alcătuită din conexiuni programabile care permit realizarea oricărei configuraţii posibile de conexiuni. 5.2 Demonstraţii practice Consideraţiile asupra alimentării panoului logic, formulate în primul capitol, rămân valabile şi aici. Panourile logice folosite în aplicaţii, fie au surse proprii de alimentare (programatorul de memorii EPROM sau sistemul de dezvoltare cu FPGA), fie se alimentează cu o tensiune nominală de 5Vcc (panoul logic cu memorie RAM sau panoul cu GAL), în cazul în care acestea din urmă nu sunt şi ele prevăzute cu surse proprii de alimentare.

7 5.2 Demonstraţii practice Se conectează programatorul de memorii EPROM la portul paralel al unui calculator. Se porneşte calculatorul şi apoi se alimentează şi programatorul de la sursa proprie de alimentare, care furnizează toate tensiunile necesare pentru citirea şi programarea unei memorii EPROM de tipul 276 sau Memoria 276 are 2Kcuvinte de câte 8 biţi, iar memoria 2732 are 4Kcuvinte de câte 8 biţi. Se lansează aplicaţia Programator EPROM, care deschide fereastra reprezentată în partea stângă a figurii 5.7. Din lista de opţiuni se selectează tipul memoriei EPROM, din butoanele radio operaţia dorită, iar offset-ul reprezintă adresa de la care se începe citirea sau programarea memoriei. Operaţia de elaborare a fişierului sursă deschide o a doua fereastră, dată în partea dreaptă a figurii 5.7, prin care se introduce într-un fişier valoarea conţinută de fiecare adresă, de la offset + şi până la offset + număr de locaţii. În exemplul dat, prin apăsarea butonului Save, se introduce numărul binar la adresa 5, offset-ul fiind. Fişierul construit în acest mod va fi folosit la operaţia de programare a memoriei. Introduceţi în soclu o memorie EPROM de tipul 276, după ce aţi oprit mai întâi tensiunea de alimentare a programatorului, şi apoi citiţi conţinutul ei. Toate locaţiile sunt programate? Dacă nu, atunci introduceţi în locaţiile imediat următoare offset-ului cuvinte identice alese de dumneavoastră. Citiţi din nou memoria pentru a verifica că datele au fost înscrise corect. Opriţi tensiunea de alimentare a programatorului şi apoi alimentaţi din nou programatorul. Verificaţi dacă datele înscrise s-au păstrat. Consultaţi foaia de catalog şi observaţi cronogramele ciclurilor de citire şi programare. Repetaţi operaţiile de mai sus pentru o memorie EPROM de tipul Nu am precizat exact codurile memoriilor EPROM, pentru că ele depind de producător: I 276, dacă circuitul este fabricat de INTEL, MMN 276 dacă este fabricat de Microelectronica Bucureşti, sau K573PΦ2 (echivalent cu 276) dacă este fabricat în Rusia. Dacă aveţi la dispoziţie o lampă cu ultraviolete, încercaţi să ştergeţi memoriile EPROM şi verificaţi dacă acest lucru s-a realizat (toţi biţii sunt poziţionaţi pe logic). Fig. 5.7 Două ferestre ale aplicaţiei Programator EPROM Se studiază memoria RAM static de tipul 24, care are o capacitate de K de câte 4 biţi. Panoul logic folosit deja la automatele cu memorie RAM are un circuit integrat MMN 24. Se reia scrierea datelor în memorie, aşa cum se arată la pagina 68,

8 STRUCTURI PROGRAMABILE şi se justifică operaţiile care se fac. Se citeşte memoria, măsurând de această dată ieşirile memoriei şi se verifică dacă datele introduse au fost memorate corect. Se întrerupe tensiunea de alimentare câteva secunde şi, după revenirea ei, se citesc din nou datele de la adresele respective. Ce constataţi? Justificaţi răspunsul şi indicaţi o soluţie pentru păstrarea datelor chiar şi după decuplarea temporară a tensiunii de alimentare. Se repetă operaţiile de mai sus pentru panoul logic care conţine o memorie RAM static de tipul 22, cu o capacitate de K de câte bit şi linii separate pentru intrarea şi ieşirea bitului de date. O schemă simplificată a panoului logic este dată în figura 5.8. De această dată adresarea locaţiilor de memorie se face cu ajutorul a două numărătoare de câte 5 biţi (MMC 424), care pot fi uşor poziţionate în orice stare dorită cu ajutorul unui ceas manual, realizat cu comutator mecanic şi latch. Astfel se poate fixa orice adresă din spaţiul de 24 adrese disponibile. Datele se introduc pe linia de intrare printr-un comutator cu două poziţii, iar linia de ieşire arată conţinutul memoriei prin starea unui LED. Semnalul R W este generat tot cu un latch. +V cc +V cc LATCH LATCH 424 Q 6 RESET Q 6 RESET CLK 424 CLK Q 5 Q 4 Q 3 Q 2 Q R / W 22 Q 5 Q 4 Q 3 Q 2 Q +V cc LATCH A 9 A 8 A 7 A 6 A 5 A 4 A 3 A 2 A A +V cc D IN D OUT Fig. 5.8 Schema simplificată a panoului logic cu memorie RAM Se introduce în soclul programatorului de circuite GAL un circuit GAL6V8 şi se conectează programatorul la portul paralel al unui calculator. Se porneşte calculatorul şi apoi se alimentează şi programatorul de la sursa proprie de alimentare. Se lansează programul freeware galprog2, care permite prin intermediul unei interfeţe grafice selecţia portului paralel, a tipului de GAL, încărcarea datelor din fişier şi salvarea lor în fişierul JEDEC, care conţine harta conexiunilor programabile, Fig. 5.9 Două interfeţe pentru programarea circuitelor GAL 6V8 şi GAL2V8

9 . 5.2 Demonstraţii practice 87 precum şi citirea stării conexiunilor unui GAL, dacă acest lucru este permis de fuzibilul destinat secretizării datelor, programarea conexiunilor sau verificarea stării lor după ce circuitul integrat a fost programat. Fişierul JEDEC diferă de la un circuit la altul. Este un fişier text care indică harta conexiunilor şi care se obţine de obicei automat, folosind un compilator care transformă ecuaţiile boolene ale circuitului într-o matrice de şi. Sigur că el poate fi generat şi manual, având structura internă a circuitului folosit, dar această operaţie chinuitoare va fi făcută pentru circuitul PAL6R4 în capitolul următor. Fişierul GAL.JED conţine harta conexiunilor pentru automatul finit descris în figura 4.9 din capitolul anterior. Intrarea X este pinul 2 al circuitului integrat, stările Q şi Q 2 se obţin la ieşirile primelor două macrocelule, iar ieşirile Y şi Y 2 se obţin la pinii 7 şi respectiv 6 ai circuitului integrat. Se citeşte harta conexiunilor din GAL şi se verifică corespondenţa cu conţinutul fişierului GAL.JED. Se verifică practic funcţionarea circuitului, verificând toate tranziţiile din organigramă Placa XS4-V.2 conţine un circuit FPGA de tipul XC4XL, alimentat la 3,3V, care conţine 2 porţi logice şi 2 de bistabile. Se alimentează de la o sursă externă de 9V şi se conectează la calculator prin portul paralel. Proiectarea circuitului se poate face fie cu un editor grafic, fie în limbaj VHDL, folosind un software specializat, de exemplu versiunea de evaluare de la Xilinx Foundation Package. După analiza circuitului prin simulare, configuraţia circuitului este stocată în memoria RAM internă, prin portul paralel. Figura 5. prezintă o vedere de ansamblu a plăcii şi un exemplu de conexiuni. Ieşirea VGA permite cuplarea directă la monitor. Se implementează cu ajutorul editorului grafic un numărător cu 6 stări, ale cărui ieşiri se pot vizualiza pe LED-urile afişajului, se obţine NETLIST-ul şi se programează placa XS4-V.2. Se verifică funcţionarea circuitului astfel obţinut. Fig. 5. Vedere de sus a plăcii XS4 V.2 şi conexiuni realizate într-un bloc logic din circuitul FPGA XC 4XL, care implementează un numărător cu 6 stări

10 88 5 STRUCTURI PROGRAMABILE 5. Probleme rezolvate 5.3. Să se implementeze cu memorie ROM un generator al funcţiei sinus, ştiind că argumentul funcţiei variază între şi π/2 cu paşi de π/52. Se cere rezultatul cu 4 zecimale exacte în cod BCD. Rezolvare: Patru cifre zecimale în cod BCD se reprezintă pe 6 biţi, deci cuvintele memoriei sunt structurate pe 6 biţi. Numărul de cuvinte de memorie N este dat de raportul dintre interval şi π 52 numărul de paşi, deci: N = = 256. Deci memoria necesară are 256 cuvinte a câte 6 biţi. 2 π Dacă folosim, de exemplu, cipuri de 256 cuvinte a câte 8 biţi, atunci schema logică ar fi cea din figura 5.. A 7... A 8 8 A 7... A ROM D 7... D A 7... A OE ROM 2 D 7... D OE D 5... D 8 D 7... D Fig. 5. Extinderea numărului de biţi pe cuvânt Un fragment din harta memoriei pentru întregul sistem (cele două cipuri împreună) este prezentat în figura 5.2. A 7 A 6 A 5 A 4 A 3 A 2 A A D 5 D 4 D 3 D 2 D D D 9 D 8 D 7 D 6 D 5 D 4 D 3 D 2 D D Fig. 5.2 Harta memoriei pentru generatorul funcţiei sinus Folosind o memorie EPROM să se implementeze un circuit care realizează înmulţirea M N = P, unde M, N şi P sunt exprimate în cod BCD, iar M şi N sunt cuprinse între şi 9. Rezolvare: Operanzii M şi N se reprezintă pe câte 4 biţi, deci memoria trebuie să aibă 8 biţi de adresă. Valoarea maximă a produsului P este 9 9 = 8, număr care se reprezintă în binar pe biţi, deoarece 2 < 8 < 2. În cod BCD numărul P se reprezintă însă pe 8 biţi, fiind format din două cifre BCD. El se obţine la ieşirile de date ale memoriei. Deci, memoria are 256 cuvinte de câte 8 biţi fiecare. Figura 5.3 reprezintă schema logică şi un fragment din harta memoriei.

11 5.3 Probleme rezolvate 89 M N EPROM A 7 A 6 A 5 A 4 A 3 A 2 A A D 7 D 6 D 5 D 4 D 3 D 2 D D A 7 D 7 A 6 D A 5 D 5 A 4 D 4 P D A D A 2 2 A D A D Fig. 5.3 Circuit de înmulţire cu memorie EPROM şi un fragment din harta memoriei Să se implementeze cu memorie ROM şi apoi cu o structură PLA următorul set de funcţii binare: f = P + P3 + P4 + P8 + P2 + P4 + P5 f 2 = P + P + P5 + P8 + P2 f = P + P + P + P + P + Rezolvare: P5 Implementarea funcţiilor binare cu memorii ROM presupune stabilirea capacităţii memoriei necesare, alegerea tipului potrivit de memorie din oferta disponibilă în catalog şi stabilirea hărţii memoriei. De obicei se urmăreşte utilizarea unui număr minim de circuite integrate, chiar dacă memoria ROM rămâne parţial nefolosită. În exemplul considerat, termenul canonic de rang maxim este P 5, deci sunt necesare 4 intrări de adrese. Pentru cele 3 funcţii binare sunt necesare 3 ieşiri de date. Folosim o memorie de 6 cuvinte de câte 4 biţi. Soluţia cu memorie ROM este prezentată în figura 5.4. Implementarea cu o structură PLA este prezentată în figura 5.5. A B C D A 3 A 2 A A ROM D 3 D 2 D D f f 2 f 3 A D A 3 A 2 A D 3 D 2 D X X X X X X X X A D A 3 A 2 A D 3 D 2 D Fig. 5.4 Implementarea sistemului de 3 funcţii binare cu memorie ROM X X X X X X X X A B C D f f 2 f 3 Fig. 5.5 Implementarea sistemului de 3 funcţii binare cu PLA

12 9 5 STRUCTURI PROGRAMABILE Să se implementeze o memorie EPROM de 2 K 32, folosind o memorie EPROM de 64 K. Se pot utiliza circuite auxiliare SSI/MSI şi se presupune că dispunem de un semnal de ceas cu o perioadă ceva mai lungă decât timpul de acces la memoria de 64 K. Care este timpul de acces al memoriei de 2 K 32? Rezolvare: Capacitatea totală a memoriei rămâne neschimbată, se modifică numai organizarea ei. O soluţie este prezentată în figura 5.6, unde spaţiul adreselor este împărţit în două, cei 5 biţi mai puţin semnificativi fiind generaţi intern, cu ajutorul unui numărător în inel comandat de semnalul de ceas. La fiecare perioadă de ceas, un alt bit este memorat în registru, astfel încât, după 32 perioade de ceas dispunem de un cuvânt de 32 biţi la ieşirea registrului. Timpul de acces al memoriei este de 32 de ori mai mare decât perioada ceasului. Presupunem că timpul de acces la memoria ROM este suficient de mare pentru a evita orice probleme legate de metastabilitate. ADRESE ROM 64K A 5... A 5 CLK Numărător OUT Registru serie de 32 biţi 5 A 4... A 32 DATE IEŞIRE CLK Fig. 5.6 Schema simplificată a unei memorii de 2 K Să se proiecteze un numărător binar reversibil pentru controlerul unui lift într-o clădire cu 2 etaje, folosind un singur circuit PAL6R6. Numărătorul trebuie să aibă o intrare care permite numărarea şi o intrare care stabileşte sensul de numărare. La numărarea înapoi trebuie să se blocheze în starea, iar la numărarea înainte trebuie să se blocheze în starea 2. În orice sens de numărare se sare peste starea 3. Reprezentaţi diagrama stărilor şi ecuaţiile scrise în ABEL. Rezolvare: Circuitul integrat PAL6R6 are 8 intrări, 2 intrări/ieşiri programabile şi 6 bistabile de tip D având ieşirile cu 3 stări. Matricea de comutatoare programabile permite implementarea oricărei funcţii binare. Pentru cele 2 stări sunt necesare 5 bistabile. Dacă notăm cu E intrarea care permite numărarea (activă pe logic) şi cu S intrarea de sens (S = crescător, iar S = descrescător), atunci diagrama stărilor este cea din figura 5.7. Detalii despre limbajul ABEL sunt date în curs. O implementare completă de automat finit cu PAL6R4 este dată în capitolul următor. Q E S X X X X X X X Fig. 5.7 Diagrama stărilor pentru numărătorul din problema V

5.4. MULTIPLEXOARE A 0 A 1 A 2

5.4. MULTIPLEXOARE A 0 A 1 A 2 5.4. MULTIPLEXOARE Multiplexoarele (MUX) sunt circuite logice combinaţionale cu m intrări şi o singură ieşire, care permit transferul datelor de la una din intrări spre ieşirea unică. Selecţia intrării

Διαβάστε περισσότερα

4. CIRCUITE LOGICE ELEMENTRE 4.. CIRCUITE LOGICE CU COMPONENTE DISCRETE 4.. PORŢI LOGICE ELEMENTRE CU COMPONENTE PSIVE Componente electronice pasive sunt componente care nu au capacitatea de a amplifica

Διαβάστε περισσότερα

Codificatorul SN74148 este un codificator zecimal-bcd de trei biţi (fig ). Figura Codificatorul integrat SN74148

Codificatorul SN74148 este un codificator zecimal-bcd de trei biţi (fig ). Figura Codificatorul integrat SN74148 5.2. CODIFICATOAE Codificatoarele (CD) sunt circuite logice combinaţionale cu n intrări şi m ieşiri care furnizează la ieşire un cod de m biţi atunci când numai una din cele n intrări este activă. De regulă

Διαβάστε περισσότερα

Circuite logice programabile

Circuite logice programabile 82 Tabelul 3.12. Tabelul de funcţionare al circuitului 74155. Selecţie Strobare Date Ieşiri B A 1G 1C 1Y 1 01Y 1Y 21Y 3 x x 1 x 1 1 1 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 0 0 1 1 1 0 1 1 1 0 1 1 1 1 0 x

Διαβάστε περισσότερα

Metode iterative pentru probleme neliniare - contractii

Metode iterative pentru probleme neliniare - contractii Metode iterative pentru probleme neliniare - contractii Problemele neliniare sunt in general rezolvate prin metode iterative si analiza convergentei acestor metode este o problema importanta. 1 Contractii

Διαβάστε περισσότερα

CIRCUITE COMBINAŢIONALE UZUALE

CIRCUITE COMBINAŢIONALE UZUALE Arhitectura calculatoarelor Lucrarea de laborator Nr. 3. 1 CIRCUITE COMBINAŢIONALE UZUALE 1. Scopul lucrării Lucrarea prezintă unele circuite combinaţionale uzuale şi utilizarea acestor circuite la implementarea

Διαβάστε περισσότερα

2. Circuite logice 2.4. Decodoare. Multiplexoare. Copyright Paul GASNER

2. Circuite logice 2.4. Decodoare. Multiplexoare. Copyright Paul GASNER 2. Circuite logice 2.4. Decodoare. Multiplexoare Copyright Paul GASNER Definiţii Un decodor pe n bits are n intrări şi 2 n ieşiri; cele n intrări reprezintă un număr binar care determină în mod unic care

Διαβάστε περισσότερα

5.5. REZOLVAREA CIRCUITELOR CU TRANZISTOARE BIPOLARE

5.5. REZOLVAREA CIRCUITELOR CU TRANZISTOARE BIPOLARE 5.5. A CIRCUITELOR CU TRANZISTOARE BIPOLARE PROBLEMA 1. În circuitul din figura 5.54 se cunosc valorile: μa a. Valoarea intensității curentului de colector I C. b. Valoarea tensiunii bază-emitor U BE.

Διαβάστε περισσότερα

Curs 10 Funcţii reale de mai multe variabile reale. Limite şi continuitate.

Curs 10 Funcţii reale de mai multe variabile reale. Limite şi continuitate. Curs 10 Funcţii reale de mai multe variabile reale. Limite şi continuitate. Facultatea de Hidrotehnică Universitatea Tehnică "Gh. Asachi" Iaşi 2014 Fie p, q N. Fie funcţia f : D R p R q. Avem următoarele

Διαβάστε περισσότερα

Analiza în curent continuu a schemelor electronice Eugenie Posdărăscu - DCE SEM 1 electronica.geniu.ro

Analiza în curent continuu a schemelor electronice Eugenie Posdărăscu - DCE SEM 1 electronica.geniu.ro Analiza în curent continuu a schemelor electronice Eugenie Posdărăscu - DCE SEM Seminar S ANALA ÎN CUENT CONTNUU A SCHEMELO ELECTONCE S. ntroducere Pentru a analiza în curent continuu o schemă electronică,

Διαβάστε περισσότερα

6.4. REGISTRE. Un registru care îndeplineşte două sau mai multe funcţii din cele 4 prezentate mai sus se numeşte registru universal.

6.4. REGISTRE. Un registru care îndeplineşte două sau mai multe funcţii din cele 4 prezentate mai sus se numeşte registru universal. .. REGISTRE Registrele sunt circuite logice secvenţiale care primesc, stochează şi transferă informaţii sub formă binară. Un registru este format din mai multe celule bistabile de tip RS, JK sau şi permite

Διαβάστε περισσότερα

(a) se numeşte derivata parţială a funcţiei f în raport cu variabila x i în punctul a.

(a) se numeşte derivata parţială a funcţiei f în raport cu variabila x i în punctul a. Definiţie Spunem că: i) funcţia f are derivată parţială în punctul a în raport cu variabila i dacă funcţia de o variabilă ( ) are derivată în punctul a în sens obişnuit (ca funcţie reală de o variabilă

Διαβάστε περισσότερα

Componente şi Circuite Electronice Pasive. Laborator 3. Divizorul de tensiune. Divizorul de curent

Componente şi Circuite Electronice Pasive. Laborator 3. Divizorul de tensiune. Divizorul de curent Laborator 3 Divizorul de tensiune. Divizorul de curent Obiective: o Conexiuni serie şi paralel, o Legea lui Ohm, o Divizorul de tensiune, o Divizorul de curent, o Implementarea experimentală a divizorului

Διαβάστε περισσότερα

10. STABILIZATOAE DE TENSIUNE 10.1 STABILIZATOAE DE TENSIUNE CU TANZISTOAE BIPOLAE Stabilizatorul de tensiune cu tranzistor compară în permanenţă valoare tensiunii de ieşire (stabilizate) cu tensiunea

Διαβάστε περισσότερα

2.2. ELEMENTE DE LOGICA CIRCUITELOR NUMERICE

2.2. ELEMENTE DE LOGICA CIRCUITELOR NUMERICE 2.2. LMNT D LOGIC CIRCUITLOR NUMRIC Pe lângă capacitatea de a eectua operańii aritmetice, un microprocesor poate i programat să realizeze operańii logice ca ND, OR, XOR, NOT, etc. În acelaşi timp, elemente

Διαβάστε περισσότερα

V.7. Condiţii necesare de optimalitate cazul funcţiilor diferenţiabile

V.7. Condiţii necesare de optimalitate cazul funcţiilor diferenţiabile Metode de Optimizare Curs V.7. Condiţii necesare de optimalitate cazul funcţiilor diferenţiabile Propoziţie 7. (Fritz-John). Fie X o submulţime deschisă a lui R n, f:x R o funcţie de clasă C şi ϕ = (ϕ,ϕ

Διαβάστε περισσότερα

2. Circuite logice 2.5. Sumatoare şi multiplicatoare. Copyright Paul GASNER

2. Circuite logice 2.5. Sumatoare şi multiplicatoare. Copyright Paul GASNER 2. Circuite logice 2.5. Sumatoare şi multiplicatoare Copyright Paul GASNER Adunarea în sistemul binar Adunarea se poate efectua în mod identic ca la adunarea obişnuită cu cifre arabe în sistemul zecimal

Διαβάστε περισσότερα

AUTOMATE FINITE. Un automat cu stări finite se defineşte formal prin cvintuplul

AUTOMATE FINITE. Un automat cu stări finite se defineşte formal prin cvintuplul AUTOMATE FINITE. Scopul lucrării Studiul automatelor cu stări finite ce conţin bistabile care lucrează sincron şi intrări care se modifică sincron sau asincron cu semnalul de ceas. escrierea funcţionării

Διαβάστε περισσότερα

riptografie şi Securitate

riptografie şi Securitate riptografie şi Securitate - Prelegerea 12 - Scheme de criptare CCA sigure Adela Georgescu, Ruxandra F. Olimid Facultatea de Matematică şi Informatică Universitatea din Bucureşti Cuprins 1. Schemă de criptare

Διαβάστε περισσότερα

Problema a II - a (10 puncte) Diferite circuite electrice

Problema a II - a (10 puncte) Diferite circuite electrice Olimpiada de Fizică - Etapa pe judeţ 15 ianuarie 211 XI Problema a II - a (1 puncte) Diferite circuite electrice A. Un elev utilizează o sursă de tensiune (1), o cutie cu rezistenţe (2), un întrerupător

Διαβάστε περισσότερα

CIRCUITE LOGICE CU TB

CIRCUITE LOGICE CU TB CIRCUITE LOGICE CU T I. OIECTIVE a) Determinarea experimentală a unor funcţii logice pentru circuite din familiile RTL, DTL. b) Determinarea dependenţei caracteristicilor statice de transfer în tensiune

Διαβάστε περισσότερα

Cursul nr. 6. C6.1 Multiplexorul / Selectorul de date

Cursul nr. 6. C6.1 Multiplexorul / Selectorul de date C61 Multiplexorul / Selectorul de date Cursul nr 6 Multiplexorul (MUX) este un circuit logic combinańional care selectează una din intrările sale pentru a o transmite la ieşirea unică Schema de principiu

Διαβάστε περισσότερα

Curs 4 Serii de numere reale

Curs 4 Serii de numere reale Curs 4 Serii de numere reale Facultatea de Hidrotehnică Universitatea Tehnică "Gh. Asachi" Iaşi 2014 Criteriul rădăcinii sau Criteriul lui Cauchy Teoremă (Criteriul rădăcinii) Fie x n o serie cu termeni

Διαβάστε περισσότερα

11.3 CIRCUITE PENTRU GENERAREA IMPULSURILOR CIRCUITE BASCULANTE Circuitele basculante sunt circuite electronice prevăzute cu o buclă de reacţie pozitivă, folosite la generarea impulsurilor. Aceste circuite

Διαβάστε περισσότερα

Curs 1 Şiruri de numere reale

Curs 1 Şiruri de numere reale Bibliografie G. Chiorescu, Analiză matematică. Teorie şi probleme. Calcul diferenţial, Editura PIM, Iaşi, 2006. R. Luca-Tudorache, Analiză matematică, Editura Tehnopress, Iaşi, 2005. M. Nicolescu, N. Roşculeţ,

Διαβάστε περισσότερα

4.2. CIRCUITE LOGICE ÎN TEHNOLOGIE INTEGRATĂ

4.2. CIRCUITE LOGICE ÎN TEHNOLOGIE INTEGRATĂ 4.2. CIRCUITE LOGICE ÎN TEHNOLOGIE INTEGRTĂ În prezent, circuitele logice se realizează în exclusivitate prin tehnica integrării monolitice. În funcţie de tehnologia utilizată, circuitele logice integrate

Διαβάστε περισσότερα

Seminar 5 Analiza stabilității sistemelor liniare

Seminar 5 Analiza stabilității sistemelor liniare Seminar 5 Analiza stabilității sistemelor liniare Noțiuni teoretice Criteriul Hurwitz de analiză a stabilității sistemelor liniare În cazul sistemelor liniare, stabilitatea este o condiție de localizare

Διαβάστε περισσότερα

Fig Impedanţa condensatoarelor electrolitice SMD cu Al cu electrolit semiuscat în funcţie de frecvenţă [36].

Fig Impedanţa condensatoarelor electrolitice SMD cu Al cu electrolit semiuscat în funcţie de frecvenţă [36]. Componente şi circuite pasive Fig.3.85. Impedanţa condensatoarelor electrolitice SMD cu Al cu electrolit semiuscat în funcţie de frecvenţă [36]. Fig.3.86. Rezistenţa serie echivalentă pierderilor în funcţie

Διαβάστε περισσότερα

DISTANŢA DINTRE DOUĂ DREPTE NECOPLANARE

DISTANŢA DINTRE DOUĂ DREPTE NECOPLANARE DISTANŢA DINTRE DOUĂ DREPTE NECOPLANARE ABSTRACT. Materialul prezintă o modalitate de a afla distanţa dintre două drepte necoplanare folosind volumul tetraedrului. Lecţia se adresează clasei a VIII-a Data:

Διαβάστε περισσότερα

5. FUNCŢII IMPLICITE. EXTREME CONDIŢIONATE.

5. FUNCŢII IMPLICITE. EXTREME CONDIŢIONATE. 5 Eerciţii reolvate 5 UNCŢII IMPLICITE EXTREME CONDIŢIONATE Eerciţiul 5 Să se determine şi dacă () este o funcţie definită implicit de ecuaţia ( + ) ( + ) + Soluţie ie ( ) ( + ) ( + ) + ( )R Evident este

Διαβάστε περισσότερα

1.7. AMPLIFICATOARE DE PUTERE ÎN CLASA A ŞI AB

1.7. AMPLIFICATOARE DE PUTERE ÎN CLASA A ŞI AB 1.7. AMLFCATOARE DE UTERE ÎN CLASA A Ş AB 1.7.1 Amplificatoare în clasa A La amplificatoarele din clasa A, forma de undă a tensiunii de ieşire este aceeaşi ca a tensiunii de intrare, deci întreg semnalul

Διαβάστε περισσότερα

Electronică anul II PROBLEME

Electronică anul II PROBLEME Electronică anul II PROBLEME 1. Găsiți expresiile analitice ale funcției de transfer şi defazajului dintre tensiunea de ieşire şi tensiunea de intrare pentru cuadrupolii din figurile de mai jos și reprezentați-le

Διαβάστε περισσότερα

a n (ζ z 0 ) n. n=1 se numeste partea principala iar seria a n (z z 0 ) n se numeste partea

a n (ζ z 0 ) n. n=1 se numeste partea principala iar seria a n (z z 0 ) n se numeste partea Serii Laurent Definitie. Se numeste serie Laurent o serie de forma Seria n= (z z 0 ) n regulata (tayloriana) = (z z n= 0 ) + n se numeste partea principala iar seria se numeste partea Sa presupunem ca,

Διαβάστε περισσότερα

Planul determinat de normală şi un punct Ecuaţia generală Plane paralele Unghi diedru Planul determinat de 3 puncte necoliniare

Planul determinat de normală şi un punct Ecuaţia generală Plane paralele Unghi diedru Planul determinat de 3 puncte necoliniare 1 Planul în spaţiu Ecuaţia generală Plane paralele Unghi diedru 2 Ecuaţia generală Plane paralele Unghi diedru Fie reperul R(O, i, j, k ) în spaţiu. Numim normala a unui plan, un vector perpendicular pe

Διαβάστε περισσότερα

SIGURANŢE CILINDRICE

SIGURANŢE CILINDRICE SIGURANŢE CILINDRICE SIGURANŢE CILINDRICE CH Curent nominal Caracteristici de declanşare 1-100A gg, am Aplicaţie: Siguranţele cilindrice reprezintă cea mai sigură protecţie a circuitelor electrice de control

Διαβάστε περισσότερα

Aplicaţii ale principiului I al termodinamicii la gazul ideal

Aplicaţii ale principiului I al termodinamicii la gazul ideal Aplicaţii ale principiului I al termodinamicii la gazul ideal Principiul I al termodinamicii exprimă legea conservării şi energiei dintr-o formă în alta şi se exprimă prin relaţia: ΔUQ-L, unde: ΔU-variaţia

Διαβάστε περισσότερα

Functii definitie, proprietati, grafic, functii elementare A. Definitii, proprietatile functiilor X) functia f 1

Functii definitie, proprietati, grafic, functii elementare A. Definitii, proprietatile functiilor X) functia f 1 Functii definitie proprietati grafic functii elementare A. Definitii proprietatile functiilor. Fiind date doua multimi X si Y spunem ca am definit o functie (aplicatie) pe X cu valori in Y daca fiecarui

Διαβάστε περισσότερα

Functii definitie, proprietati, grafic, functii elementare A. Definitii, proprietatile functiilor

Functii definitie, proprietati, grafic, functii elementare A. Definitii, proprietatile functiilor Functii definitie, proprietati, grafic, functii elementare A. Definitii, proprietatile functiilor. Fiind date doua multimi si spunem ca am definit o functie (aplicatie) pe cu valori in daca fiecarui element

Διαβάστε περισσότερα

Curs 14 Funcţii implicite. Facultatea de Hidrotehnică Universitatea Tehnică "Gh. Asachi"

Curs 14 Funcţii implicite. Facultatea de Hidrotehnică Universitatea Tehnică Gh. Asachi Curs 14 Funcţii implicite Facultatea de Hidrotehnică Universitatea Tehnică "Gh. Asachi" Iaşi 2014 Fie F : D R 2 R o funcţie de două variabile şi fie ecuaţia F (x, y) = 0. (1) Problemă În ce condiţii ecuaţia

Διαβάστε περισσότερα

Asupra unei inegalităţi date la barajul OBMJ 2006

Asupra unei inegalităţi date la barajul OBMJ 2006 Asupra unei inegalităţi date la barajul OBMJ 006 Mircea Lascu şi Cezar Lupu La cel de-al cincilea baraj de Juniori din data de 0 mai 006 a fost dată următoarea inegalitate: Fie x, y, z trei numere reale

Διαβάστε περισσότερα

Metode de interpolare bazate pe diferenţe divizate

Metode de interpolare bazate pe diferenţe divizate Metode de interpolare bazate pe diferenţe divizate Radu Trîmbiţaş 4 octombrie 2005 1 Forma Newton a polinomului de interpolare Lagrange Algoritmul nostru se bazează pe forma Newton a polinomului de interpolare

Διαβάστε περισσότερα

11.2 CIRCUITE PENTRU FORMAREA IMPULSURILOR Metoda formării impulsurilor se bazează pe obţinerea unei succesiuni periodice de impulsuri, plecând de la semnale periodice de altă formă, de obicei sinusoidale.

Διαβάστε περισσότερα

III. Serii absolut convergente. Serii semiconvergente. ii) semiconvergentă dacă este convergentă iar seria modulelor divergentă.

III. Serii absolut convergente. Serii semiconvergente. ii) semiconvergentă dacă este convergentă iar seria modulelor divergentă. III. Serii absolut convergente. Serii semiconvergente. Definiţie. O serie a n se numeşte: i) absolut convergentă dacă seria modulelor a n este convergentă; ii) semiconvergentă dacă este convergentă iar

Διαβάστε περισσότερα

Circuite cu tranzistoare. 1. Inversorul CMOS

Circuite cu tranzistoare. 1. Inversorul CMOS Circuite cu tranzistoare 1. Inversorul CMOS MOSFET-urile cu canal indus N si P sunt folosite la familia CMOS de circuite integrate numerice datorită următoarelor avantaje: asigură o creştere a densităţii

Διαβάστε περισσότερα

Esalonul Redus pe Linii (ERL). Subspatii.

Esalonul Redus pe Linii (ERL). Subspatii. Seminarul 1 Esalonul Redus pe Linii (ERL). Subspatii. 1.1 Breviar teoretic 1.1.1 Esalonul Redus pe Linii (ERL) Definitia 1. O matrice A L R mxn este in forma de Esalon Redus pe Linii (ERL), daca indeplineste

Διαβάστε περισσότερα

Componente şi Circuite Electronice Pasive. Laborator 4. Măsurarea parametrilor mărimilor electrice

Componente şi Circuite Electronice Pasive. Laborator 4. Măsurarea parametrilor mărimilor electrice Laborator 4 Măsurarea parametrilor mărimilor electrice Obiective: o Semnalul sinusoidal, o Semnalul dreptunghiular, o Semnalul triunghiular, o Generarea diferitelor semnale folosind placa multifuncţională

Διαβάστε περισσότερα

Lucrarea Nr. 5 Circuite simple cu diode (Aplicaţii)

Lucrarea Nr. 5 Circuite simple cu diode (Aplicaţii) ucrarea Nr. 5 Circuite simple cu diode (Aplicaţii) A.Scopul lucrării - Verificarea experimentală a rezultatelor obţinute prin analiza circuitelor cu diode modelate liniar pe porţiuni ;.Scurt breviar teoretic

Διαβάστε περισσότερα

2. Circuite logice Memorii. Copyright Paul GASNER

2. Circuite logice Memorii. Copyright Paul GASNER 2. Circuite logice 2.11. Memorii Copyright Paul GASNER Random Access Memory RAM Toate circuitele secvenţiale depind de memorii un flip-flop poate stoca doar un bit de informaţie un registru poate stoca

Διαβάστε περισσότερα

CAPITOLUL 5. CIRCUITE LOGICE COMBINAŢIONALE

CAPITOLUL 5. CIRCUITE LOGICE COMBINAŢIONALE AUXILIAR ELECTRONICĂ DIGITALĂ CAPITOLUL 5. CIRCUITE LOGICE COMBINAŢIONALE 5.1. GENERALITĂŢI Circuitele logice combinaţionale (CLC) sunt circuite alcătuite din porţi logice de bază a căror operare poate

Διαβάστε περισσότερα

Examen AG. Student:... Grupa:... ianuarie 2011

Examen AG. Student:... Grupa:... ianuarie 2011 Problema 1. Pentru ce valori ale lui n,m N (n,m 1) graful K n,m este eulerian? Problema 2. Să se construiască o funcţie care să recunoască un graf P 3 -free. La intrare aceasta va primi un graf G = ({1,...,n},E)

Διαβάστε περισσότερα

VII.2. PROBLEME REZOLVATE

VII.2. PROBLEME REZOLVATE Teoria Circuitelor Electrice Aplicaţii V PROBEME REOVATE R7 În circuitul din fiura 7R se cunosc: R e t 0 sint [V] C C t 0 sint [A] Se cer: a rezolvarea circuitului cu metoda teoremelor Kirchhoff; rezolvarea

Διαβάστε περισσότερα

Sisteme diferenţiale liniare de ordinul 1

Sisteme diferenţiale liniare de ordinul 1 1 Metoda eliminării 2 Cazul valorilor proprii reale Cazul valorilor proprii nereale 3 Catedra de Matematică 2011 Forma generală a unui sistem liniar Considerăm sistemul y 1 (x) = a 11y 1 (x) + a 12 y 2

Διαβάστε περισσότερα

a. 11 % b. 12 % c. 13 % d. 14 %

a. 11 % b. 12 % c. 13 % d. 14 % 1. Un motor termic funcţionează după ciclul termodinamic reprezentat în sistemul de coordonate V-T în figura alăturată. Motorul termic utilizează ca substanţă de lucru un mol de gaz ideal având exponentul

Διαβάστε περισσότερα

MARCAREA REZISTOARELOR

MARCAREA REZISTOARELOR 1.2. MARCAREA REZISTOARELOR 1.2.1 MARCARE DIRECTĂ PRIN COD ALFANUMERIC. Acest cod este format din una sau mai multe cifre şi o literă. Litera poate fi plasată după grupul de cifre (situaţie în care valoarea

Διαβάστε περισσότερα

SERII NUMERICE. Definiţia 3.1. Fie (a n ) n n0 (n 0 IN) un şir de numere reale şi (s n ) n n0

SERII NUMERICE. Definiţia 3.1. Fie (a n ) n n0 (n 0 IN) un şir de numere reale şi (s n ) n n0 SERII NUMERICE Definiţia 3.1. Fie ( ) n n0 (n 0 IN) un şir de numere reale şi (s n ) n n0 şirul definit prin: s n0 = 0, s n0 +1 = 0 + 0 +1, s n0 +2 = 0 + 0 +1 + 0 +2,.......................................

Διαβάστε περισσότερα

III. Reprezentarea informaţiei în sistemele de calcul

III. Reprezentarea informaţiei în sistemele de calcul Metode Numerice Curs 3 III. Reprezentarea informaţiei în sistemele de calcul III.1. Reprezentarea internă a numerelor întregi III. 1.1. Reprezentarea internă a numerelor întregi fără semn (pozitive) Reprezentarea

Διαβάστε περισσότερα

V O. = v I v stabilizator

V O. = v I v stabilizator Stabilizatoare de tensiune continuă Un stabilizator de tensiune este un circuit electronic care păstrează (aproape) constantă tensiunea de ieșire la variaţia între anumite limite a tensiunii de intrare,

Διαβάστε περισσότερα

CIRCUITE CU PORŢI DE TRANSFER CMOS

CIRCUITE CU PORŢI DE TRANSFER CMOS CIRCUITE CU PORŢI DE TRANSFER CMOS I. OBIECTIVE a) Înţelegerea funcţionării porţii de transfer. b) Determinarea rezistenţelor porţii în starea de blocare, respectiv de conducţie. c) Înţelegerea modului

Διαβάστε περισσότερα

SEMINAR 14. Funcţii de mai multe variabile (continuare) ( = 1 z(x,y) x = 0. x = f. x + f. y = f. = x. = 1 y. y = x ( y = = 0

SEMINAR 14. Funcţii de mai multe variabile (continuare) ( = 1 z(x,y) x = 0. x = f. x + f. y = f. = x. = 1 y. y = x ( y = = 0 Facultatea de Hidrotehnică, Geodezie şi Ingineria Mediului Matematici Superioare, Semestrul I, Lector dr. Lucian MATICIUC SEMINAR 4 Funcţii de mai multe variabile continuare). Să se arate că funcţia z,

Διαβάστε περισσότερα

ANEXA 4. OPERAŢII ARITMETICE IMPLEMENTĂRI

ANEXA 4. OPERAŢII ARITMETICE IMPLEMENTĂRI ANEXA 4. OPERAŢII ARITMETICE IMPLEMENTĂRI ADUNAREA ÎN BINAR: A + B Adunarea a două numere de câte N biţi va furniza un rezultat pe N+1 biţi. Figura1. Anexa4. Sumator binar complet Schema bloc a unui sumator

Διαβάστε περισσότερα

R R, f ( x) = x 7x+ 6. Determinați distanța dintre punctele de. B=, unde x și y sunt numere reale.

R R, f ( x) = x 7x+ 6. Determinați distanța dintre punctele de. B=, unde x și y sunt numere reale. 5p Determinați primul termen al progresiei geometrice ( b n ) n, știind că b 5 = 48 și b 8 = 84 5p Se consideră funcția f : intersecție a graficului funcției f cu aa O R R, f ( ) = 7+ 6 Determinați distanța

Διαβάστε περισσότερα

Seminariile Capitolul X. Integrale Curbilinii: Serii Laurent şi Teorema Reziduurilor

Seminariile Capitolul X. Integrale Curbilinii: Serii Laurent şi Teorema Reziduurilor Facultatea de Matematică Calcul Integral şi Elemente de Analiă Complexă, Semestrul I Lector dr. Lucian MATICIUC Seminariile 9 20 Capitolul X. Integrale Curbilinii: Serii Laurent şi Teorema Reiduurilor.

Διαβάστε περισσότερα

Proiectarea filtrelor prin metoda pierderilor de inserţie

Proiectarea filtrelor prin metoda pierderilor de inserţie FITRE DE MIROUNDE Proiectarea filtrelor prin metoda pierderilor de inserţie P R Puterea disponibila de la sursa Puterea livrata sarcinii P inc P Γ ( ) Γ I lo P R ( ) ( ) M ( ) ( ) M N P R M N ( ) ( ) Tipuri

Διαβάστε περισσότερα

COLEGIUL NATIONAL CONSTANTIN CARABELLA TARGOVISTE. CONCURSUL JUDETEAN DE MATEMATICA CEZAR IVANESCU Editia a VI-a 26 februarie 2005.

COLEGIUL NATIONAL CONSTANTIN CARABELLA TARGOVISTE. CONCURSUL JUDETEAN DE MATEMATICA CEZAR IVANESCU Editia a VI-a 26 februarie 2005. SUBIECTUL Editia a VI-a 6 februarie 005 CLASA a V-a Fie A = x N 005 x 007 si B = y N y 003 005 3 3 a) Specificati cel mai mic element al multimii A si cel mai mare element al multimii B. b)stabiliti care

Διαβάστε περισσότερα

Subiecte Clasa a VIII-a

Subiecte Clasa a VIII-a Subiecte lasa a VIII-a (40 de intrebari) Puteti folosi spatiile goale ca ciorna. Nu este de ajuns sa alegeti raspunsul corect pe brosura de subiecte, ele trebuie completate pe foaia de raspuns in dreptul

Διαβάστε περισσότερα

Ovidiu Gabriel Avădănei, Florin Mihai Tufescu,

Ovidiu Gabriel Avădănei, Florin Mihai Tufescu, vidiu Gabriel Avădănei, Florin Mihai Tufescu, Capitolul 6 Amplificatoare operaţionale 58. Să se calculeze coeficientul de amplificare în tensiune pentru amplficatorul inversor din fig.58, pentru care se

Διαβάστε περισσότερα

Circuite electrice in regim permanent

Circuite electrice in regim permanent Ovidiu Gabriel Avădănei, Florin Mihai Tufescu, Electronică - Probleme apitolul. ircuite electrice in regim permanent. În fig. este prezentată diagrama fazorială a unui circuit serie. a) e fenomen este

Διαβάστε περισσότερα

UTILIZAREA CIRCUITELOR BASCULANTE IN NUMARATOARE ELECTRONICE

UTILIZAREA CIRCUITELOR BASCULANTE IN NUMARATOARE ELECTRONICE COLEGIUL UCECOM SPIRU HARET BUCURESTI UTILIZAREA CIRCUITELOR BASCULANTE IN NUMARATOARE ELECTRONICE Elev : Popa Maria Clasa :a-xi-a A Indrumator:prof.Chirescu Emil APLICATII PRACTICE CE POT FI REALIZATE

Διαβάστε περισσότερα

Integrala nedefinită (primitive)

Integrala nedefinită (primitive) nedefinita nedefinită (primitive) nedefinita 2 nedefinita februarie 20 nedefinita.tabelul primitivelor Definiţia Fie f : J R, J R un interval. Funcţia F : J R se numeşte primitivă sau antiderivată a funcţiei

Διαβάστε περισσότερα

RĂSPUNS Modulul de rezistenţă este o caracteristică geometrică a secţiunii transversale, scrisă faţă de una dintre axele de inerţie principale:,

RĂSPUNS Modulul de rezistenţă este o caracteristică geometrică a secţiunii transversale, scrisă faţă de una dintre axele de inerţie principale:, REZISTENTA MATERIALELOR 1. Ce este modulul de rezistenţă? Exemplificaţi pentru o secţiune dreptunghiulară, respectiv dublu T. RĂSPUNS Modulul de rezistenţă este o caracteristică geometrică a secţiunii

Διαβάστε περισσότερα

Detalii privind circuitele FPGA (Field Programmable Gate Array).

Detalii privind circuitele FPGA (Field Programmable Gate Array). Detalii privind circuitele FPGA (Field Programmable Gate Array). 1. Introducere. Obiective urmarite: principii, implementare, programarea circuitelor logice configurabile din punctul de vedere al proiectarii

Διαβάστε περισσότερα

Subiecte Clasa a VII-a

Subiecte Clasa a VII-a lasa a VII Lumina Math Intrebari Subiecte lasa a VII-a (40 de intrebari) Puteti folosi spatiile goale ca ciorna. Nu este de ajuns sa alegeti raspunsul corect pe brosura de subiecte, ele trebuie completate

Διαβάστε περισσότερα

Examen AG. Student:... Grupa: ianuarie 2016

Examen AG. Student:... Grupa: ianuarie 2016 16-17 ianuarie 2016 Problema 1. Se consideră graful G = pk n (p, n N, p 2, n 3). Unul din vârfurile lui G se uneşte cu câte un vârf din fiecare graf complet care nu-l conţine, obţinându-se un graf conex

Διαβάστε περισσότερα

Criptosisteme cu cheie publică III

Criptosisteme cu cheie publică III Criptosisteme cu cheie publică III Anul II Aprilie 2017 Problema rucsacului ( knapsack problem ) Considerăm un număr natural V > 0 şi o mulţime finită de numere naturale pozitive {v 0, v 1,..., v k 1 }.

Διαβάστε περισσότερα

Curs 2 DIODE. CIRCUITE DR

Curs 2 DIODE. CIRCUITE DR Curs 2 OE. CRCUTE R E CUPRN tructură. imbol Relația curent-tensiune Regimuri de funcționare Punct static de funcționare Parametrii diodei Modelul cu cădere de tensiune constantă Analiza circuitelor cu

Διαβάστε περισσότερα

LUCRAREA NR. 1 STUDIUL SURSELOR DE CURENT

LUCRAREA NR. 1 STUDIUL SURSELOR DE CURENT LUCAEA N STUDUL SUSELO DE CUENT Scopul lucrării În această lucrare se studiază prin simulare o serie de surse de curent utilizate în cadrul circuitelor integrate analogice: sursa de curent standard, sursa

Διαβάστε περισσότερα

CIRCUITE BASCULANTE BISTABILE

CIRCUITE BASCULANTE BISTABILE 6 CICUITE BACULANTE BITABILE 6. Introducere Circuitele basculante bistabile sau, mai scurt, circuitele bistabile sunt circuite care pot avea la ieşire două stări stabile: logic şi logic. Circuitul poate

Διαβάστε περισσότερα

Laborator 11. Mulţimi Julia. Temă

Laborator 11. Mulţimi Julia. Temă Laborator 11 Mulţimi Julia. Temă 1. Clasa JuliaGreen. Să considerăm clasa JuliaGreen dată de exemplu la curs pentru metoda locului final şi să schimbăm numărul de iteraţii nriter = 100 în nriter = 101.

Διαβάστε περισσότερα

POARTA TTL STANDARD. Studiul parametrilor circuitelor TTL standard şi determinarea caracteristicilor porţii logice fundamentale.

POARTA TTL STANDARD. Studiul parametrilor circuitelor TTL standard şi determinarea caracteristicilor porţii logice fundamentale. PORT TTL STNDRD 1 Scopul lucrării Studiul parametrilor circuitelor TTL standard şi determinarea caracteristicilor porţii logice fundamentale 2 parate necesare - panou logic - sursă dublă de alimentare

Διαβάστε περισσότερα

4. Măsurarea tensiunilor şi a curenţilor electrici. Voltmetre electronice analogice

4. Măsurarea tensiunilor şi a curenţilor electrici. Voltmetre electronice analogice 4. Măsurarea tensiunilor şi a curenţilor electrici oltmetre electronice analogice oltmetre de curent continuu Ampl.c.c. x FTJ Protectie Atenuator calibrat Atenuatorul calibrat divizor rezistiv R in const.

Διαβάστε περισσότερα

Transformări de frecvenţă

Transformări de frecvenţă Lucrarea 22 Tranformări de frecvenţă Scopul lucrării: prezentarea metodei de inteză bazate pe utilizarea tranformărilor de frecvenţă şi exemplificarea aceteia cu ajutorul unui filtru trece-jo de tip Sallen-Key.

Διαβάστε περισσότερα

GENERATOR DE SECVENŢE BINARE PSEUDOALEATOARE

GENERATOR DE SECVENŢE BINARE PSEUDOALEATOARE GENERATOR DE SECVENŢE BINARE PSEUDOALEATOARE 1. Consideraţii teoretice Zgomotul alb este un proces aleator cu densitate spectrală de putere constantă într-o bandă infinită de frecvenţe. Zgomotul cvasialb

Διαβάστε περισσότερα

Arhitectura Calculatoarelor. Fizică - Informatică an II. 2. Circuite logice. Copyright Paul GASNER 1

Arhitectura Calculatoarelor. Fizică - Informatică an II. 2. Circuite logice. Copyright Paul GASNER 1 Arhitectura Calculatoarelor Fizică - Informatică an II gasner@uaic.ro 2. Circuite logice Copyright Paul GASNER 1 Funcţii booleene Porţi logice Circuite combinaţionale codoare şi decodoare Cuprins multiplexoare

Διαβάστε περισσότερα

wscopul lucrării: prezentarea modului de realizare şi de determinare a valorilor parametrilor generatoarelor de semnal.

wscopul lucrării: prezentarea modului de realizare şi de determinare a valorilor parametrilor generatoarelor de semnal. wscopul lucrării: prezentarea modului de realizare şi de determinare a valorilor parametrilor generatoarelor de semnal. Cuprins I. Generator de tensiune dreptunghiulară cu AO. II. Generator de tensiune

Διαβάστε περισσότερα

Platformă de e-learning și curriculă e-content pentru învățământul superior tehnic

Platformă de e-learning și curriculă e-content pentru învățământul superior tehnic Platformă de e-learning și curriculă e-content pentru învățământul superior tehnic Elemente de Electronică Analogică 35. Stabilizatoare de tensiune integrate STABILIZATOARE DE TENSIUNE INTEGRATE Stabilizatoarele

Διαβάστε περισσότερα

M. Stef Probleme 3 11 decembrie Curentul alternativ. Figura pentru problema 1.

M. Stef Probleme 3 11 decembrie Curentul alternativ. Figura pentru problema 1. Curentul alternativ 1. Voltmetrele din montajul din figura 1 indică tensiunile efective U = 193 V, U 1 = 60 V și U 2 = 180 V, frecvența tensiunii aplicate fiind ν = 50 Hz. Cunoscând că R 1 = 20 Ω, să se

Διαβάστε περισσότερα

IV. CUADRIPOLI SI FILTRE ELECTRICE CAP. 13. CUADRIPOLI ELECTRICI

IV. CUADRIPOLI SI FILTRE ELECTRICE CAP. 13. CUADRIPOLI ELECTRICI V. POL S FLTE ELETE P. 3. POL ELET reviar a) Forma fundamentala a ecuatiilor cuadripolilor si parametrii fundamentali: Prima forma fundamentala: doua forma fundamentala: b) Parametrii fundamentali au urmatoarele

Διαβάστε περισσότερα

Definiţia generală Cazul 1. Elipsa şi hiperbola Cercul Cazul 2. Parabola Reprezentari parametrice ale conicelor Tangente la conice

Definiţia generală Cazul 1. Elipsa şi hiperbola Cercul Cazul 2. Parabola Reprezentari parametrice ale conicelor Tangente la conice 1 Conice pe ecuaţii reduse 2 Conice pe ecuaţii reduse Definiţie Numim conica locul geometric al punctelor din plan pentru care raportul distantelor la un punct fix F şi la o dreaptă fixă (D) este o constantă

Διαβάστε περισσότερα

Instalare hardware. Configurare Software 1. Configurarea exemplul unui sistem de operare calculator Microsoft Windows 7.

Instalare hardware. Configurare Software 1. Configurarea exemplul unui sistem de operare calculator Microsoft Windows 7. Manual de utilizare ROUTER 4 în 1 - ΩMEGA O31 - Router Wireless N 150M. Vă mulțumim pentru achiziționarea routerului ΩMEGA Wireless. Am făcut toate eforturile pentru a se asigura că dispozitivul îndeplinește

Διαβάστε περισσότερα

2. Circuite logice 2.2. Diagrame Karnaugh. Copyright Paul GASNER 1

2. Circuite logice 2.2. Diagrame Karnaugh. Copyright Paul GASNER 1 2. Circuite logice 2.2. Diagrame Karnaugh Copyright Paul GASNER Diagrame Karnaugh Tehnică de simplificare a unei expresii în sumă minimă de produse (minimal sum of products MSP): Există un număr minim

Διαβάστε περισσότερα

Capitolul 14. Asamblari prin pene

Capitolul 14. Asamblari prin pene Capitolul 14 Asamblari prin pene T.14.1. Momentul de torsiune este transmis de la arbore la butuc prin intermediul unei pene paralele (figura 14.1). De care din cotele indicate depinde tensiunea superficiala

Διαβάστε περισσότερα

4 SISTEME SECVENŢIALE

4 SISTEME SECVENŢIALE . 4 SISTEME SECVENŢIALE Aplicaţiile in acest capitol îşi propun să prezinte circuite secvenţiale sincrone şi asincrone cu un nivel e structurare superior faţă e cel al circuitelor prezentate în capitolul

Διαβάστε περισσότερα

Analiza funcționării și proiectarea unui stabilizator de tensiune continuă realizat cu o diodă Zener

Analiza funcționării și proiectarea unui stabilizator de tensiune continuă realizat cu o diodă Zener Analiza funcționării și proiectarea unui stabilizator de tensiune continuă realizat cu o diodă Zener 1 Caracteristica statică a unei diode Zener În cadranul, dioda Zener (DZ) se comportă ca o diodă redresoare

Διαβάστε περισσότερα

Capitolul 4 Amplificatoare elementare

Capitolul 4 Amplificatoare elementare Capitolul 4 mplificatoare elementare 4.. Etaje de amplificare cu un tranzistor 4... Etajul emitor comun V CC C B B C C L L o ( // ) V gm C i rπ // B // o L // C // L B ro i B E C E 4... Etajul colector

Διαβάστε περισσότερα

Introducere. Tipuri de comparatoare.

Introducere. Tipuri de comparatoare. FLORIN MIHAI TUFESCU DISPOZITIVE ŞI CIRCUITE ELECTRONICE (II) 2. Circuite analogice de comutaţie. Circuitele cu funcţionare în regim de comutaţie au două stări stabile între care suferă o trecere rapidă

Διαβάστε περισσότερα

Stabilizator cu diodă Zener

Stabilizator cu diodă Zener LABAT 3 Stabilizator cu diodă Zener Se studiază stabilizatorul parametric cu diodă Zener si apoi cel cu diodă Zener şi tranzistor. Se determină întâi tensiunea Zener a diodei şi se calculează apoi un stabilizator

Διαβάστε περισσότερα

Cap.3 CLASE DE CIRCUITE LOGICE COMBINAŢIONALE

Cap.3 CLASE DE CIRCUITE LOGICE COMBINAŢIONALE Circuite Logice Combinaţionale 143 Cap.3 CLASE DE CIRCUITE LOGICE COMBINAŢIONALE Circuitele integrate digitale cu complexitate mare, numite şi sisteme digitale, conţin în structura lor un număr foarte

Διαβάστε περισσότερα

Tabelul tranziţiilor este prezentat mai jos. La construirea sumatorului folosim bistabile de tip JK: (3.1)

Tabelul tranziţiilor este prezentat mai jos. La construirea sumatorului folosim bistabile de tip JK: (3.1) Lucrarea 3 Sumatoare Ripple, Carry-Lookahead şi Carry Save În această lucrare sunt introduse sumatoarele Ripple Carry, Carry Lookahead şi Carry Save. Apoi este prezentat cadrul în care se pot face evaluări

Διαβάστε περισσότερα

Subiecte Clasa a V-a

Subiecte Clasa a V-a (40 de intrebari) Puteti folosi spatiile goale ca ciorna. Nu este de ajuns sa alegeti raspunsul corect pe brosura de subiecte, ele trebuie completate pe foaia de raspuns in dreptul numarului intrebarii

Διαβάστε περισσότερα

Fig Dependenţa curentului de fugă de temperatură. I 0 este curentul de fugă la θ = 25 C [30].

Fig Dependenţa curentului de fugă de temperatură. I 0 este curentul de fugă la θ = 25 C [30]. Fig.3.43. Dependenţa curentului de fugă de temperatură. I 0 este curentul de fugă la θ = 25 C [30]. Fig.3.44. Dependenţa curentului de fugă de raportul U/U R. I 0 este curentul de fugă la tensiunea nominală

Διαβάστε περισσότερα