Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Σχετικά έγγραφα
«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας

ΑΣΚΗΣΗ 2: Σχεδίαση και προσομοίωση κυκλωμάτων καταχωρητών και μετρητών

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε.

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1

Σχεδίαση Ψηφιακών Συστημάτων

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Προχωρημένα Θέματα Σχεδιασμού με VHDL

VHDL για Σχεδιασµό Ακολουθιακών Κυκλωµάτων

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 Συµπληρωµατική ΔΙΑΛΕΞΗ 14: Περιγραφή Ακολουθιακών Κυκλωµάτων στη VHDL

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Καταχωρητές και χρονισμός με ρολόι

ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL

Σχεδίαση Ψηφιακών Συστημάτων

Ακολουθιακές εντολές. (Peter Ashenden, The Students Guide to VHDL)

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. VHDL για Ακολουθιακά Κυκλώματα 1

Σχεδίαση Ψηφιακών Συστημάτων

Ψηφιακά Συστήματα. 8. Καταχωρητές

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συντρέχων Κώδικας

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

Ενότητα ΑΡΧΕΣ ΑΚΟΛΟΥΘΙΑΚΗΣ ΛΟΓΙΚΗΣ LATCHES & FLIP-FLOPS

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

9. ΚΑΤΑΧΩΡΗΤΕΣ (REGISTERS)

ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ & ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΥΛΙΚΟ ΚΑΙ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ. Ενότητα 1. Λογικής Σχεδίασης. Καθηγητής Αντώνης Πασχάλης

Ψηφιακή Σχεδίαση Ενότητα 10:

Ενότητα ΚΑΤΑΧΩΡΗΤΕΣ ΜΕΤΡΗΤΕΣ ΜΝΗΜΕΣ RAM

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 16: Μετρητές (Counters)

Κυκλωμάτων» Χειμερινό εξάμηνο

ΑΣΚΗΣΗ 9. Tα Flip-Flop

Μικροηλεκτρονική - VLSI

8.1 Θεωρητική εισαγωγή

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Δομική περιγραφή και περιγραφή Μηχανών Πεπερασμένων Καταστάσεων

Γλώσσα Περιγραφής Υλικού VHDL Μέρος Α: Σωστή Σύνθεση

Library, package και subprograms

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

Ελίνα Μακρή

Καταχωρητες (Registers) Μετρητες (Counters)

Σχεδιασμός Ψηφιακών Συστημάτων

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο Διάλεξη 8 η : Μηχανές Πεπερασμένων Κaταστάσεων σε FPGAs

Σχεδίαση κυκλωμάτων με VHDL: 2o μέρος

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

Καταχωρητές και Μετρητές

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΚΑΤΑΧΩΡΗΤΕΣ ΟΛΙΣΘΗΤΕΣ

Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι. Εισαγωγή στη VHDL

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

VHDL για Σχεδιασµό Συνδυαστικών και Ακολουθιακών Ψηφιακών Κυκλωµάτων

ΑΣΚΗΣΗ 7 FLIP - FLOP

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ

Μελέτη και σχεδίαση µιας υποτυπώδους κεντρικής µονάδας επεξεργασίας στα 32 µπιτ.


Οι Βιβλιοθήκες IEEE και παραδείγµατα functions

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Μετρητής Ριπής (Ripple Counter) Μετρητές (Counters) Μετρητής Ριπής (συν.

Μετρητής Ριπής ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ. Αναφορά 9 ης. εργαστηριακής άσκησης: ΑΦΡΟΔΙΤΗ ΤΟΥΦΑ Α.Μ.:

HY430 Εργαστήριο Ψηφιακών Κυκλωμάτων. Πολυπλέκτες Καμπύλη Παρέτο. Κωδικοποιητές/Από-κωδικοποιητές D FF

Πρόλογος...13 I ΣΧΕ ΙΑΣΜΟΣ ΣΕ ΕΠΙΠΕ Ο ΚΥΚΛΩΜΑΤΟΣ Εισαγωγή... 19

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ. ΚΕΦΑΛΑΙΟ 2ο ΚΑΤΑΧΩΡΗΤΕΣ. (c) Αμπατζόγλου Γιάννης, Ηλεκτρονικός Μηχανικός, καθηγητής ΠΕ17

Ασύγχρονοι Απαριθμητές. Διάλεξη 7

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Πακέτα και Συστατικά Στοιχεία (Υποκυκλώματα)

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Μετρητές 1

Σχεδιασµός Συστηµάτων µε VHDL. (Peter Ashenden, The Students Guide to VHDL)

ΗΜΥ 210: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 2008

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ

ΠΕΡΙΕΧΟΜΕΝΑ ΠΕΡΙΕΧΟΜΕΝΑ.3 ΑΣΥΓΧΡΟΝΟΣ ΔYΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.5 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.7 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ ΜΕ LATCH.

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τµήµα Εφαρµοσµένης Πληροφορικής & Πολυµέσων. Ψηφιακή Σχεδίαση. Κεφάλαιο 5: Σύγχρονη Ακολουθιακή

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΟΙ ΚΑΤΑΧΩΡΗΤΕΣ ΚΑΙ Η ΥΛΟΠΟΙΗΣΗ ΤΟΥΣ ΜΕ FLIP-FLOP ΚΑΙ ΠΥΛΕΣ

Σύγχρονοι Απαριθμητές. Διάλεξη 8

ΑΣΚΗΣΗ 8 ΚΑΤΑΧΩΡΗΤΕΣ - REGISTERS

ΠΑΡΑΡΤΗΜΑ Β. Verification

Κεφάλαιο 5 ο Προγραμματισμός Ολοκληρωμένων Κυκλωμάτων

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Βασικές εντολές και η περιγραφή συνδυαστικών κυκλωµάτων. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 2 -

ε. Ένα κύκλωμα το οποίο παράγει τετραγωνικούς παλμούς και απαιτείται εξωτερική διέγερση ονομάζεται ασταθής πολυδονητής Λ

Σχεδιασμός Ψηφιακών Συστημάτων

Κεφάλαιο 7 ο. Γ. Τσιατούχας. VLSI Technology and Computer Architecture Lab. Ακολουθιακή Λογική 2

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συναρτήσεις, Διαδικασίες και Δομές Ελέγχου Λειτουργίας Κυκλωμάτων (testbenches)

Ακολουθιακά Κυκλώµατα. ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ακολουθιακά Κυκλώµατα (συν.) Ακολουθιακή Λογική: Έννοια

Κεφάλαιο 10 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Ακολουθιακή Λογική 2

7. ΚΑΤΑΧΩΡΗΤΕΣ ΕΡΩΤΗΣΕΙΣ ΑΣΚΗΣΕΙΣ

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ Γ ΕΠΑΛ 14 / 04 / 2019

(Peter Ashenden, The Students Guide to VHDL)

ΛΥΣΕΙΣ 3 ης ΓΡΑΠΤΗΣ ΕΡΓΑΣΙΑΣ

ΑΠΟ ΤΑ ΘΕΜΑΤΑ ΤΩΝ ΠΑΝΕΛΛΗΝΙΩΝ ΕΞΕΤΑΣΕΩΝ ΚΕΦΑΛΑΙΟ 7-8 (ΚΑΤΑΧΩΡΗΤΕΣ & ΑΠΑΡΙΘΜΗΤΕΣ)

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Ακολουθιακή Λογική. Επιμέλεια Διαφανειών: Δ.

Ψηφιακή Λογική και Σχεδίαση

7.1 Θεωρητική εισαγωγή

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

Πανεπιστήμιο Πατρών. Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ

Ασύγχρονοι Απαριθμητές. Διάλεξη 7

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

Ψηφιακή Λογική Σχεδίαση

Α. ΣΚΟΔΡΑΣ ΠΛΗ21 ΟΣΣ#2. 14 Δεκ 2008 ΠΑΤΡΑ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ 2008 Α. ΣΚΟΔΡΑΣ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ

Transcript:

Εργαστήριο Οργάνωσης Η/Υ Δαδαλιάρης Αντώνιος dadaliaris@uth.gr

Συνδυαστικό Κυκλωμα: Το κύκλωμα του οποίου οι έξοδοι εξαρτώνται αποκλειστικά από τις τρέχουσες εισόδους του. Ακολουθιακό Κύκλωμα: Το κύκλωμα του οποίου οι έξοδοι εξαρτώνται όχι μόνο από τις τρέχουσες εισόδους του, αλλά και από την προηγούμενη ακολουθία εισόδων (μνήμη). Βασικά Στοιχεία Μνήμης: D Latch D Flip Flop (DFF) RAM

Ένα DFF δέχεται στην είσοδό του δεδομένα και ένα σήμα ρολογιού. Το DFF ενεργοποιείται όταν υπάρχει συγκεκριμένη μετάβαση στο σήμα ρολογιού. Η ενεργοποίηση σημαίνει ότι η τιμή των δεδομένων η οποία έχει διαβαστεί σε κάποια προηγούμενη χρονική στιγμή, βρίσκεται αποθηκευμένη και προωθείται στην έξοδο μετά την αλλαγή του ρολογιού. Negative / Positive Edge Triggered Asynchronous / Synchronous Reset

T hold : Το χρονικό διάστημα κατά το οποίο το d πρέπει να παραμείνει σταθερό μετά την θετική ακμή του ρολογιού. T setup : Το χρονικό διάστημα κατά το οποίο το d πρέπει να παραμείνει σταθερό πριν από την θετική ακμή του ρολογιού. T clock-to-q : Το χρονικό διάστημα που απαιτείται για την μετάβαση του d στην έξοδο q. metastability

Positive Edge Triggered DFF entity dff is clk, d: in std_logic; q: out std_logic end dff; architecture arch of dff is process(clk) if (clk event and clk= 1 ) then q <= d; end arch;

DFF with Asynchronous Reset entity dffr is clk, reset, d: in std_logic; q: out std_logic end dffr; architecture arch of dffr is process(clk,reset) if (reset= 1 ) then q <= 0 ; elsif rising_edge(clk) then q <= d; end arch;

DFF with Synchronous Reset entity dffr is clk, reset, d: in std_logic; q: out std_logic end dffr; architecture arch of dffr is process(clk) if (clk'event and clk= 1 ) then if (reset = '1') then q <= 0 ; else q <= d; end arch;

Register: multiple DFFs same clock same reset entity reg8 is clk, reset: in std_logic; d: in std_logic_vector(7 downto 0 q: out std_logic_vector(7 downto 0) end reg8; architecture arch of reg8 is process(clk, reset) if (reset= 1 ) then q <= (others=> 0 elsif (clk event and clk= 1 ) then q <= d; end arch;

Shift Registers: Serial In - Serial Out (SISO) Serial In - Parallel Out (SIPO) Parallel In - Parallel Out (PIPO) Parallel In - Serial Out (PISO)

Serial In - Serial Out (SISO) entity siso is clk : in std_logic; si : in std_logic; so : out std_logic end siso; architecture arch of siso is signal temp : std_logic_vector (7 downto 0 process (clk) if (clk'event and clk='1') then temp(7 downto 1) <= temp(6 downto 0 temp(0) <= si; so <= temp(7 end arch;

Serial In - Parallel Out (SIPO) entity sipo is clk,si: in std_logic; po : inout std_logic_vector(7 downto 0) end sipo; architecture arch of sipo is process(clk) if (clk='1' and clk'event)then po(7 downto 1) <= po(6 downto 0 po(0) <= si; end arch;

Parallel In - Parallel Out (PIPO) entity pipo is clk : in std_logic; pi : in std_logic_vector(7 downto 0 po : out std_logic_vector(7 downto 0) end pipo; architecture arch of pipo is process (clk) if (CLK'event and CLK='1') then po <= pi; end arch;

Parallel In - SerialOut (PISO) entity piso is clk,load : in std_logic; pi : in std_logic_vector(7 downto 0 so : out std_logic end piso; architecture arch of piso is signal t : std_logic; signal temp: std_logic_vector(7 downto 0 process (clk,pi,load) if (load='1') then temp(7 downto 0) <= pi(7 downto 0 elsif (CLK'event and CLK='1') then t <= temp(7 temp(7 downto 1) <= temp(6 downto 0 temp(0) <= '0'; so <= t; end arch;

Enable signals Ενεργοποίηση Clear signals Μηδενισμος εισόδου / εξόδου Reset signals Μηδενισμός εξόδου Set signals Ανάθεση τιμών

8-bit BCD counter: asynchronous reset clock enable entity counter is clock_enable, clock, reset: in std_logic; output: out std_logic_vector(7 downto 0) end counter; architecture behavioral of counter is signal temp: std_logic_vector(7 downto 0 process(clock, reset) if (reset = '1') then temp <= "00000000"; elsif (rising_edge(clock)) then if (clock_enable = '0') then if (temp = "10000001") then temp <= "00000000"; else temp <= temp + 1; output<=temp; end behavioral;

8-bit Binary counter: load enable load new number continue counting entity count8 is din: in std_logic_vector(7 downto 0 clk, load: in std_logic; dout: std_logic_vector(7 downto 0) end count8; architecture behavioral of count8 is process(clk) variable count: unsigned(7 downto 0):= "00000000"; if (clk'event and clk = '1') then if load = '1' then count := din; else count := count + 1; dout <= count; end behavioral;

6η Ανάθεση 1. Ποιά είναι η διαφορά μεταξύ ενός level sensitive element και ενός edge sensitive element; Αναφέρετε δύο παραδείγματα, εξηγείστε την λειτουργία τους και παραθέστε τους κατάλληλους πίνακες αληθείας. 2. Περιγράψτε την λειτουργία των παραπάνω shift register. Ποιές διαφορές παρατηρούνται ως προς τον χρονισμό τους; 3. Υλοποιείστε τους παραπάνω shift register εισάγοντας ένα σήμα ασύγχρονου μηδενισμού. 4. Υλοποιείστε ένα 8-bit SISO Shift Register με Clock Enable. 5. Υλοποιείστε ένα 16-bit SISO Shift Register με Asynchronous Clear. 6. Υλοποιείστε ένα 32-bit SISO Shift Register με Asynchronous Parallel Load. 7. Υλοποιείστε έναν modulo 10 counter χρησιμοποιώντας DFFs. 8. Τοποθετείστε κατάλληλους shift register στην έξοδο της ALU από την προηγούμενη ανάθεση. Προσομοιώστε την σχεδίαση και με τους 4 διαφορετικούς shift register και εξηγείστε ποιές διαφορές παρατηρούνται ως προς το χρονισμό των παραπάνω σχεδιάσεων. 9. Ποιά είναι τα βασικά πλεονεκτήματα και μειονεκτήματα των σύγχρονων σχεδιάσεων; 10. Ποιά είναι τα βασικά πλεονεκτήματα και μειονεκτήματα των ασύγχρονων σχεδιάσεων; 11. Προσομοιώστε τον 8-bit counter και αναφέρετε ποιό μπορεί να είναι ένα πιθανό πρόβλημα κατά την λειτουργία του.