ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ

Σχετικά έγγραφα
Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε.

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

Σχεδίαση Ψηφιακών Συστημάτων

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

Σχεδίαση Ψηφιακών Συστημάτων

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

Σχεδίαση Ψηφιακών Συστημάτων

ΑΣΚΗΣΗ 8 η -9 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΔΥΑΔΙΚΩΝ ΨΗΦΙΩΝ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΑΣΚΗΣΗ 9 ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ (COUNTERS)

Εισαγωγή στην πληροφορική

w x y Υλοποίηση της F(w,x,y,z) με πολυπλέκτη 8-σε-1

ΑΣΚΗΣΗ 9η-10η ΑΡΙΘΜΗΤΙΚΗ-ΛΟΓΙΚΗ ΜΟΝΑΔΑ ΕΝΟΣ ΨΗΦΙΟΥ (1-BIT ALU)

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΚΑΤΑΧΩΡΗΤΕΣ ΟΛΙΣΘΗΤΕΣ

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

Εργαστηριακή Άσκηση 4: Ιεραρχική σχεδίαση και προσχεδιασμένοι πυρήνες

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ

Μοντελοποίηση Λογικών Κυκλωμάτων

ΠΕΡΙΕΧΟΜΕΝΑ ΠΕΡΙΕΧΟΜΕΝΑ.3 ΑΣΥΓΧΡΟΝΟΣ ΔYΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.5 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.7 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ ΜΕ LATCH.

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Συστήματα Αυτομάτου Ελέγχου. Ενότητα Α: Γραμμικά Συστήματα

Εισαγωγή στις Τηλεπικοινωνίες / Εργαστήριο

Ψηφιακή Λογική Σχεδίαση

Ψηφιακή Σχεδίαση Ενότητα 10:

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΟΙ ΚΑΤΑΧΩΡΗΤΕΣ ΚΑΙ Η ΥΛΟΠΟΙΗΣΗ ΤΟΥΣ ΜΕ FLIP-FLOP ΚΑΙ ΠΥΛΕΣ

ΑΣΚΗΣΗ 10 ΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ

ΑΣΚΗΣΗ 10 ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ

ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ. Να μελετηθεί η λειτουργία του ακόλουθου κυκλώματος. Ποιος ο ρόλος των εισόδων του (R και S) και πού βρίσκει εφαρμογή; R Q

Η συχνότητα f των παλµών 0 και 1 στην έξοδο Q n είναι. f Qn = 1/(T cl x 2 n+1 )

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 ΑΠΑΝΤΗΣΕΙΣ

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Μετρητές 1

Το ολοκληρωμένο κύκλωμα μιας ΚΜΕ. «Φέτα» ημιαγωγών (wafer) από τη διαδικασία παραγωγής ΚΜΕ

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 16: Μετρητές (Counters)

ΑΣΚΗΣΗ 7 FLIP - FLOP

f(x, y, z) = y z + xz

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων

Εισαγωγή στις Τηλεπικοινωνίες / Εργαστήριο

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης Θέμα 1ο (3 μονάδες)

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

Σύγχρονοι Απαριθμητές. Διάλεξη 8

Ψηφιακά Συστήματα. 8. Καταχωρητές

Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων

Ασύγχρονοι Απαριθμητές. Διάλεξη 7

ε. Ένα κύκλωμα το οποίο παράγει τετραγωνικούς παλμούς και απαιτείται εξωτερική διέγερση ονομάζεται ασταθής πολυδονητής Λ

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017

Εισαγωγή στις Τηλεπικοινωνίες / Εργαστήριο

Υδραυλικά & Πνευματικά ΣΑΕ

Είναι το «μυαλό» του υπολογιστή μας. Αυτός κάνει όλους τους υπολογισμούς και τις πράξεις. Έχει δική του ενσωματωμένη μνήμη, τη λεγόμενη κρυφή

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Μετρητής Ριπής (Ripple Counter) Μετρητές (Counters) Μετρητής Ριπής (συν.

Πανεπιστήμιο Πατρών. Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών

Εισαγωγή στις Τηλεπικοινωνίες / Εργαστήριο

Μικροηλεκτρονική - VLSI

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006

Άσκηση 3 Ένα νέο είδος flip flop έχει τον ακόλουθο πίνακα αληθείας : I 1 I 0 Q (t+1) Q (t) 1 0 ~Q (t) Κατασκευάστε τον πίνακα

Εισαγωγή στους Υπολογιστές

Ελίνα Μακρή

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

Αρχιτεκτονική Υπολογιστών

Εισαγωγή στις Τηλεπικοινωνίες

Single Cycle Datapath. Αρχιτεκτονική Υπολογιστών. 5ο εξάμηνο ΣΗΜΜΥ ακ. έτος: Νεκ. Κοζύρης

Άδεια Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης Creative Commons. Για εκπαιδευτικό υλικό, όπως εικόνες, που υπόκειται σε άδεια

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 ΑΠΑΝΤΗΣΕΙΣ

Ψηφιακή Σχεδίαση. Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ No:07. Δρ. Μηνάς Δασυγένης. Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A].

Εισαγωγή στην Πληροφορική

Η πρωτεύουσα διάταξη Α, για την αποστολή θερμοκρασιακών δεδομένων μέσω υπέρυθρης ζεύξης.

ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ. Να μελετηθεί η λειτουργία του ακόλουθου κυκλώματος. Ποιος ο ρόλος των εισόδων του (R και S) και πού βρίσκει εφαρμογή; S Q

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f.

ΠΛΗ10 Κεφάλαιο 2. ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών

6.1 Θεωρητική εισαγωγή

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων

Ενότητα ΑΡΧΕΣ ΑΚΟΛΟΥΘΙΑΚΗΣ ΛΟΓΙΚΗΣ LATCHES & FLIP-FLOPS

Πρόγραμμα Επικαιροποίησης Γνώσεων Αποφοίτων. Διδάσκοντες

4.1 Θεωρητική εισαγωγή

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Καταχωρητές και Μετρητές 2. Επιμέλεια Διαφανειών: Δ.

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο Διάλεξη 8 η : Μηχανές Πεπερασμένων Κaταστάσεων σε FPGAs

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006

ΘΕΜΑΤΑ & ΕΝΔΕΙΚΤΙΚΕΣ ΛΥΣΕΙΣ

Ψηφιακή Λογική Σχεδίαση

Flip-Flop: D Control Systems Laboratory

7.1 Θεωρητική εισαγωγή

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ

1 Περίληψη Η εργασία έγινε στα πλαίσια του μαθήματος των Ψηφιακών Ηλεκτρονικών Συστημάτων με σκοπό αρχικά την εκμάθηση της γλώσσας VHDL (Very High Spe

ΜΑΘΗΜΑ: Ψηφιακά Συστήματα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007

Transcript:

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ᄃ Σχεδίαση Ψηφιακών Συστημάτων Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε.

Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης Creative Commons. Για εκπαιδευτικό υλικό, όπως εικόνες, που υπόκειται σε άλλου τύπου άδειας χρήσης, η άδεια χρήσης αναφέρεται ρητώς. Χρηματοδότηση Το παρόν εκπαιδευτικό υλικό έχει αναπτυχθεί στα πλαίσια του εκπαιδευτικού έργου του διδάσκοντα. Το έργο «Ανοικτά Ακαδημαϊκά Μαθήματα στο Πανεπιστήμιο Αθηνών» έχει χρηματοδοτήσει μόνο τη αναδιαμόρφωση του εκπαιδευτικού υλικού. Το έργο υλοποιείται στο πλαίσιο του Επιχειρησιακού Προγράμματος «Εκπαίδευση και Δια Βίου Μάθηση» και συγχρηματοδοτείται από την Ευρωπαϊκή Ένωση (Ευρωπαϊκό Κοινωνικό Ταμείο) και από εθνικούς πόρους. 2

ΠΕΡΙΕΧΟΜΕΝΑ Άσκηση 5 η : Σχεδιασμός και Προσομοίωση Flip-Flop και Καταχωρητή Ολίσθησης...4 Άσκηση 6 η : Σχεδίαση Κυκλώματος Σύγχρονου Αθροιστή με Ανατροφοδότηση...9 Άσκηση 7 η -8 η : Υλοποίηση Παραμετρικού Μετρητή (Counter)...13 Άσκηση 9 η -10 η : Σχεδίαση Αριθμητικής Λογικής Μονάδας Τεσσάρων Ψηφίων και Απεικόνιση σε FPGA...17 3

ΑΣΚΗΣΗ 5 η ΣΧΕΔΙΑΣΜΟΣ ΚΑΙ ΕΞΟΜΟΙΩΣΗ FLIP-FLOP ΚΑΙ ΚΑΤΑΧΩΡΗΤΗ ΟΛΙΣΘΗΣΗΣ 1. ΘΕΩΡΙΑ Data sheet, 74HC/HCT74, Dual D-type flip-flop with set and reset, positive-edge trigger, Philips Semiconductors. Data sheet, 74HC/HCT4015, Dual 4-bit serial-in/parallel-out shift register, Philips Semiconductors. «Σχεδίαση Ψηφιακών Συστημάτων με τη γλώσσα VHDL», Brown, Vranesic, 2η εκδ.: Flip-flops, Καταχωρητές, Μετρητές και ένας Απλός Επεξεργαστής, Κεφ. 7.8, σελ 464-467, Κεφ. 7.12.2 και Παραδείγματα 7.1, 7.2, 7.3, 7.4, 7.5, σελ 486-493, Παραδείγματα 7.7, 7.8, 7.9 σελ. 500-505. «Σχεδίαση Ψηφιακών Συστημάτων με τη γλώσσα VHDL», Brown, Vranesic, 3η εκδ.: Flip-flops, Καταχωρητές, Μετρητές και ένας Απλός Επεξεργαστής, Κεφ. 7.4, σελ 388-394, Κεφ. 7.8 σελ. 397 400 και Παραδείγματα 7.2, 7.3, 7.4, 7.5, σελ 416-418, Παραδείγματα 7.7, 7.8, 7.9 σελ. 424 428. ΕΡΓΑΣΤΗΡΙΑΚΟ ΜΕΡΟΣ 1. Συμπληρώστε τον πίνακα αλήθειας ενός D-flip-flop με ασύγχρονες εισόδους SET και RESET (active low) που ενεργοποιείται στην ανερχόμενη παρυφή του σήματος χρονισμού, όπως περιγράφεται στο data sheet του 74HC74 ή άλλου ισοδύναμου. SE T RESET CLK Q QNOT 4

2. Υλοποιείστε το flip-flop χρησιμοποιώντας τη γλώσσα VHDL. Κώδικας VHDL. 5

3. Προσομοιώστε το κύκλωμα που σχεδιάσατε ώστε να επαληθεύσετε πλήρως (για όλους τους δυνατούς συνδυασμούς των εισόδων) τον πίνακα αληθείας του. 4. Με χρήση του flip-flop του πρώτου βήματος σχεδιάστε έναν καταχωρητή ολίσθησης τεσσάρων ψηφίων ισοδύναμο με τον 74HC/HCT4015.(Πρέπει να θέσετε το SET σε σταθερή λογική τιμή) 1.1.1 Σχηματικό διάγραμμα καταχωρητή ολίσθησης 6

5. Περιγράψτε σε γλώσσα VHDL τη δομή του καταχωρητή ολίσθησης που σχεδιάσατε και προσομοιώστε πλήρως τη λειτουργία του. Κώδικας VHDL. 6. Περιγράψτε σε VHDL τη συμπεριφορά του καταχωρητή ολίσθησης του προηγούμενου ερωτήματος. Κώδικας VHDL. 7

8

ΑΣΚΗΣΗ 6 η ΣΧΕΔΙΑΣΗ ΚΥΚΛΩΜΑΤΟΣ ΣΥΓΧΡΟΝΟΥ ΑΘΡΟΙΣΤΗ ΜΕ ΑΝΑΤΡΟΦΟΔΟΤΗΣΗ ΘΕΩΡΙΑ Στο παρακάτω σχήμα δίνεται ένα κύκλωμα αθροιστή με ανατροφοδότηση της εξόδου του στη μία είσοδο. Το κύκλωμα αυτό, το οποίο χρησιμοποιείται πολύ συχνά σε συστήματα επεξεργασίας σημάτων, ήχου και εικόνας, ονομάζεται συσσωρευτής (accumulator) και εκμεταλλεύεται την επιμεριστική ιδιότητα της πρόσθεσης για να επιτύχει τον υπολογισμό αθροισμάτων με πολλούς όρους χρησιμοποιώντας έναν απλό αθροιστή δύο εισόδων. Για να εξασφαλιστεί η σωστή λειτουργία του συσσωρευτή οι καταχωρητές εισόδου ενεργοποιούνται στην ανερχόμενη παρυφή του σήματος χρονισμού clock ενώ ο καταχωρητής εξόδου στην κατερχόμενη. Έτσι σε κάθε παλμό ρολογιού προστίθεται ένας νέος όρος (data_in) στο μερικό άθροισμα που εμφανίζεται στην άλλη είσοδο (datab) του αθροιστή. GND Για τη σχεδίαση του συσσωρευτή χρησιμοποιήθηκαν δομικές μονάδες από αυτές που έχουν σχεδιαστεί σε προηγούμενες ασκήσεις με μικρές τροποποιήσεις όπου χρειάστηκε. Οι μονάδες (entities) που τον αποτελούν είναι ένας καταχωρητής που ενεργοποιείται στην ανερχόμενη παρυφή του σήματος χρονισμού (reg8), ένας καταχωρητής που ενεργοποιείται στην κατερχόμενη παρυφή του σήματος χρονισμού (reg8n) και ένας αθροιστής προσημασμένων αριθμών (adder8). Όλες οι μονάδες έχουν 8 δυαδικά ψηφία. Το σήμα reset των καταχωρητών είναι ενεργό σε χαμηλή στάθμη (active low). Η είσοδος κρατουμένου (cin) πρέπει να τεθεί σε λογικό μηδέν. Για να είναι δυνατή η χρήση της πράξης της πρόσθεσης πρέπει να συμπεριληφθεί στα προγράμματα και η βιβλιοθήκη arith με τη χρήση της εντολής USE ieee.std_logic_arith.all. Επίσης, για το μονοπάτι των δεδομένων (data-path) να χρησιμοποιηθούν προσημασμένοι αριθμοί, δηλαδή τα ports των entities και τα ενδιάμεσα σήματα να είναι τύπου signed.

ΕΡΓΑΣΤΗΡΙΑΚΟ ΜΕΡΟΣ 1.1. Να γραφεί κώδικας συμπεριφοράς και να εξομοιωθεί λειτουργικά κάθε μονάδα (functional simulation). Για κάθε μονάδα να δημιουργηθεί ξεχωριστό project αλλά όλα τα projects να βρίσκονται στο ίδιο directory. Να χρησιμοποιηθούν για τις εισόδους/εξόδους (ports) των μονάδων τα ονόματα που φαίνονται στο σχήμα. Κώδικας VHDL των μονάδων reg8, reg8n και adder8 10

1.2. Όταν ολοκληρωθεί επιτυχώς το πρώτο βήμα να γραφεί κώδικας για το σύγχρονο αθροιστή ο οποίος θα χρησιμοποιεί τις μονάδες (components) με την κατάλληλη διασύνδεση. Για τα εσωτερικά σήματα να χρησιμοποιηθούν τα ονόματα που φαίνονται στο σχήμα. Προσοχή το σήμα εξόδου πρέπει να είναι τύπου buffer γιατί χρησιμοποιείται και ως είσοδος μέσα στο κύκλωμα. Κώδικας VHDL του σύγχρονου αθροιστή 11

1.3. Να γίνει λειτουργική εξομοίωση του σύγχρονου αθροιστή δίνοντας τους κατάλληλους παλμούς και δεδομένα ώστε να υπολογιστεί το άθροισμα 1+2+3+4+5+... για 100 όρους. Για να παραχθεί το άθροισμα αυτό πρέπει το σήμα στην πρώτη είσοδο να είναι counter με περίοδο απαρίθμησης ίση με την περίοδο του σήματος χρονισμού (clock). 12

ΑΣΚΗΣΗ 7 η -8 η ΣΧΕΔΙΑΣΗ ΠΑΡΑΜΕΤΡΙΚΟΥ ΜΕΤΡΗΤΗ (COUNTER) ΚΑΙ ΑΠΕΙΚΟΝΙΣΗ ΣΕ FPGA 2. ΘΕΩΡΙΑ Data sheet, 74HC/HCT191, Presettable synchronous 4-bit binary up/down counter, Philips Semiconductors. «Σχεδίαση Ψηφιακών Συστημάτων με τη γλώσσα VHDL», Brown, Vranesic, 2η εκδ.: Flip-flops, Καταχωρητές, Μετρητές και ένας Απλός Επεξεργαστής, Κεφ. 7.9, σελ 467 480, και Παράγραφοι 7.10, 7.11, 7.12 σελ. 505 507. «Σχεδίαση Ψηφιακών Συστημάτων με τη γλώσσα VHDL», Brown, Vranesic, 3η εκδ.: Flip-flops, Καταχωρητές, Μετρητές και ένας Απλός Επεξεργαστής, Κεφ. 7.9, σελ 400 406, και Παραδείγματα 7.10, 7.11, 7.12 σελ. 428 430. ΕΡΓΑΣΤΗΡΙΑΚΟ ΜΕΡΟΣ 1. Σχεδιάστε και εξομοιώστε σε επίπεδο περιγραφής συμπεριφοράς (behavioral description) χρησιμοποιώντας τη γλώσσα VHDL έναν απαριθμητή, ο οποίος έχει τα βασικά χαρακτηριστικά του 74HC191 ή άλλου ισοδύναμου: α. Να είναι παραμετρικός ως προς τον αριθμό των ψηφίων β. Να ενεργοποιείται στην ανερχόμενη παρυφή του σήματος ρολογιού (CLOCK) γ. Να έχει δυνατότητα αύξουσας και φθίνουσας μέτρησης (up/down counting) με χρήση ενός σήματος ελέγχου UD. δ. Να διαθέτει σήμα επίτρεψης μέτρησης (count enable, CE). Όταν το σήμα CE γίνεται 1 σταματάει η μέτρηση. ε. Να έχει δυνατότητα ασύγχρονης φόρτωσης αρχικής τιμής με το σήμα ελέγχου LOAD. Όταν το LOAD είναι 0 φορτώνεται η επιθυμητή τιμή. ζ. Να διαθέτει έξοδο ripple carry (RC) η οποία να γίνεται 0 όταν ο απαριθμητής παίρνει τη μέγιστη δυνατή τιμή. 2.1.1.1 Πίνακας αλήθειας του απαριθμητή CE UD LOAD CLOCK DIN COUNT 13

Κώδικας VHDL. 14

2. Υλοποιήστε ένα διαιρέτη συχνότητας του σήματος χρονισμού clock του αναπτυξιακού ώστε να παράγεται ένα σήμα χρονισμού με συχνότητα μικρότερη από 5 Hz. Κώδικας VHDL. 15

3. Χρησιμοποιώντας ως components τον αποκωδικοποιητή της οθόνης 7 τμημάτων της άσκησης 2 και το διαιρέτη συχνότητας του προηγούμενου βήματος σχεδιάστε το κύκλωμα και υλοποιήστε τον απαριθμητή στο αναπτυξιακό σύστημα UP2. Διάγραμμα βαθμίδων Κώδικας VHDL. 16

ΑΣΚΗΣΗ 9 η -10 η ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΗΣ ΛΟΓΙΚΗΣ ΜΟΝΑΔΑΣ ΤΕΣΣΑΡΩΝ ΨΗΦΙΩΝ ΚΑΙ ΑΠΕΙΚΟΝΙΣΗ ΣΕ FPGA 2.1.1.2 ΘΕΩΡΙΑ Αντικείμενο της άσκησης είναι ο λογικός σχεδιασμός, η εξομοίωση και η απεικόνιση σε FPGA μίας αριθμητικής-λογικής μονάδας τεσσάρων δυαδικών ψηφίων (4-bit ALU). Το λογικό διάγραμμα και ο πίνακας αλήθειας των υπομονάδων που απαρτίζουν την ALU καθώς και της πλήρους ALU ενός ψηφίου δίνονται στα επόμενα σχήματα: MUX Σχ. 9.1 Λογική Μονάδα (Logic unit, LU) Full adder 17

Σχ. 9.2 Αριθμητική Μονάδα (Arithmetic Unit, AU) LU MUX AU Σχ. 9.3 Αριθμητική-Λογική Μονάδα (ALU) ενός ψηφίου 2.1.1.3 ΕΡΓΑΣΤΗΡΙΑΚΟ ΜΕΡΟΣ 1. Περιγράψτε σε επίπεδο συμπεριφοράς (behavioral model) με χρήση της VHDL και εξομοιώστε την αριθμητική και τη λογική μονάδα του ενός ψηφίου που δίνονται στα σχήματα 9.1 και 9.2. Κώδικας VHDL για την AU και την LU ενός ψηφίου. 18

2. Περιγράψτε σε VHDL την ALU ενός ψηφίου που δίνεται στο σχήμα 9.3. Χρησιμοποιείστε μικτή περιγραφή, επίπεδο δομής (structural model) για τη σύνδεση AU και LU και συμπεριφοράς για τον πολυπλέκτη (MUX) εξόδου. Κώδικας VHDL για την ALU ενός ψηφίου. 19

3. Με το στοιχείο της ALU του προηγούμενου ερωτήματος σχεδιάστε μία ALU τεσσάρων ψηφίων και περιγράψτε την δομικά σε VHDL. Σχηματικό διάγραμμα της ALU τεσσάρων ψηφίων 20

Κώδικας VHDL. 21

4. Εξομοιώστε την ALU τεσσάρων ψηφίων 5. Απεικονίστε την ALU τεσσάρων ψηφίων σε ένα FPGA τύπου FLEX10K τοποθετώντας τα σήματα εισόδου/εξόδου όπως φαίνεται στο παρακάτω σχήμα. A0 A1 A2 A3 B0 B1 B2 B3 Cin S0 ALU 4 ψηφίων Cout S1 22

S2 G0 G1 G2 G3 6. Τροποποιήστε τον κώδικα περιγραφής της ALU ώστε να εισαχθούν καταχωρητές στην είσοδο και την έξοδο των σημάτων της και επαναλάβατε τα βήματα 4 και 5. Σχηματικό διάγραμμα της ALU τεσσάρων ψηφίων με καταχωρητές εισόδου/εξόδου Κώδικας VHDL 23

24