Προβλήµατα και τεχνικές

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Προβλήµατα και τεχνικές"

Transcript

1 LOW POWER TESTING Προβλήµατα και τεχνικές 1 Προβλήµατα Προβλήµατα Πιθανές βλάβες κατά την διάρκεια της διαδικασίας λόγω αυξηµένης διακοπτικής δραστηριότητας και συνήθως µη ποιοτικού packaging που οδηγούν σε υπερβολική αύξηση της θερµοκρασίας και σε φαινόµενα µετανάστευσης µετάλλου Αυξηµένος θόρυβος που οδηγεί σε λάθος συµπεράσµατα Τα test vectors είναι από τη φύση τους ασυσχέτιστα υσκολίες στη διαδικασία του performance verification Χαµηλή αυτονοµία και παράλληλη εµφάνιση πολλών φορητών συσκευών µε υψηλές απαιτήσεις για αυτονοµία Υψηλός βαθµός ολοκλήρωσης Ανάγκη για at-speed testing Ανεπαρκείς µέθοδοι ψύξης ιδιαίτερα για testing πάνω στο wafer (bare-die testing) Όσον αφορά στο BIST (Built In Self Test) επειδή είναι πιο αργό καταναλώνει περισσότερη ενέργεια Μείωση του yield 2

2 Προκλήσεις Οσυνεχώς αυξανόµενος βαθµός ολοκλήρωσης δυσκολεύει την ψύξη (η κατανάλωση ισχύος µπορεί να διπλασιαστεί) Το testing είναι ακριβή και δύσκολη διαδικασία που διευκολύνεται από τεχνικές DFT Area overhead Fault coverage Test application time Test development effort 3 Λόγοι αύξησης της ισχύος Άµεσος συσχετισµός µεταξύ αποδοτικού testing και υψηλής διακοπτικής λειτουργίας Parallel testing για λόγους ταχύτητας Τα κυκλώµατα για DTF είναι idle κατά την διάρκεια κανονικής λειτουργίας Ασυσχέτιστα διανύσµατα εισόδου κατά το testing 4

3 Λύσεις στην βιοµηχανία Αύξηση της παροχής ισχύος, του συνολικού package και της ψύξης Testing µε µειωµένη συχνότητα λειτουργίας Μείωση του hardware αλλά ανεπαρκές testing όσον αφορά στην ανίχνευση δυναµικών σφαλµάτων Ναι µεν µείωση της ισχύος αλλά όχι και της καταναλισκόµενης ενέργειας System-under-test partitioning και κατάλληλος σχεδιασµός της διαδικασίας Αύξηση του hardware και του απαιτούµενου χρόνου 5 Γενικό σχήµα testing PI s PO s Combinational part PPI s TGP SI s Scan Chain SO s MISR 6

4 Low power external testing Low power ATPG algorithms Εκµετάλλευση των don t-care bits που τυχόν παράγονται Πλεονασµός των test patterns ώστε να επιλεχθεί υποσύνολο µε χαµηλή κατανάλωση (46%-86% µείωση) 7 Low power external testing Ordering Χρήση γράφων (κόµβος test pattern, ακµή αριθµός µεταβάσεων στο κύκλωµα ή Hamming distance για χαµηλότερη πολυπλοκότητα) και greedy αλγορίθµων για εύρεση µονοπατιού Hamilton Pattern reordering µε βάση τα χαρακτηριστικά του κυκλώµατος και scan chain reordering για ακολουθιακά (εώς 34% µείωση) 8

5 Low power external testing Input Control Για ακολουθιακά κυκλώµατα σαν συµπληρωµατική µέθοδος Vector compaction and Data compression Scan chain transformation Σπάσιµο σε πολλαπλές scan chains ιατήρηση του test time, όχι απαίτηση για εξειδικευµένα patterns, διατήρηση του scan clock rate Βελτίωση ως 76% της µέγιστης ισχύος 9 Low power external testing Clock Scheme Modification Παραγωγή κατάλληλου test set ώστε να µπορούν τα ρολόγια κάποιων scan chains να απενεργοποιούνται για κάποιο υποσύνολο του test set αφού το clock tree συνεισφέρει πολύ στην κατανάλωση Μείωση του clock κατά την διαδικασία του shifting χωρίς να µεγαλώνει το test time. 10

6 Low Power Built-In Self Test (BIST) Techniques Test Scheduling Προγραµµατισµός του χρόνου έναρξης λειτουργίας του κάθε BIST στοιχείου Μειωµένη ισχύς, αλλά ίδια κατανάλωση ενέργειας και αυξηµένος χρόνος λειτουργίας Low Power Test Pattern Generators Dual Speed LSFR (είσοδοι µε µεγάλη ταχύτητα εναλλαγής συνδέονται στο LFSR χαµηλής ταχύτητας) µειώνουν αρκετά την µέση ισχύ και την ενέργεια Modified LFSR (gated clock schemes) LFSR µε βάρη 11 Low Power Built-In Self Test (BIST) Techniques Circuit Partitioning Χρονοπρογραµµατιζόµενη λειτουργία πολλαπλών BIST στοιχείων Low Power RAM Testing Reordering τεχνικές στις λειτουργίες read, write και στο τρόπο εναλλαγής διευθύνσεων 12

7 Βιβλιογραφία Σχεδίαση ολοκληρωµένων κυκλωµάτων CMOS VLSI (N.W.Weste, K.Eshraghian) Low-Power Digital (Srikanth Rao M) Low Power Digital CMOS Design (A.Chandrakasan, Brodersen) A survey of Optimization Techniques Targeting Low Power VLSI Circuits (Srinivas Devadas, Sharad Malik) Low Power Design Methodologies Survey of Low-Power Testing of VLSI Circuits (Patrick Girard) 13

ΕΠΕΚΤΑΣΗ ΤΩΝ ΤΕΧΝΙΚΩΝ ΣΧΕ ΙΑΣΗΣ ΤΑΥΤΟΧΡΟΝΟΥ ΕΛΕΓΧΟΥ ΟΡΘΗΣ ΛΕΙΤΟΥΡΓΙΑΣ ΟΛΟΚΛΗΡΩΜΕΝΩΝ ΚΥΚΛΩΜΑΤΩΝ ΓΙΑ ΑΠΟ ΟΤΙΚΗ ΧΡΗΣΗ ΤΩΝ ΑΛΥΣΙ ΩΝ ΣΑΡΩΣΗΣ

ΕΠΕΚΤΑΣΗ ΤΩΝ ΤΕΧΝΙΚΩΝ ΣΧΕ ΙΑΣΗΣ ΤΑΥΤΟΧΡΟΝΟΥ ΕΛΕΓΧΟΥ ΟΡΘΗΣ ΛΕΙΤΟΥΡΓΙΑΣ ΟΛΟΚΛΗΡΩΜΕΝΩΝ ΚΥΚΛΩΜΑΤΩΝ ΓΙΑ ΑΠΟ ΟΤΙΚΗ ΧΡΗΣΗ ΤΩΝ ΑΛΥΣΙ ΩΝ ΣΑΡΩΣΗΣ ΕΠΕΚΤΑΣΗ ΤΩΝ ΤΕΧΝΙΚΩΝ ΣΧΕ ΙΑΣΗΣ ΤΑΥΤΟΧΡΟΝΟΥ ΕΛΕΓΧΟΥ ΟΡΘΗΣ ΛΕΙΤΟΥΡΓΙΑΣ ΟΛΟΚΛΗΡΩΜΕΝΩΝ ΚΥΚΛΩΜΑΤΩΝ ΓΙΑ ΑΠΟ ΟΤΙΚΗ ΧΡΗΣΗ ΤΩΝ ΑΛΥΣΙ ΩΝ ΣΑΡΩΣΗΣ Η ΜΕΤΑΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΕΞΕΙ ΙΚΕΥΣΗΣ Υποβάλλεται στην ορισθείσα από

Διαβάστε περισσότερα

Εισαγωγή στον έλεγχο ορθής λειτουργίας ψηφιακών συστημάτων. Δημήτρης Νικολός, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν.

Εισαγωγή στον έλεγχο ορθής λειτουργίας ψηφιακών συστημάτων. Δημήτρης Νικολός, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν. Εισαγωγή στον έλεγχο ορθής λειτουργίας ψηφιακών συστημάτων 1 Περίγραμμα παρουσίασης Ανάγκη για έλεγχο ορθής λειτουργίας Επιβεβαίωση σχεδιασμού έναντι επιβεβαίωσης ορθής λειτουργίας μετά την κατασκευή και

Διαβάστε περισσότερα

Τεχνικές βελτιστοποίησης µε σκοπό την επίτευξη χαµηλής κατανάλωσης ισχύος

Τεχνικές βελτιστοποίησης µε σκοπό την επίτευξη χαµηλής κατανάλωσης ισχύος Low power techniques Τεχνικές βελτιστοποίησης µε σκοπό την επίτευξη χαµηλής κατανάλωσης ισχύος Γενικά Τεχνικές βιοµηχανίας Μείωση χωρητικοτήτων chip και package Μέσω process development πολύ αποτελεσµατική

Διαβάστε περισσότερα

Σχεδίαση για Δοκιμαστικότητα (Design for Testability DFT) Δημήτρης Νικολός Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν.

Σχεδίαση για Δοκιμαστικότητα (Design for Testability DFT) Δημήτρης Νικολός Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν. Σχεδίαση για Δοκιμαστικότητα (Design for Testability DFT) Έλεγχος Πολύπλοκων Συστημάτων Μπορούμε να εξάγουμε διανύσματα δοκιμής για την ανίχνευση όλων των σφαλμάτων που μας ενδιαφέρουν; O χρόνος εξαγωγής

Διαβάστε περισσότερα

Τεχνικές σχεδιασμού μονοπατιών ολίσθησης

Τεχνικές σχεδιασμού μονοπατιών ολίσθησης Τεχνικές σχεδιασμού μονοπατιών ολίσθησης (Scan Path Design Techniques) Περίγραμμα παρουσίασης Προβλήματα ελέγχου ορθής λειτουργίας ακολουθιακών κυκλωμάτων Μονοπάτι ολίσθησης (scan path) Στοιχεία μνήμης

Διαβάστε περισσότερα

Σύνθεση Ψηφιακών Συστηµάτων. Χ. Καβουσιανός

Σύνθεση Ψηφιακών Συστηµάτων. Χ. Καβουσιανός Σύνθεση Ψηφιακών Συστηµάτων Χ. Καβουσιανός Μικροηλεκτρονική Αυξανόµενο επίπεδο ολοκλήρωσης ηλεκτρονικών συσκευών Κατασκευή περίπλοκων συστηµάτων (VLSI) Αυξανόµενη πολυπλοκότητα καλύτερες διαδικασίες σχεδιασµού.

Διαβάστε περισσότερα

Κεφάλαιο 15 o. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Έλεγχος Ορθής Λειτουργίας 2

Κεφάλαιο 15 o. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Έλεγχος Ορθής Λειτουργίας 2 ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων Έλεγχος Ορθής Λειτουργίας Κεφάλαιο 15 o Τμήμα Μηχανικών Η/Υ και Πληροφορικής Γ. Τσιατούχας ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. Ελαττώματα, σφάλματα, λάθη 2. Ανίχνευση σφαλμάτων

Διαβάστε περισσότερα

Συμπίεση Δεδομένων Δοκιμής (Test Data Compression) Νικολός Δημήτριος, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών & Πληροφορικής, Παν Πατρών

Συμπίεση Δεδομένων Δοκιμής (Test Data Compression) Νικολός Δημήτριος, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών & Πληροφορικής, Παν Πατρών Συμπίεση Δεδομένων Δοκιμής (Test Data Compression), Παν Πατρών Test resource partitioning techniques ΑΤΕ Automatic Test Equipment (ATE) based BIST based Έλεγχος παραγωγής γής βασισμένος σε ΑΤΕ Μεγάλος

Διαβάστε περισσότερα

ΧΑΜΗΛΗ ΚΑΤΑΝΑΛΩΣΗ ΕΝΕΡΓΕΙΑΣ ΣΕ ΑΝΑΔΙΠΛΟΥΜΕΝΕΣ ΑΛΥΣΙΔΕΣ ΣΑΡΩΣΗΣ Η ΜΕΤΑΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΕΞΕΙΔΙΚΕΥΣΗΣ. Υποβάλλεται στην

ΧΑΜΗΛΗ ΚΑΤΑΝΑΛΩΣΗ ΕΝΕΡΓΕΙΑΣ ΣΕ ΑΝΑΔΙΠΛΟΥΜΕΝΕΣ ΑΛΥΣΙΔΕΣ ΣΑΡΩΣΗΣ Η ΜΕΤΑΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΕΞΕΙΔΙΚΕΥΣΗΣ. Υποβάλλεται στην ΧΑΜΗΛΗ ΚΑΤΑΝΑΛΩΣΗ ΕΝΕΡΓΕΙΑΣ ΣΕ ΑΝΑΔΙΠΛΟΥΜΕΝΕΣ ΑΛΥΣΙΔΕΣ ΣΑΡΩΣΗΣ Η ΜΕΤΑΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΕΞΕΙΔΙΚΕΥΣΗΣ Υποβάλλεται στην ορισθείσα από την Γενική Συνέλευση Ειδικής Σύνθεσης του Τμήματος Πληροφορικής Εξεταστική

Διαβάστε περισσότερα

Πίνακας 1. Ελεγξιµότητα και πλήθος διανυσµάτων Ένα LFSR Τρία LFSRs που τελειώνουν παράλληλα

Πίνακας 1. Ελεγξιµότητα και πλήθος διανυσµάτων Ένα LFSR Τρία LFSRs που τελειώνουν παράλληλα Η παρούσα, αποτελεί την πρώτη ετήσια έκθεση για το Πρόγραµµα Υποστήριξης Βασικής Έρευνας Κ. Καραθεοδωρή µε κωδικό 2427. Παρουσιάζεται η ερευνητική δραστηριότητα της οµάδας στα πλαίσια του προγράµµατος,

Διαβάστε περισσότερα

Πανεπιστήμιο Πειραιώς Τμήμα Πληροφορικής Πρόγραμμα Μεταπτυχιακών Σπουδών «Προηγμένα Συστήματα Πληροφορικής» Μεταπτυχιακή Διατριβή

Πανεπιστήμιο Πειραιώς Τμήμα Πληροφορικής Πρόγραμμα Μεταπτυχιακών Σπουδών «Προηγμένα Συστήματα Πληροφορικής» Μεταπτυχιακή Διατριβή Πανεπιστήμιο Πειραιώς Τμήμα Πληροφορικής Πρόγραμμα Μεταπτυχιακών Σπουδών «Προηγμένα Συστήματα Πληροφορικής» Μεταπτυχιακή Διατριβή Δοκιμή ολοκληρωμένων κυκλωμάτων με χρήση του Inovys Personal Ocelot και

Διαβάστε περισσότερα

ΔΙΠΛΩΜΑΤΙΚΗ ΕΡΓΑΣΙΑ. Σχεδίαση και αξιολόγηση ψευδοτυχαίων γεννητριών για μειωμένη κατανάλωση ισχύος κατά τον έλεγχο ορθής λειτουργίας

ΔΙΠΛΩΜΑΤΙΚΗ ΕΡΓΑΣΙΑ. Σχεδίαση και αξιολόγηση ψευδοτυχαίων γεννητριών για μειωμένη κατανάλωση ισχύος κατά τον έλεγχο ορθής λειτουργίας ΔΙΠΛΩΜΑΤΙΚΗ ΕΡΓΑΣΙΑ Θέμα: Επιβλέπων: Σχεδίαση και αξιολόγηση ψευδοτυχαίων γεννητριών για μειωμένη κατανάλωση ισχύος κατά τον έλεγχο ορθής λειτουργίας Καθηγητής Δημήτριος Νικολός Λαουδιάς Χρήστος Α.Μ. 2142

Διαβάστε περισσότερα

Ενότητα ΑΡΧΕΣ ΑΚΟΛΟΥΘΙΑΚΗΣ ΛΟΓΙΚΗΣ LATCHES & FLIP-FLOPS

Ενότητα ΑΡΧΕΣ ΑΚΟΛΟΥΘΙΑΚΗΣ ΛΟΓΙΚΗΣ LATCHES & FLIP-FLOPS Ενότητα ΑΡΧΕΣ ΑΚΟΛΟΥΘΙΑΚΗΣ ΛΟΓΙΚΗΣ LATCHES & FLIP-FLOPS Γενικές Γραμμές Ακολουθιακή Λογική Μεταστάθεια S-R RLatch h( (active high h&l low) S-R Latch with Enable Latch Flip-Flop Ασύγχρονοι είσοδοι PRESET

Διαβάστε περισσότερα

Σχεδίαση Τμηματοποιημενών Αλυσίδων Σάρωσης για Μείωση της Κατανάλωσης Ενέργειας κατά τον Έλεγχο Ορθής Λειτουργίας

Σχεδίαση Τμηματοποιημενών Αλυσίδων Σάρωσης για Μείωση της Κατανάλωσης Ενέργειας κατά τον Έλεγχο Ορθής Λειτουργίας Σχεδίαση Τμηματοποιημενών Αλυσίδων Σάρωσης για Μείωση της Κατανάλωσης Ενέργειας κατά τον Έλεγχο Ορθής Λειτουργίας Ευτυχία Αρβανίτη ΜΕΤΑΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΕΞΕΙΔΙΚΕΥΣΗΣ - - Ιωάννινα, Ιούλιος 2011 ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ

Διαβάστε περισσότερα

Σωστή απάντηση το: Γ. Απάντηση

Σωστή απάντηση το: Γ. Απάντηση Ειδικά Θέματα Ελέγχου Ορθής Λειτουργίας VLSI Συστημάτων - Σχεδιασμός για Εύκολο Έλεγχο Εξετάσεις ΟΣΥΛ & ΕΤΥ 4-7- 2016 Ειδικά Θέματα Σχεδίασης Ψηφιακών Συστημάτων Εξετάσεις μαθήματος επιλογής Τμήματος Μηχανικών

Διαβάστε περισσότερα

Κεφάλαιο 8. NP και Υπολογιστική Δυσεπιλυσιµότητα. Χρησιµοποιήθηκε υλικό από τις αγγλικές διαφάνειες του Kevin Wayne.

Κεφάλαιο 8. NP και Υπολογιστική Δυσεπιλυσιµότητα. Χρησιµοποιήθηκε υλικό από τις αγγλικές διαφάνειες του Kevin Wayne. Κεφάλαιο 8 NP και Υπολογιστική Δυσεπιλυσιµότητα Χρησιµοποιήθηκε υλικό από τις αγγλικές διαφάνειες του Kevin Wayne. 1 πρόβληµα αναζήτησης (search problem) Ένα πρόβληµα αναζήτησης είναι ένα πρόβληµα στο

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τµήµα Εφαρµοσµένης Πληροφορικής & Πολυµέσων. Ψηφιακή Σχεδίαση. Κεφάλαιο 5: Σύγχρονη Ακολουθιακή

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τµήµα Εφαρµοσµένης Πληροφορικής & Πολυµέσων. Ψηφιακή Σχεδίαση. Κεφάλαιο 5: Σύγχρονη Ακολουθιακή ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τµήµα Εφαρµοσµένης Πληροφορικής & Πολυµέσων Ψηφιακή Σχεδίαση Κεφάλαιο 5: Σύγχρονη Ακολουθιακή Λογική Σύγχρονα Ακολουθιακά Κυκλώµατα Είσοδοι Συνδυαστικό κύκλωµα

Διαβάστε περισσότερα

Τεχνικές Υψηλής Ποιότητας Ελέγχου Ολοκληρωµένων Κυκλωµάτων Η ΜΕΤΑΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΕΞΕΙ ΙΚΕΥΣΗΣ. Υποβάλλεται στην

Τεχνικές Υψηλής Ποιότητας Ελέγχου Ολοκληρωµένων Κυκλωµάτων Η ΜΕΤΑΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΕΞΕΙ ΙΚΕΥΣΗΣ. Υποβάλλεται στην Τεχνικές Υψηλής Ποιότητας Ελέγχου Ολοκληρωµένων Κυκλωµάτων Η ΜΕΤΑΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΕΞΕΙ ΙΚΕΥΣΗΣ Υποβάλλεται στην ορισθείσα από την Γενική Συνέλευση Ειδικής Σύνθεσης του Τµήµατος Πληροφορικής Εξεταστική

Διαβάστε περισσότερα

Υ52 Σχεδίαση Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων 1: Εισαγωγή

Υ52 Σχεδίαση Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων 1: Εισαγωγή Υ52 Σχεδίαση Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων 1: Εισαγωγή Γιάννης Λιαπέρδος TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ Πληροφορίες για το μάθημα Περιεχόμενα

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (2 η σειρά διαφανειών)

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (2 η σειρά διαφανειών) ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Δρ. Δ. Λαμπάκης (2 η σειρά διαφανειών) Τα ψηφιακά ηλεκτρονικά κυκλώματα χωρίζονται σε κατηγορίες ( λογικές οικογένειες ) ανάλογα με την τεχνολογία κατασκευής

Διαβάστε περισσότερα

Περιεχόμενα. Πρόλογος... XI. Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA Κεφάλαιο 2. Τεχνολογία VLSI Εισαγωγή Βασικές Αρχές...

Περιεχόμενα. Πρόλογος... XI. Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA Κεφάλαιο 2. Τεχνολογία VLSI Εισαγωγή Βασικές Αρχές... Περιεχόμενα Πρόλογος... XI Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA... 1 1.1 Εισαγωγή... 1 1.2 Βασικές Αρχές... 1 1.2.1 Boolean Άλγεβρα... 1 1.2.2 Σχηματικά και Λογικά Σύμβολα... 6 1.3 Ψηφιακή Σχεδίαση

Διαβάστε περισσότερα

βαθµίδων µε D FLIP-FLOP. Μονάδες 5

βαθµίδων µε D FLIP-FLOP. Μονάδες 5 Κεφάλαιιο: 6 ο Τίίτλος Κεφαλαίίου:: Μανταλωτές & Flip Flop (Ιούνιος 2004 ΤΕΕ Ηµερήσιο) Να σχεδιάσετε καταχωρητή δεξιάς ολίσθησης τεσσάρων βαθµίδων µε D FLIP-FLOP. Μονάδες 5 (Ιούνιος 2005 ΤΕΕ Ηµερήσιο)

Διαβάστε περισσότερα

Συστήματα VLSI. Εισαγωγή. Γιώργος Δημητρακόπουλος. Δημοκρίτειο Πανεπιστήμιο Θράκης. Άνοιξη 2014

Συστήματα VLSI. Εισαγωγή. Γιώργος Δημητρακόπουλος. Δημοκρίτειο Πανεπιστήμιο Θράκης. Άνοιξη 2014 Συστήματα VLSI Εισαγωγή Γιώργος Δημητρακόπουλος Δημοκρίτειο Πανεπιστήμιο Θράκης Άνοιξη 2014 Συστήματα VLSI 1 Τα ολοκληρωμένα κυκλώματα από «μέσα» Συστήματα VLSI 2 Τα εργαλεία της σχεδίασης Algorithms-Applications

Διαβάστε περισσότερα

5 η Θεµατική Ενότητα : Μνήµη & Προγραµµατιζόµενη Λογική. Επιµέλεια διαφανειών: Χρ. Καβουσιανός

5 η Θεµατική Ενότητα : Μνήµη & Προγραµµατιζόµενη Λογική. Επιµέλεια διαφανειών: Χρ. Καβουσιανός 5 η Θεµατική Ενότητα : Μνήµη & Προγραµµατιζόµενη Λογική Επιµέλεια διαφανειών: Χρ. Καβουσιανός Μνήµη Η µνήµη καταλαµβάνει το µεγαλύτερο µέρος ενός υπολογιστικού συστήµατος Δύο τύποι: ROM - RAM RΟΜs CPU

Διαβάστε περισσότερα

Κυκλωμάτων» Χειμερινό εξάμηνο

Κυκλωμάτων» Χειμερινό εξάμηνο «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Εισαγωγή στα Συστήματα Ολοκληρωμένων Κυκλωμάτων Δρ. Παρασκευάς Κίτσος Επίκουρος Καθηγητής http://diceslab.cied.teiwest.gr E-mail: pkitsos@teimes.gr

Διαβάστε περισσότερα

7.1 Θεωρητική εισαγωγή

7.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 7 ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΜΑΝ ΑΛΩΤΕΣ FLIP FLOP Σκοπός: Η κατανόηση της λειτουργίας των βασικών ακολουθιακών κυκλωµάτων. Θα µελετηθούν συγκεκριµένα: ο µανδαλωτής (latch)

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΗΛΕΚΤΡΟΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ Τεχνικές ελέγχου ορθής λειτουργίας µε έµφαση στη χαµηλή κατανάλωση ισχύος ιδακτορική ιατριβή Μάτσιεϊ Κ. Μπέλλος

Διαβάστε περισσότερα

ΘΕΩΡΙΑ ΥΠΟΛΟΓΙΣΜΩΝ ΚΑΙ ΑΥΤΟΜΑΤΩΝ

ΘΕΩΡΙΑ ΥΠΟΛΟΓΙΣΜΩΝ ΚΑΙ ΑΥΤΟΜΑΤΩΝ ΘΕΩΡΙΑ ΥΠΟΛΟΓΙΣΜΩΝ ΚΑΙ ΑΥΤΟΜΑΤΩΝ Ενότητα 13: Πολυωνυμική αναγωγή Ρεφανίδης Ιωάννης Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης Creative Commons. Για εκπαιδευτικό υλικό, όπως εικόνες,

Διαβάστε περισσότερα

ΚΩΔΙΚΟΣ ΠΡΟΓΡΑΜΜΑΤΟΣ 2427/2000

ΚΩΔΙΚΟΣ ΠΡΟΓΡΑΜΜΑΤΟΣ 2427/2000 ΚΩΔΙΚΟΣ ΠΡΟΓΡΑΜΜΑΤΟΣ 2427/2000 ΠΡΟΓΡΑΜΜΑ ΒΑΣΙΚΗΣ ΕΡΕΥΝΑΣ «Κ. ΚΑΡΑΘΕΟΔΩΡΗ» Τίτλος Έργου «Ανάπτυξη μεθόδων για τον εύκολο έλεγχο ορθής λειτουργίας ολοκληρωμένων - συστημάτων σε πυρίτιο (System On Chip Testing)»

Διαβάστε περισσότερα

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων Ενότητα 1: Υλοποίηση Ολοκληρωμένων Ψηφιακών Κυκλωμάτων Αγγελική Αραπογιάννη Σχολή Θετικών Επιστημών Τμήμα Πληροφορικής και Τηλεπικοινωνιών Βιβλιογραφία Principles

Διαβάστε περισσότερα

Κεφάλαιο 8. NP και Υπολογιστική Δυσεπιλυσιμότητα. Χρησιμοποιήθηκε υλικό από τις αγγλικές διαφάνειες του Kevin Wayne.

Κεφάλαιο 8. NP και Υπολογιστική Δυσεπιλυσιμότητα. Χρησιμοποιήθηκε υλικό από τις αγγλικές διαφάνειες του Kevin Wayne. Κεφάλαιο 8 NP και Υπολογιστική Δυσεπιλυσιμότητα Χρησιμοποιήθηκε υλικό από τις αγγλικές διαφάνειες του Kevin Wayne. 1 πρόβλημα αναζήτησης (search problem) Ένα πρόβλημα αναζήτησης είναι ένα πρόβλημα στο

Διαβάστε περισσότερα

ΙΚΤΥΑ ΕΠΙΚΟΙΝΩΝΙΩΝ. Ιωάννης Σταυρακάκης, Καθηγητής Password: edi

ΙΚΤΥΑ ΕΠΙΚΟΙΝΩΝΙΩΝ. Ιωάννης Σταυρακάκης, Καθηγητής  Password: edi ΙΚΤΥΑ ΕΠΙΚΟΙΝΩΝΙΩΝ Ιωάννης Σταυρακάκης, Καθηγητής ioannis@di.uoa.gr http://www.di.uoa.gr/~ioannis/courses.html Password: edi ίκτυα Επικ. - Κεφ. 1 ( Καθ. Ι. Σταυρακάκης, Τµήµα Πληροφ. & Τηλεπικ. - Ε.Κ.Π.Α.)

Διαβάστε περισσότερα

Κεφάλαιο 7 ο. Γ. Τσιατούχας. VLSI Technology and Computer Architecture Lab. Ακολουθιακή Λογική 2

Κεφάλαιο 7 ο. Γ. Τσιατούχας. VLSI Technology and Computer Architecture Lab. Ακολουθιακή Λογική 2 ΚΥΚΛΩΜΑΤΑ VLSI Ακολουθιακή Λογική Κεφάλαιο 7 ο Γ. Τσιατούχας ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. Δισταθή κυκλώματα Μεταστάθεια 2. Μανδαλωτές 3. Flip Flops Flops 4. Δομές διοχέτευσης 5. Διανομή ρολογιού 6. Συγχρονισμός

Διαβάστε περισσότερα

Τεχνικές Τμηματικής Εξετασιμότητας και Μελέτης Κατανάλωσης σε Τρισδιάστατα Ψηφιακά Ολοκληρωμένα Κυκλώματα

Τεχνικές Τμηματικής Εξετασιμότητας και Μελέτης Κατανάλωσης σε Τρισδιάστατα Ψηφιακά Ολοκληρωμένα Κυκλώματα Αριστοτέλειο Πανεπιστήμιο Θεσσαλονίκης Πολυτεχνική Σχολή Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Τομέας Ηλεκτρονικής και Υπολογιστών Διπλωματική Εργασία Τεχνικές Τμηματικής Εξετασιμότητας

Διαβάστε περισσότερα

ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΑΤΡΩΝ

ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΑΤΡΩΝ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΑΤΡΩΝ Μεταπτυχιακό Πρόγραµµα «Ολοκληρωµένα Συστήµατα Υλικού & Λογισµικού» Μεταπτυχιακή Εργασία Θέµα: «Ανάπτυξη εξοµοιωτή σφαλµάτων για σφάλµατα µετάβασης σε ψηφιακά ολοκληρωµένα

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 18: Διαδικασία Σχεδίασης Ψηφιακών Συστηµάτων - Επανάληψη

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 18: Διαδικασία Σχεδίασης Ψηφιακών Συστηµάτων - Επανάληψη ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 18: Διαδικασία Σχεδίασης Ψηφιακών Συστηµάτων - Επανάληψη ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη

Διαβάστε περισσότερα

4/10/2008. Εισαγωγή στη σχεδίαση συστημάτων VLSI. Περιεχόμενα μαθήματος. Γιώργος Δημητρακόπουλος. Βιβλιογραφία. Ψηφιακά συστήματα.

4/10/2008. Εισαγωγή στη σχεδίαση συστημάτων VLSI. Περιεχόμενα μαθήματος. Γιώργος Δημητρακόπουλος. Βιβλιογραφία. Ψηφιακά συστήματα. Εισαγωγή στη σχεδίαση συστημάτων VLSI Γιώργος Δημητρακόπουλος Τμήμα Επιστήμης Υπολογιστών Πανεπιστήμιο Κρήτης Περιεχόμενα μαθήματος Τα τρανζίστορ NMOS και PMOS Φυσικός σχεδιασμός των ψηφιακών κυκλωμάτων

Διαβάστε περισσότερα

Ενότητα 3 ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ

Ενότητα 3 ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ Ενότητα 3 ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ Γενικές Γραμμές Οικογένειες Ψηφιακής Λογικής Τάση τροφοδοσίας Λογικά επίπεδα - Περιθώριo θορύβου Χρόνος μετάβασης Καθυστέρηση διάδοσης Κατανάλωση ισχύος Γινόμενο

Διαβάστε περισσότερα

ΣΤΑΤΙΚΕΣ ΚΑΙ ΔΥΝΑΜΙΚΕΣ ΜΝΗΜΕΣ ΤΥΧΑΙΑΣ ΠΡΟΣΠΕΛΑΣΗΣ (Static and Dynamic RAMs). ΔΙΑΡΘΡΩΣΗ ΤΟΥ ΜΑΘΗΜΑΤΟΣ ΘΕΜΑΤΙΚΕΣ ΕΝΟΤΗΤΕΣ

ΣΤΑΤΙΚΕΣ ΚΑΙ ΔΥΝΑΜΙΚΕΣ ΜΝΗΜΕΣ ΤΥΧΑΙΑΣ ΠΡΟΣΠΕΛΑΣΗΣ (Static and Dynamic RAMs). ΔΙΑΡΘΡΩΣΗ ΤΟΥ ΜΑΘΗΜΑΤΟΣ ΘΕΜΑΤΙΚΕΣ ΕΝΟΤΗΤΕΣ ΣΤΑΤΙΚΕΣ ΚΑΙ ΔΥΝΑΜΙΚΕΣ ΜΝΗΜΕΣ ΤΥΧΑΙΑΣ ΠΡΟΣΠΕΛΑΣΗΣ (Static and Dynamic RAMs). ΔΙΑΡΘΡΩΣΗ ΤΟΥ ΜΑΘΗΜΑΤΟΣ ΘΕΜΑΤΙΚΕΣ ΕΝΟΤΗΤΕΣ ΒΑΣΙΚΑ ΣΤΟΙΧΕΙΑ ΗΜΙΑΓΩΓΙΚΩΝ ΜΝΗΜΩΝ. ΒΑΣΙΚΗ ΛΕΙΤΟΥΡΓΙΑ RAM CMOS. ΤΥΠΟΙ ΚΥΤΤΑΡΩΝ ΑΡΧΕΣ

Διαβάστε περισσότερα

Και Εγέννετω Φως. Το Τρανζίστορ! ENIAC. Μικροηλεκτρονική 3. Το πρώτο τρανζίστορ! Τρανζίστορ στα 180nm 2000. Bell Labs 1947. Μικροηλεκτρονική 4

Και Εγέννετω Φως. Το Τρανζίστορ! ENIAC. Μικροηλεκτρονική 3. Το πρώτο τρανζίστορ! Τρανζίστορ στα 180nm 2000. Bell Labs 1947. Μικροηλεκτρονική 4 ΒΑΣΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ Μικροηλεκτρονική Μικροηλεκτρονική Γ. Τσιατούχας 1 ΒΑΣΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ Μικροηλεκτρονική 2 1 Και Εγέννετω Φως ENIAC Μικροηλεκτρονική 3 Το Τρανζίστορ! Το

Διαβάστε περισσότερα

Κεφάλαιο 1. Πέντε Αντιπροσωπευτικά Προβλήματα. Έκδοση 1.4, 30/10/2014. Χρησιμοποιήθηκε υλικό από τις αγγλικές διαφάνειες του Kevin Wayne.

Κεφάλαιο 1. Πέντε Αντιπροσωπευτικά Προβλήματα. Έκδοση 1.4, 30/10/2014. Χρησιμοποιήθηκε υλικό από τις αγγλικές διαφάνειες του Kevin Wayne. Κεφάλαιο 1 Πέντε Αντιπροσωπευτικά Προβλήματα Έκδοση 1.4, 30/10/2014 Χρησιμοποιήθηκε υλικό από τις αγγλικές διαφάνειες του Kevin Wayne. 1 1.2 Πέντε Αντιπροσωπευτικά Προβλήματα 1. Χρονοπρογραμματισμός Διαστημάτων

Διαβάστε περισσότερα

Pipelining και Παράλληλη Επεξεργασία

Pipelining και Παράλληλη Επεξεργασία Pipelining και Παράλληλη Επεξεργασία Εισαγωγή Σωλήνωση - Pipelining Βασισμένη στην ιδέα σωλήνα που στέλνει νερό χωρίς να περιμένει το νερό που μπαίνει σε ένα σωλήνα να τελειώσει water pipe Μπορεί να οδηγήσει

Διαβάστε περισσότερα

Μικροηλεκτρονική - VLSI

Μικροηλεκτρονική - VLSI ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Μικροηλεκτρονική - VLSI Ενότητα 6.3: Συνδυαστική Λογική - Δυναμικές Πύλες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΠΛ 121 ΕΡΓΑΣΤΗΡΙΑ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΜΝΗΜΗ ΚΑΙ ΠΡΟΓΡΑΜΜΑΤΙΖΟΜΕΝΗ ΛΟΓΙΚΗ ΥΠΕΥΘΥΝΟΣ ΕΡΓΑΣΤΗΡΙΩΝ: ΧΡΥΣΟΣΤΟΜΟΣ ΧΡΥΣΟΣΤΟΜΟΥ ΕΑΡΙΝΟ ΕΞΑΜΗΝΟ 2001 ΕΠΛ 121 ΕΡΓΑΣΤΗΡΙΑ ΨΗΦΙΑΚΩΝ

Διαβάστε περισσότερα

Αλγόριθµοι Εκτίµησης Καθυστέρησης και

Αλγόριθµοι Εκτίµησης Καθυστέρησης και Αλγόριθµοι Εκτίµησης Καθυστέρησης και Βελτιστοποίησης Εισαγωγή Το κύριο πρόβληµα στην σχεδίαση κυκλωµάτων είναι η επίτευξη της µέγιστης απόδοσης για την δεδοµένη τεχνολογία. Μεγιστοποίηση απόδοσης: (α)

Διαβάστε περισσότερα

Η επικράτηση των ψηφιακών κυκλωμάτων 1o μέρος

Η επικράτηση των ψηφιακών κυκλωμάτων 1o μέρος Εθνικό Μετσόβιο Πολυτεχνείο Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Τομέας Επικοινωνιών, Ηλεκτρονικής και Συστημάτων Πληροφορικής Εισαγωγή στη Σχεδίαση VLSI Η επικράτηση των ψηφιακών κυκλωμάτων

Διαβάστε περισσότερα

2. ΛΟΓΙΚΕΣ ΠΥΛΕΣ. e-book ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ ΑΣΗΜΑΚΗΣ-ΒΟΥΡΒΟΥΛΑΚΗΣ- ΚΑΚΑΡΟΥΝΤΑΣ-ΛΕΛΙΓΚΟΥ 1

2. ΛΟΓΙΚΕΣ ΠΥΛΕΣ. e-book ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ ΑΣΗΜΑΚΗΣ-ΒΟΥΡΒΟΥΛΑΚΗΣ- ΚΑΚΑΡΟΥΝΤΑΣ-ΛΕΛΙΓΚΟΥ 1 2. ΛΟΓΙΚΕΣ ΠΥΛΕΣ e-book ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ ΑΣΗΜΑΚΗΣ-ΒΟΥΡΒΟΥΛΑΚΗΣ- ΚΑΚΑΡΟΥΝΤΑΣ-ΛΕΛΙΓΚΟΥ 1 ΟΙ ΛΟΓΙΚΕΣ ΠΥΛΕΣ NOT, AND ΚΑΙ OR Οι βασικές πράξεις της Άλγεβρας Boole είναι οι πράξεις NOT, ANDκαι OR. Στα ψηφιακά

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL

ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL Προετοιµασία: Παπαδόπουλος Γιώργος Σούρδης Γιάννης Για το µάθηµα Οργάνωσης Υπολογιστών (ΑΡΥ301), 2002 ΕΙΣΑΓΩΓΗ ΣΤΗ STRUCTURAL VHDL Η VHDL είναι

Διαβάστε περισσότερα

Βασικές Έννοιες της Πληροφορικής

Βασικές Έννοιες της Πληροφορικής Βασικές Έννοιες της Πληροφορικής Ηλεκτρονικός Υπολογιστής Αυτόματη ηλεκτρονική μηχανή που δέχεται, φυλάσσει, επαναφέρει, επεξεργάζεται και παρουσιάζει πληροφορίες σύμφωνα με προκαθορισμένες εντολές. Δεδομένα

Διαβάστε περισσότερα

Πανεπιστήμιο Αιγαίου Σχολή Θετικών Επιστημών. Τμήμα Μηχανικών Πληροφοριακών & Επικοινωνιακών Συστημάτων. Διπλωματική Εργασία

Πανεπιστήμιο Αιγαίου Σχολή Θετικών Επιστημών. Τμήμα Μηχανικών Πληροφοριακών & Επικοινωνιακών Συστημάτων. Διπλωματική Εργασία Πανεπιστήμιο Αιγαίου Σχολή Θετικών Επιστημών Τμήμα Μηχανικών Πληροφοριακών & Επικοινωνιακών Συστημάτων Διπλωματική Εργασία Συμπίεση Δεδομένων Ελέγχου Ψηφιακών Κυκλωμάτων Άγνωστης Δομής Με Χρήση Γραμμικών

Διαβάστε περισσότερα

Υπολογιστικό Πρόβληµα

Υπολογιστικό Πρόβληµα Υπολογιστικό Πρόβληµα Μετασχηµατισµός δεδοµένων εισόδου σε δεδοµένα εξόδου. Δοµή δεδοµένων εισόδου (έγκυρο στιγµιότυπο). Δοµή και ιδιότητες δεδοµένων εξόδου (απάντηση ή λύση). Τυπικά: διµελής σχέση στις

Διαβάστε περισσότερα

Chapter 4 (1) Αξιολόγηση και κατανόηση της απόδοσης

Chapter 4 (1) Αξιολόγηση και κατανόηση της απόδοσης Chapter 4 (1) Αξιολόγηση και κατανόηση της απόδοσης Διαφάνειες διδασκαλίας από το πρωτότυπο αγγλικό βιβλίο (4 η έκδοση), μετάφραση: Καθ. Εφαρμογών Νικόλαος Πετράκης, Τμήματος Ηλεκτρονικών Μηχανικών του

Διαβάστε περισσότερα

Ψηφιακά ολοκληρωμένα κυκλώματα

Ψηφιακά ολοκληρωμένα κυκλώματα Ψηφιακά ολοκληρωμένα κυκλώματα Εισαγωγή Γιώργος Δημητρακόπουλος Δημοκρίτειο Πανεπιστήμιο Θράκης Φθινόπωρο 2013 Ψηφιακά ολοκληρωμένα κυκλώματα 1 O κόσμος των ηλεκτρονικών... Ψηφιακά ολοκληρωμένα κυκλώματα

Διαβάστε περισσότερα

9. ΚΑΤΑΧΩΡΗΤΕΣ (REGISTERS)

9. ΚΑΤΑΧΩΡΗΤΕΣ (REGISTERS) 9. ΚΑΤΑΧΩΡΗΤΕΣ (REGISTERS) 9.. ΕΙΣΑΓΩΓΗ Όπως έχουμε ήδη αναφέρει για την αποθήκευση μιας πληροφορίας ενός ψηφίου ( bit) απαιτείται ένα στοιχείο μνήμης δηλαδή ένα FF. Επομένως για περισσότερα του ενός ψηφία

Διαβάστε περισσότερα

Έλεγχος Ψηφιακών κυκλωμάτων και σχεδιασμός για δοκιμαστικότητα

Έλεγχος Ψηφιακών κυκλωμάτων και σχεδιασμός για δοκιμαστικότητα Κεφάλαιο 17. Έλεγχος Ψηφιακών κυκλωμάτων και σχεδιασμός για δοκιμαστικότητα Το Κεφάλαιο αυτό αποτελεί μια εισαγωγή στο αντικείμενο του ελέγχου ψηφιακών κυκλωμάτων καθώς και στις τεχνικές σχεδίασης για

Διαβάστε περισσότερα

Προχωρηµένα Θέµατα Αρχιτεκτονικής

Προχωρηµένα Θέµατα Αρχιτεκτονικής Προχωρηµένα Θέµατα Αρχιτεκτονικής Fundamentals of Computer Design. Λιούπης Αύξηση απόδοσης µικροεπεξεργαστών Αύξηση των παρεχόµενων στο χρήστη δυνατοτήτων Κυριαρχία των βασισµένων σε µικροεπεξεργαστές

Διαβάστε περισσότερα

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I Επιμέλεια: Γεώργιος Θεοδωρίδης, Επίκουρος Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σημείωμα

Διαβάστε περισσότερα

Συστήματα σε Ολοκληρωμένα Κυκλώματα

Συστήματα σε Ολοκληρωμένα Κυκλώματα Συστήματα σε Ολοκληρωμένα Κυκλώματα Κεφάλαιο 2: Τεχνικές για Σχεδιασμό Χαμηλής Κατανάλωσης Ισχύος στα MPSoCs Διδάσκων: Καθηγητής Οδυσσέας Κουφοπαύλου Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών

Διαβάστε περισσότερα

Κεφάλαιο 9 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. CMOS Λογικές ομές 2

Κεφάλαιο 9 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. CMOS Λογικές ομές 2 ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων Συνδυαστική Λογική Κεφάλαιο 9 ο Τμήμα Μηχανικών Η/Υ και Πληροφορικής Γ. Τσιατούχας ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. Στατική CMOS λογική και λογική 2. Διαφορική λογική 3.

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΕΣ ΥΛΟΠΟΙΗΣΗΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΤΕΧΝΟΛΟΓΙΕΣ ΥΛΟΠΟΙΗΣΗΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΤΕΧΝΟΛΟΓΙΕΣ ΥΛΟΠΟΙΗΣΗΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Υλοποίηση ΥΛΟΠΟΙΗΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΔΙΑΚΡΙΤΑ ΣΤΟΙΧΕΙΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ ΑΝΑΔΙΑΜΟΡΦΩΣΙΜΟ ΥΛΙΚΟ Ο.Κ. ΕΙΔΙΚΟΥ ΣΚΟΠΟΥ (VLSI) FULL CUSTOM (Reconfigurable

Διαβάστε περισσότερα

ΜΕΤΑΠΤΥΧΙΑΚΗ ΙΠΛΩΜΑΤΙΚΗ ΕΡΓΑΣΙΑ

ΜΕΤΑΠΤΥΧΙΑΚΗ ΙΠΛΩΜΑΤΙΚΗ ΕΡΓΑΣΙΑ ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ Η/Υ & ΠΛΗΡΟΦΟΡΙΚΗΣ ΠΜΣ : ΟΛΟΚΛΗΡΩΜΕΝΑ ΣΥΣΤΗΜΑΤΑ ΥΛΙΚΟΥ ΛΟΓΙΣΜΙΚΟΥ (ΟΣΥΛ) ΜΕΤΑΠΤΥΧΙΑΚΗ ΙΠΛΩΜΑΤΙΚΗ ΕΡΓΑΣΙΑ Ανάπτυξη λογισµικού για την ελάττωση του κόστους

Διαβάστε περισσότερα

Μικροηλεκτρονική - VLSI

Μικροηλεκτρονική - VLSI ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Μικροηλεκτρονική - VLSI Ενότητα 6.1: Συνδυαστική Λογική - Βασικές Πύλες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

Εισαγωγή στα Συστήματα Ψηφιακής Επεξεργασίας Σήματος

Εισαγωγή στα Συστήματα Ψηφιακής Επεξεργασίας Σήματος ΕΣ 08 Επεξεργαστές Ψηφιακών Σημάτων Εισαγωγή στα Συστήματα Ψηφιακής Επεξεργασίας Σήματος Κλήμης Νταλιάνης Λέκτορας Π.Δ.407/80 Τμήμα Επιστήμη και Τεχνολογίας Τηλεπικοινωνιών Πανεπιστήμιο Πελοποννήσου Αρχιτεκτονική

Διαβάστε περισσότερα

Κεφάλαιο 8. NP και Υπολογιστική Δυσεπιλυσιμότητα. Παύλος Εφραιμίδης V1.1,

Κεφάλαιο 8. NP και Υπολογιστική Δυσεπιλυσιμότητα. Παύλος Εφραιμίδης V1.1, Κεφάλαιο 8 NP και Υπολογιστική Δυσεπιλυσιμότητα Παύλος Εφραιμίδης V1.1, 2015-01-19 Χρησιμοποιήθηκε υλικό από τις αγγλικές διαφάνειες του Kevin Wayne. 1 πρόβλημα αναζήτησης (search problem) Ένα πρόβλημα

Διαβάστε περισσότερα

Κυκλώµατα CMOS και Λογική Σχεδίαση 2

Κυκλώµατα CMOS και Λογική Σχεδίαση 2 5 η Θεµατική Ενότητα : Κυκλώµατα CMOS και Λογική Σχεδίαση Επιµέλεια διαφανειών:. Μπακάλης Σχεδίαση Λογικών Πυλών CMOS Παράγοντες που µπορούν να οδηγήσουν µία λογική πύλη CMOS σε λανθασµένη λειτουργία:

Διαβάστε περισσότερα

Πανεπιστήμιο Αιγαίου Πολυτεχνική Σχολή. Τμήμα Μηχανικών Πληροφοριακών & Επικοινωνιακών Συστημάτων. Διπλωματική Εργασία

Πανεπιστήμιο Αιγαίου Πολυτεχνική Σχολή. Τμήμα Μηχανικών Πληροφοριακών & Επικοινωνιακών Συστημάτων. Διπλωματική Εργασία Πανεπιστήμιο Αιγαίου Πολυτεχνική Σχολή Τμήμα Μηχανικών Πληροφοριακών & Επικοινωνιακών Συστημάτων Διπλωματική Εργασία Συμπίεση Δεδομένων Ελέγχου Ψηφιακών Κυκλωμάτων Άγνωστης Δομής Με Χρήση Γραμμικών Αποσυμπιεστών

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη q Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Κεφάλαιο 7 i: Καταχωρητές Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές Ολίσθησης Σειριακή Φόρτωση Σειριακή Ολίσθηση Καταχωρητές Ολίσθησης Παράλληλης Φόρτωσης

Διαβάστε περισσότερα

ΤΗΛ412 Ανάλυση & Σχεδίαση (Σύνθεση) Τηλεπικοινωνιακών Διατάξεων. Διάλεξη 6. Άγγελος Μπλέτσας ΗΜΜΥ Πολυτεχνείου Κρήτης, Φθινόπωρο 2016

ΤΗΛ412 Ανάλυση & Σχεδίαση (Σύνθεση) Τηλεπικοινωνιακών Διατάξεων. Διάλεξη 6. Άγγελος Μπλέτσας ΗΜΜΥ Πολυτεχνείου Κρήτης, Φθινόπωρο 2016 ΤΗΛ412 Ανάλυση & Σχεδίαση (Σύνθεση) Τηλεπικοινωνιακών Διατάξεων Διάλεξη 6 Άγγελος Μπλέτσας ΗΜΜΥ Πολυτεχνείου Κρήτης, Φθινόπωρο 2016 1 Διάλεξη 6 Αρχιτεκτονικές Δεκτών (συνέχεια) Προηγούµενες διαλέξεις:

Διαβάστε περισσότερα

ς Ποιότητα ξιολόγηση Α

ς Ποιότητα ξιολόγηση Α Αξιολόγηση Ποιότητας Μέτρα Αξιολόγησης Τα µέτρα αξιολόγησης είναι απαραίτητα κατά την διαδικασία της σύνθεσης. Τα ακριβή µέτρα καθορίζουν την ποιότητα του τελικού κυκλώµατος και εντοπίζουν προβλήµατα.

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ Συνδυαστικά Κυκλώµατα. 3.2 Σχεδιασµός Συνδυαστικής Λογικής 3.3 ιαδικασία Ανάλυσης 3.4 ιαδικασία Σχεδιασµού.

ΚΕΦΑΛΑΙΟ Συνδυαστικά Κυκλώµατα. 3.2 Σχεδιασµός Συνδυαστικής Λογικής 3.3 ιαδικασία Ανάλυσης 3.4 ιαδικασία Σχεδιασµού. Περιεχόµενα ΚΕΦΑΛΑΙΟ 3 Συνδυαστικά Κυκλώµατα 3.1 Συνδυαστικά Κυκλώµατα 3.2 Σχεδιασµός Συνδυαστικής Λογικής 3.3 ιαδικασία Ανάλυσης 3.4 ιαδικασία Σχεδιασµού 1 2 3.1 Συνδυαστικά Κυκλώµατα Έξοδος οποιαδήποτε

Διαβάστε περισσότερα

CAD / CAM. Ενότητα #10: Βιομηχανικά Συστήματα Ελέγχου. Δημήτριος Τσελές Τμήμα Μηχανικών Αυτοματισμού T.E.

CAD / CAM. Ενότητα #10: Βιομηχανικά Συστήματα Ελέγχου. Δημήτριος Τσελές Τμήμα Μηχανικών Αυτοματισμού T.E. ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα CAD / CAM Ενότητα #10: Βιομηχανικά Συστήματα Ελέγχου Δημήτριος Τσελές Τμήμα Μηχανικών Αυτοματισμού T.E. Άδειες Χρήσης Το παρόν

Διαβάστε περισσότερα

C D C D C D C D A B

C D C D C D C D A B Απλοποίηση µέσω Πίνακα Karnaugh: Παράδειγµα - 2 Στον παρακάτω πίνακα έχει ήδη γίνει το «βήμα- 1». Επομένως: Βήμα 2: Δεν υπάρχουν απομονωμένα κελιά. Βήμα 3: Στο ζεύγος (3,7) το κελί 3 γειτνιάζει μόνο με

Διαβάστε περισσότερα

Μηχανική Μάθηση Μερωνυµιών για Αναγνώριση Γεγονότων

Μηχανική Μάθηση Μερωνυµιών για Αναγνώριση Γεγονότων Μηχανική Μάθηση Μερωνυµιών για Αναγνώριση Γεγονότων Αναστάσιος Σκαρλατίδης 1,2 anskarl@iit.demokritos.gr επιβλέπων: Καθ. Βούρος Γ. 1 1 Τµήµα Μηχανικών Πληροφοριακών και Επικοινωνιακών Συστηµάτων Πανεπιστήµιο

Διαβάστε περισσότερα

Ελαχιστοποίηση της Καταναλισκόμενης Ενέργειας σε Φορητές Συσκευές

Ελαχιστοποίηση της Καταναλισκόμενης Ενέργειας σε Φορητές Συσκευές Ελαχιστοποίηση της Καταναλισκόμενης Ενέργειας σε Φορητές Συσκευές Βασίλης Βλάχος vbill@aueb.gr Υποψήφιος Διδάκτορας Τμήματος Διοικητικής Επιστήμης και Τεχνολογίας 1 Σχεδιασμός ενσωματωμένων συστημάτων

Διαβάστε περισσότερα

Πανεπιστήµιο Κύπρου DEPARTMENT OF COMPUTER SCIENCE

Πανεπιστήµιο Κύπρου DEPARTMENT OF COMPUTER SCIENCE Πανεπιστήµιο Κύπρου DEPARTMENT OF OMPUTER SIENE S 121 Ψηφιακά Εργαστήρια LAB EXERISE 4 Sequential Logic Χρίστος ιονυσίου Σωτήρης ηµητριάδης Άνοιξη 2002 Εργαστήριο 4 Sequential ircuits A. Στόχοι Ο σκοπός

Διαβάστε περισσότερα

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες Πρώτο Κεφάλαιο Εισαγωγή στα Ψηφιακά Συστήματα 1.1 Αναλογικά και Ψηφιακά Σήματα και Συστήματα... 1 1.2 Βασικά Ψηφιακά Κυκλώματα... 3 1.3 Ολοκληρωμένα κυκλώματα... 4 1.4 Τυπωμένα κυκλώματα... 7 1.5 Εργαλεία

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

Low Power. Οργάνωση Παρουσίασης. ηµήτρης Μητροβγένης ηµήτρης Κασερίδης Μαρίνος Σαµψών VLSI II ΠΑΤΡΑ 2004

Low Power. Οργάνωση Παρουσίασης. ηµήτρης Μητροβγένης ηµήτρης Κασερίδης Μαρίνος Σαµψών VLSI II ΠΑΤΡΑ 2004 Low Power ηµήτρης Μητροβγένης ηµήτρης Κασερίδης Μαρίνος Σαµψών VLSI II ΠΑΤΡΑ 004 Low Power 1 Οργάνωση Παρουσίασης Ηανάγκη για χαµηλή ισχύ (Low Power) Πηγές Κατανάλωσης ισχύος Τεχνικές Βελτιστοποίησης Κατανάλωσης

Διαβάστε περισσότερα

Σύγχρονη και Ασύγχρονη Σειριακή Επικοινωνία

Σύγχρονη και Ασύγχρονη Σειριακή Επικοινωνία Σύγχρονη και Ασύγχρονη Σειριακή Επικοινωνία Δρ. Κλειώ Σγουροπούλου Μετάδοση Δεδομένων Οργάνωση καναλιού μετάδοσης Τρόποι επικοινωνίας Τρόποι μετάδοσης Οργάνωση καναλιού μετάδοσης Τα δεδομένα μπορούν να

Διαβάστε περισσότερα

Κεφάλαιο 10 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Ακολουθιακή Λογική 2

Κεφάλαιο 10 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Ακολουθιακή Λογική 2 ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων Ακολουθιακή Λογική Κεφάλαιο 10 ο Τμήμα Μηχανικών Η/Υ και Πληροφορικής Γ. Τσιατούχας ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. Δισταθή κυκλώματα Μεταευστάθεια 2. Μανδαλωτές 3. Flip

Διαβάστε περισσότερα

Ελεγχος, Αξιοπιστία και Διασφάλιση Ποιότητας Λογισµικού Πολυπλοκότητα

Ελεγχος, Αξιοπιστία και Διασφάλιση Ποιότητας Λογισµικού Πολυπλοκότητα Ελεγχος, Αξιοπιστία και Διασφάλιση Ποιότητας Λογισµικού Πολυπλοκότητα Τµήµα Διοίκησης Επιχειρήσεων Τει Δυτικής Ελλάδας Μεσολόγγι Δρ. Α. Στεφανή Διάλεξη 5 2 Εγκυροποίηση Λογισµικού Εγκυροποίηση Λογισµικού

Διαβάστε περισσότερα

Παράλληλος προγραμματισμός περιστροφικών αλγορίθμων εξωτερικών σημείων τύπου simplex ΠΛΟΣΚΑΣ ΝΙΚΟΛΑΟΣ

Παράλληλος προγραμματισμός περιστροφικών αλγορίθμων εξωτερικών σημείων τύπου simplex ΠΛΟΣΚΑΣ ΝΙΚΟΛΑΟΣ Παράλληλος προγραμματισμός περιστροφικών αλγορίθμων εξωτερικών σημείων τύπου simplex ΠΛΟΣΚΑΣ ΝΙΚΟΛΑΟΣ Διπλωματική Εργασία Μεταπτυχιακού Προγράμματος στην Εφαρμοσμένη Πληροφορική Κατεύθυνση: Συστήματα Υπολογιστών

Διαβάστε περισσότερα

Κουτσιούμπας Αχιλλέας U. Adamy, C. Ambuehl, R. Anand, T. Erlebach

Κουτσιούμπας Αχιλλέας U. Adamy, C. Ambuehl, R. Anand, T. Erlebach Κουτσιούμπας Αχιλλέας ΕΛΕΓΧΟΣ ΚΛΗΣΕΩΝ ΣΕ ΑΚΤΥΛΙΟ U. Adamy, C. Ambuehl, R. Anand, T. Erlebach ΜΠΛΑ 1 Δομή παρουσίασης Γενικά Ορισμός προβλήματος Σχετιζόμενη δουλειά Εισαγωγικά Αλγόριθμος Παράδειγμα εκτέλεσης

Διαβάστε περισσότερα

σφαλμάτων Δημήτρης Νικολός, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν. Πατρών

σφαλμάτων Δημήτρης Νικολός, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν. Πατρών Λογική εξομοίωση και εξομοίωση σφαλμάτων Περίγραμμα ργρ Επιβεβαίωση σχεδιασμού και εξομοίωση (Verification and Simulation) Είδη εξομοίωσης (Types of Simulation) Εξομοίωση σφαλμάτων (Fault Simulation) Σειριακή

Διαβάστε περισσότερα

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος Εργαστήριο Οργάνωσης Η/Υ Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Συνδυαστικό Κυκλωμα: Το κύκλωμα του οποίου οι έξοδοι εξαρτώνται αποκλειστικά από τις τρέχουσες εισόδους του. Ακολουθιακό Κύκλωμα: Το κύκλωμα

Διαβάστε περισσότερα

8 η Θεµατική Ενότητα : Εισαγωγή στις Γλώσσες Περιγραφής Υλικού: Μοντέλα Συνδυαστικών Κυκλωµάτων

8 η Θεµατική Ενότητα : Εισαγωγή στις Γλώσσες Περιγραφής Υλικού: Μοντέλα Συνδυαστικών Κυκλωµάτων 8 η Θεµατική Ενότητα : Εισαγωγή στις Γλώσσες Περιγραφής Υλικού: Μοντέλα Συνδυαστικών Κυκλωµάτων Εισαγωγή Η λογική που περιγράφεται σε ένα module µπορεί να περιγραφεί µε διάφορα στυλ Μοντελοποίηση σε επίπεδο

Διαβάστε περισσότερα

Περιεχόµενα. Πρόλογος 11. 0 Εισαγωγή 21

Περιεχόµενα. Πρόλογος 11. 0 Εισαγωγή 21 Περιεχόµενα Πρόλογος 11 Σκοπός αυτού του βιβλίου 11 Σε ποιους απευθύνεται αυτό το βιβλίο 12 Βασικά χαρακτηριστικά του βιβλίου 12 Κάλυψη συστηµάτων CAD 14 Εργαστηριακή υποστήριξη 14 Συνοπτική παρουσίαση

Διαβάστε περισσότερα

ιαµέριση - Partitioning

ιαµέριση - Partitioning ιαµέριση - Partitioning ιαµέριση ιαµέριση είναι η διαµοίραση αντικειµένων σε οµάδες µε στόχο την βελτιστοποίηση κάποιας συνάρτησης. Στην σύνθεση η διαµέριση χρησιµοποιείται ως εξής: Οµαδοποίηση µεταβλητών

Διαβάστε περισσότερα

Chapter 4 ( ή 1 στο βιβλίο σας)

Chapter 4 ( ή 1 στο βιβλίο σας) Η διασύνδεση Υλικού και λογισμικού David A. Patterson και John L. Hennessy Chapter 4 ( ή 1 στο βιβλίο σας) Αξιολόγηση και κατανόηση της απόδοσης Δέκατη (10 η ) δίωρη διάλεξη. Διαφάνειες διδασκαλίας από

Διαβάστε περισσότερα

Αρχιτεκτονική υπολογιστών

Αρχιτεκτονική υπολογιστών 1 Ελληνική Δημοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Αρχιτεκτονική υπολογιστών Ενότητα 3 : Μια άποψη του κορυφαίου επιπέδου λειτουργίας και διασύνδεσης του υπολογιστή Καρβούνης Ευάγγελος Η έννοια

Διαβάστε περισσότερα

Πανεπιστήµιο Αιγαίου Τµήµα Μηχανικών Πληροφοριακών και Επικοινωνιακών Συστηµάτων. 3η Άσκηση Logical Effort - Ένα ολοκληρωµένο παράδειγµα σχεδίασης

Πανεπιστήµιο Αιγαίου Τµήµα Μηχανικών Πληροφοριακών και Επικοινωνιακών Συστηµάτων. 3η Άσκηση Logical Effort - Ένα ολοκληρωµένο παράδειγµα σχεδίασης Πανεπιστήµιο Αιγαίου Τµήµα Μηχανικών Πληροφοριακών και Επικοινωνιακών Συστηµάτων Εισαγωγή σε VLSI 3η Άσκηση Logical Effort - Ένα ολοκληρωµένο παράδειγµα σχεδίασης Μανόλης Καλλίγερος (kalliger@aegean.gr)

Διαβάστε περισσότερα

ΑΤΕΙ ΘΕΣΣΑΛΟΝΙΚΗΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜΗΜΑ ΑΥΤΟΜΑΤΙΣΜΟΥ. Σηµειώσεις στο µάθηµα ΜΙΚΡΟΕΛΕΓΚΤΕΣ

ΑΤΕΙ ΘΕΣΣΑΛΟΝΙΚΗΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜΗΜΑ ΑΥΤΟΜΑΤΙΣΜΟΥ. Σηµειώσεις στο µάθηµα ΜΙΚΡΟΕΛΕΓΚΤΕΣ ΑΤΕΙ ΘΕΣΣΑΛΟΝΙΚΗΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜΗΜΑ ΑΥΤΟΜΑΤΙΣΜΟΥ Σηµειώσεις στο µάθηµα ΜΙΚΡΟΕΛΕΓΚΤΕΣ ΝΙΚΟΛΑΪ ΗΣ ΝΙΚ. ΘΕΣΣΑΛΟΝΙΚΗ ΜΑΡΤΙΟΣ 2011 Σημειώσεις ΜΕ 1011Ε.doc Νικολαΐδης Νικ. Σηµειώσεις Μικροελεγκτών

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Ακολουθιακή Λογική. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Ακολουθιακή Λογική. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Ακολουθιακή Λογική Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Εισαγωγή Είσοδοι Συνδυαστικό Κύκλωμα Έξοδοι Στοιχεία Μνήμης Κατάσταση

Διαβάστε περισσότερα

Τεχνικές και κυκλώματα εμφώλευσης συνόλου δοκιμής για τον έλεγχο VLSI συστημάτων

Τεχνικές και κυκλώματα εμφώλευσης συνόλου δοκιμής για τον έλεγχο VLSI συστημάτων Π Α Ν Ε Π Ι Σ Τ Η Μ Ι Ο Π Α Τ Ρ Ω Ν Π Ο Λ Υ Τ Ε Χ Ν Ι Κ Η Σ Χ Ο Λ Η ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΗΛΕΚΤΡΟΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΜΕΤΑΠΤΥΧΙΑΚΗ ΔΙΠΛΩΜΑΤΙΚΗ ΕΡΓΑΣΙΑ Τεχνικές και κυκλώματα εμφώλευσης συνόλου

Διαβάστε περισσότερα

X.25. Επίπεδο πακέτου Επίπεδο ζεύξης Φυσικό επίπεδο. ΕΥ, Κεφ. 10 (3/3) ρ Παύλος Θεοδώρου 1

X.25. Επίπεδο πακέτου Επίπεδο ζεύξης Φυσικό επίπεδο. ΕΥ, Κεφ. 10 (3/3) ρ Παύλος Θεοδώρου 1 X.25 1976 ιεπαφή ανάµεσα σε ένα host σύστηµα και σε ένα δίκτυο µεταγωγής πακέτου Χρησιµοποιείται σχεδόν παγκοσµίως ως διεπαφή σε δίκτυα µεταγωγής πακέτου, καθώς και για τη µεταγωγή πακέτων στο ISDN Έχει

Διαβάστε περισσότερα

ΑΛΓΟΡΙΘΜΟΙ Άνοιξη I. ΜΗΛΗΣ

ΑΛΓΟΡΙΘΜΟΙ  Άνοιξη I. ΜΗΛΗΣ ΑΛΓΟΡΙΘΜΟΙ http://eclass.aueb.gr/courses/inf161/ Άνοιξη 2016 - I. ΜΗΛΗΣ ΑΠΛΗΣΤΟΙ ΑΛΓΟΡΙΘΜΟΙ Greedy Algorithms 1 Greedy algorithms H βασική ιδέα: Άρχισε από ένα υπο-πρόβλημα μικρού μεγέθους Επαναληπτικά,

Διαβάστε περισσότερα

3 η Θεµατική Ενότητα. Μέρος Ι. Reuse Methodology. Εισαγωγή. Μεθοδολογία Σχεδιασµού για Επαναχρησιµοποίηση

3 η Θεµατική Ενότητα. Μέρος Ι. Reuse Methodology. Εισαγωγή. Μεθοδολογία Σχεδιασµού για Επαναχρησιµοποίηση 3 η Θεµατική Ενότητα Reuse Methodology 1 Μέρος Ι Εισαγωγή 2 Εισαγωγικές Έννοιες Σύγχρονες Τεχνολογίες εκάδες εκατοµµύρια τρανζίστορ / chip Τεράστιος αριθµός διαθέσιµων πυλών Επανεξέταση ιαδικασιών Σχεδίασης

Διαβάστε περισσότερα

Μελέτη και Ανάπτυξη ενός Εργαλείου Υποβοήθησης στη Σχεδίαση µίας Βάσης εδοµένων Τύπου Graph από Τελικούς Χρήστες

Μελέτη και Ανάπτυξη ενός Εργαλείου Υποβοήθησης στη Σχεδίαση µίας Βάσης εδοµένων Τύπου Graph από Τελικούς Χρήστες Μελέτη και Ανάπτυξη ενός Εργαλείου Υποβοήθησης στη Σχεδίαση µίας Βάσης εδοµένων Τύπου Graph από Τελικούς Χρήστες ηµήτρης Λαµπούδης Επιβλέπων: Νικόλαος Πρωτόγερος ιατµηµατικό Πρόγραµµα Μεταπτυχιακών Σπουδών

Διαβάστε περισσότερα