ΕΙΣΑΓΩΓΙΚΕΣ ΠΑΡΑΤΗΡΗΣΕΙΣ... 3

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "ΕΙΣΑΓΩΓΙΚΕΣ ΠΑΡΑΤΗΡΗΣΕΙΣ... 3"

Transcript

1 ΚΕΦΑΛΑΙΟ 3 ΑΠΑΡΙΘΜΗΤΕΣ ΕΙΣΑΓΩΓΙΚΕΣ ΠΑΡΑΤΗΡΗΣΕΙΣ... 3 ΕΝΟΤΗΤΑ 3.. ΑΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ ΑΣΥΓΧΡΟΝΟΙ ΥΑ ΙΚΟΙ ΑΠΑΡΙΘΜΗΤΕΣ ΑΣΥΓΧΡΟΝΟΙ ΜΗ- ΥΑ ΙΚΟΙ ΑΠΑΡΙΘΜΗΤΕΣ... 9 ΕΝΟΤΗΤΑ 3.2. ΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ ΣΥΓΧΡΟΝΟΙ ΥΑ ΙΚΟΙ ΑΠΑΡΙΘΜΗΤΕΣ ΣΥΓΧΡΟΝΟΙ ΜΗ- ΥΑ ΙΚΟΙ ΑΠΑΡΙΘΜΗΤΕΣ... 4 ΕΝΟΤΗΤΑ 3.3. ΙΑΙΡΕΤΕΣ ΣΥΧΝΟΤΗΤΑΣ ΠΑΛΜΩΝ... 9 ΣΥΝΟΨΗ ΚΕΦΑΛΑΙΟΥ ΒΙΒΛΙΟΓΡΑΦΙΑ ΑΠΑΝΤΗΣΕΙΣ ΑΣΚΗΣΕΩΝ ΑΥΤΟΑΞΙΟΛΟΓΗΣΗΣ ΑΠΟ ΟΣΗ ΑΓΓΛΙΚΩΝ ΟΡΩΝ ΣΤΗΝ ΕΛΛΗΝΙΚΗ... 3

2 ΚΕΦΑΛΑΙΟ 3 ΑΠΑΡΙΘΜΗΤΕΣ Στόχος: Οι απαριθµητές είναι από τα περισσότερο χρησιµοποιούµενα ψηφιακά κυκλώµατα. Η πιο γνωστή χρήση τους είναι αυτή της µέτρησης του χρόνου (ψηφιακά ρολόγια). Στόχος µας στο κεφάλαιο αυτό είναι η εξοικείωση µε όλους τους τύπους των απαριθµητών και τους τρόπους χρήσης τους. Προσδοκώµενα αποτελέσµατα: Με την ολοκλήρωση της µελέτης του κεφαλαίου, θα είστε σε θέση να: αναλύετε και σχεδιάζετε σύγχρονους και ασύγχρονους δυαδικούς απαριθµητές αναλύετε και σχεδιάζετε σύγχρονους και ασύγχρονους µη-δυαδικούς απαριθµητές (οποιουδήποτε κύκλου απαρίθµησης Ν) σχεδιάζετε κυκλώµατα υποβιβασµού συχνότητας παλµών Λέξεις κλειδιά: Απαριθµητής Μετρητής Απαριθµητής κυµατώσεως Απαριθµητής ριπής ιαιρέτης συχνότητας παλµών Σύγχρονος ή παράλληλος απαριθµητής Ασύγχρονος ή σειριακός απαριθµητής 2

3 ΕΙΣΑΓΩΓΙΚΕΣ ΠΑΡΑΤΗΡΗΣΕΙΣ Οι απαριθµητές ή µετρητές (counters) είναι ακολουθιακά κυκλώµατα αποτελούµενα από FFs και πύλες, που έχουν τη δυνατότητα απαρίθµησης παλµών (γεγονότων). Τέτοια κυκλώµατα γνωρίσαµε στο προηγούµενο κεφάλαιο, όταν ασχοληθήκαµε µε την ανάλυση και τη σχεδίαση σύγχρονων ακολουθιακών κυκλωµάτων. Εδώ, όµως, θα τα µελετήσουµε πιο συστηµατικά. Ένας απαριθµητής, ο οποίος τροφοδοτείται µε κάποιους ωρολογιακούς παλµούς, µπορεί να χρησιµοποιηθεί για να µετράει αυτούς τους παλµούς. Εφόσον οι παλµοί εµφανίζονται σε τακτά χρονικά διαστήµατα, ο απαριθµητής µπορεί να χρησιµοποιηθεί ως όργανο για τη µέτρηση του χρόνου και κατά συνέπεια της περιόδου ή της συχνότητας. Υπάρχουν δύο βασικές κατηγορίες απαριθµητών: οι ασύγχρονοι και οι σύγχρονοι. Θα δούµε ότι οι ασύγχρονοι απαριθµητές είναι πιο απλοί στη σχεδίασή τους και συνήθως απαιτείται ο ελάχιστος αριθµός πυλών για την κατασκευή τους. Παρουσιάζουν, όµως, πρόβληµα στη µέγιστη συχνότητα λειτουργίας που µπορούµε να πετύχουµε, επειδή το κάθε FF πυροδοτείται από την έξοδο του προηγουµένου του. Οι απαριθµητές αυτού του τύπου ονοµάζονται ασύγχρονοι (asynchronous) ή σειριακοί (serial) ή κυµατώσεως (ripple). Η άλλη κατηγορία απαριθµητών είναι οι λεγόµενοι σύγχρονοι (synchronous) ή παράλληλοι (parallel). Όπως θα δούµε, σ' αυτούς όλα τα FFs πυροδοτούνται ταυτόχρονα, αφού ο ίδιος ωρολογιακός παλµός εφαρµόζεται σε καθένα από αυτά. Με τον τρόπο αυτό πετυχαίνουµε µεγαλύτερες ταχύτητες λειτουργίας, µε τίµηµα όµως το µεγαλύτερο αριθµό πυλών του κυκλώµατος. Στην πρώτη ενότητα του κεφαλαίου θα γνωρίσουµε τους ασύγχρονους απαριθµητές, τόσο τους δυαδικούς όσο και τους µη δυαδικούς. Στη δεύτερη ενότητα θα ασχοληθούµε µε τους σύγχρονους απαριθµητές. Εκτός από τη γνωστή µέθοδο σχεδίασης αυτών, θα µάθουµε και µία νέα µέθοδο για τη σχεδίαση σύγχρονων κυκλωµάτων κανονικής απαρίθµησης. Τέλος, στην τρίτη ενότητα θα εξετάσουµε κυκλώµατα για τον υποβιβασµό της συχνότητας ωρολογιακών παλµών. 3

4 ΕΝΟΤΗΤΑ 3.. ΑΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ Οι ασύγχρονοι απαριθµητές κατασκευάζονται µε FFs, καθένα από τα οποία πυροδοτείται από το προηγούµενό του. Όταν λέµε απαριθµητή, εννοούµε ένα ακολουθιακό κύκλωµα µε FFs, οι καταστάσεις των οποίων για κάθε νέο ωρολογιακό παλµό αλλάζουν έτσι ώστε ο αριθµός που σχηµατίζεται στην έξοδο να διατρέχει κυκλικά ένα σύνολο δυαδικών αριθµών Ν. Αν το πλήθος Ν των αριθµών είναι δύναµη του 2, δηλαδή αν Ν =2 n, και ο απαριθµητής διατρέχει τους αριθµούς αυτούς κανονικά (τον ένα µετά τον άλλο), τότε αυτός ονοµάζεται δυαδικός απαριθµητής (binary counter). Αν το Ν δεν είναι δύναµη του 2, δηλαδή Ν 2 n, τότε ο απαριθµητής ονοµάζεται µη-δυαδικός. Θα εξετάσουµε χωριστά την καθεµία περίπτωση ΑΣΥΓΧΡΟΝΟΙ ΥΑ ΙΚΟΙ ΑΠΑΡΙΘΜΗΤΕΣ Το κύκλωµα του Σχήµατος 3.α µας δείχνει έναν ασύγχρονο δυαδικό απαριθµητή των 3-bits, ο οποίος είναι σχεδιασµένος µε FFs τύπου Τ αρνητικής ακµής πυροδότησης. Η είσοδος Τ καθενός FF είναι συνδεδεµένη στο λογικό. V cc CLK (LSB) T0 FF0 ' T FF ' T2 Q2 FF2 Q2' (MSB) (α) CLK Q2 ( Q Q Q 2 0) (β) Σχήµα 3. Ασύγχρονος προσθετικός δυαδικός απαριθµητής (mod-8): (α) λογικό κύκλωµα, (β) κυµατοµορφές εξόδου. Αυτό σηµαίνει ότι η ενεργός ακµή στην είσοδο CLK του κάθε FF, θα το αναγκάζει να αλλάζει κατάσταση (toggle). Οι έξοδοι του απαριθµητή είναι οι τρεις καταστάσεις Q 0, Q, Q 2 των FFs. Παρατηρούµε ότι το κάθε FF πυροδοτείται από την έξοδο του 4

5 προηγούµενου FF. Ο παλµός εισόδου εφαρµόζεται µόνο στο πρώτο από τα FFs. Οι κυµατοµορφές της εισόδου και των εξόδων του απαριθµητή δίνονται στο Σχήµα 3.β. Ας εξετάσουµε τον τρόπο λειτουργίας του κυκλώµατος. Έστω ότι αρχικά όλα τα FFs έχουν µηδενιστεί (reset, εκκαθάριση). Με την πρώτη αρνητική ακµή των ωρολογιακών παλµών το FF0 αλλάζει κατάσταση και από το 0 µεταβαίνει στο. Με την επόµενη αρνητική ακµή, αυτό αλλάζει και πάλι κατάσταση και από το µεταβαίνει στο 0. Οι µεταπτώσεις αυτές συνεχίζονται για κάθε αρνητική ακµή των ωρολογιακών παλµών CLK. Η έξοδος, όµως, του FF0 συνδέεται στην είσοδο ρολογιού του επόµενου FF. Έτσι, µε κάθε αρνητική ακµή του Q 0 το FF αλλάζει κατάσταση, αφού πρόκειται για FF τύπου Τ µε Τ=. Παρόµοια είναι και η συµπεριφορά του τρίτου FF, του οποίου η είσοδος ρολογιού τροφοδοτείται από την έξοδο Q του δεύτερου FF. Αν διαβάζουµε τις εξόδους των τριών FFs µε την σειρά Q 2 Q Q 0, αρχίζοντας από τα αριστερά των κυµατοµορφών του Σχήµατος 3.β, διατρέχουµε κυκλικά τους αριθµούς 000, 00, 00,...,. Σε δεκαδική αναπαράσταση οι αριθµοί αυτοί είναι 0,, 2,..., 7. Άρα, πρόκειται για ένα κύκλωµα µε κύκλο απαρίθµησης 8 (mod-8) *. To FF0 αλλάζει κατάσταση σε κάθε αρνητική ακµή του ρολογιού. Πρόκειται δηλαδή για το λιγότερο σηµαντικό ψηφίο (LSB, Least Significant Bit). Η περίοδος των παλµών Q 0 είναι 2πλάσια της περιόδου των ωρολογιακών παλµών, δηλαδή η συχνότητα των παλµών του Q 0 είναι υποδιπλάσια της συχνότητας των ωρολογιακών παλµών. Αντίστοιχα, η περίοδος των παλµών Q είναι 2πλάσια της περιόδου των παλµών Q 0 και άρα 4πλάσια της περιόδου των ωρολογιακών παλµών. Τέλος, η περίοδος των παλµών Q 2 είναι 8πλάσια της περιόδου των ωρολογιακών παλµών. ιαπιστώνουµε, εποµένως, ότι η κάθε έξοδος Q 0, Q, Q 2 πετυχαίνει τη διαίρεση της συχνότητας των ωρολογιακών παλµών δια 2, 4 και 8 αντίστοιχα. Έχοντας πλέον αναλύσει διεξοδικά το κύκλωµα του απαριθµητή του Σχήµατος 3. ας προχωρήσουµε σε ορισµένες παρατηρήσεις και γενικεύσεις. Το κύκλωµα του Σχήµατος 3. αποτελείται από 3 FFs και είναι ένας απαριθµητής 8 διαφορετικών καταστάσεων (mod-8). Στη γενική περίπτωση κατά την οποία έχουµε n FFs, το κύκλωµα θα είναι σε θέση να απαριθµεί Ν=2 n καταστάσεις, δηλαδή να διατρέχει κυκλικά τους αριθµούς από 0 µέχρι και Ν-. Τότε λέµε ότι έχουµε έναν απαριθµητή µε κύκλο απαρίθµησης Ν (mod-n). * Modulo ή modulus ή mod ενός απαριθµητή είναι το πλήθος των καταστάσεων που διατρέχει αυτός. 5

6 Στους ασύγχρονους απαριθµητές το κάθε FF πυροδοτείται από την έξοδο του προηγουµένου του. Έτσι βλέπουµε ότι το FF0 πρέπει να αλλάξει κατάσταση (από σε 0), ώστε αυτό να πυροδοτήσει το FF και αυτό µε τη σειρά του να αλλάξει κατάσταση (από σε 0), ώστε να πυροδοτήσει το FF2. Η πυροδότηση διαδίδεται από το ένα FF στο άλλο σαν την κυµάτωση στο νερό, γι' αυτό και οι ασύγχρονοι απαριθµητές ονοµάζονται και απαριθµητές κυµατώσεως (ripple counters). Ο τρόπος αυτός λειτουργίας των απαριθµητών δηµιουργεί κάποια προβλήµατα εξαιτίας της καθυστέρησης διάδοσης που εισάγει κάθε FF. Ας υποθέσουµε, για παράδειγµα, ότι κάθε FF έχει µια τυπική καθυστέρηση διάδοσης (propagation delay) 0 ns. Τότε, το κύκλωµα του Σχήµατος 3., το οποίο αποτελείται από 3 FFs, θα παρουσιάζει µια συνολική καθυστέρηση 30 ns. Αυτό σηµαίνει ότι η περίοδος των ωρολογιακών παλµών θα πρέπει να είναι µεγαλύτερη από 30 ns, ώστε το κύκλωµα να λειτουργεί αξιόπιστα. Με άλλα λόγια, η συχνότητα των παλµών του ρολογιού πρέπει να είναι µικρότερη από /30 ns ~ 33 MHz. Ο απαριθµητής του Σχήµατος 3. είναι ένας προσθετικός δυαδικός απαριθµητής, δηλαδή µετράει προς τα πάνω (count-up). Πόσο εύκολα θα µπορούσε να σχεδιάσει κανείς έναν αφαιρετικό δυαδικό απαριθµητή, δηλαδή έναν απαριθµητή που να µετράει προς τα κάτω (count-down); Η απάντηση στο ερώτηµα αυτό είναι πολύ εύκολη. Άµεσα, θα λέγαµε, µπορούµε να έχουµε έναν αφαιρετικό απαριθµητή, από τη στιγµή που έχουµε το κύκλωµα του προσθετικού στο Σχήµα 3.. Το µόνο που έχουµε να κάνουµε είναι να πάρουµε ως εξόδους του κυκλώµατος τις συµπληρωµατικές καταστάσεις Q 2, Q, Q 0 των FFs, αντί για τις Q 2, Q, Q 0. Από τις κυµατοµορφές του Σχήµατος 3.β γίνεται φανερό ότι µε κάθε νέο παλµό του ρολογιού το κύκλωµα θα διατρέχει τις τιµές {(Q 2 Q Q 0 ) 0 }= {7, 6, 5, 4, 3, 2,, 0, 7, 6, 5, 4,...}. Υπάρχει όµως και άλλος τρόπος, για να σχεδιάσουµε έναν αφαιρετικό δυαδικό απαριθµητή. Αυτός δίνεται στο Σχήµα 3.2α και, όπως παρατηρούµε, πρόκειται για το ίδιο κύκλωµα µε εκείνο του Σχήµατος 3.α, µε µόνη διαφορά ότι το κάθε FF πυροδοτείται µε την συµπληρωµατική κατάσταση του προηγουµένου του. Ως έξοδοι του απαριθµητή θεωρούνται και πάλι οι κανονικές καταστάσεις Q 2, Q, Q 0 των FFs. Οι κυµατοµορφές των ωρολογιακών παλµών και των εξόδων του κυκλώµατος δείχνονται στο Σχήµα 3.2β. 6

7 V cc CLK T0 FF0 ' (LSB) T FF ' T2 Q2 FF2 Q2' (MSB) (α) CLK Q2 ( Q Q Q 2 0) (β) Σχήµα 3.2 Ασύγχρονος αφαιρετικός δυαδικός απαριθµητής (mod-8): (α) λογικό κύκλωµα, (β) κυµατοµορφές εξόδου. Αρχικά θεωρήσαµε ότι όλα τα FFs είχαν εκκαθαριστεί. Με την πρώτη αρνητική ακµή των ωρολογιακών παλµών το FF0 αλλάζει κατάσταση (toggle) και από 0 γίνεται. Αυτό σηµαίνει ότι η συµπληρωµατική κατάσταση Q 0 αυτού από γίνεται 0. Συνέπεια αυτού είναι η ενεργοποίηση του επόµενου FF µε επακόλουθο την αλλαγή της κατάστασης Q αυτού από 0 που ήταν σε. Άρα, το Q από γίνεται 0 και ενεργοποιεί και το τελευταίο FF2, το οποίο µε τη σειρά του αλλάζει και αυτό κατάσταση. Έτσι το κύκλωµα µε την πρώτη ενεργό ακµή του ρολογιού από το 000 που ήταν πήγε στο. Με κάθε νέο ωρολογιακό παλµό συνεχίζει την απαρίθµηση προς τα κάτω µέχρις ότου µηδενιστεί και αρχίσει η επανάληψη του ίδιου κύκλου. Σηµειώνεται ότι ο κύκλος απαρίθµησης του αφαιρετικού απαριθµητή εξακολουθεί να είναι ο ίδιος και ίσος µε 8 (mod-8) στην προκειµένη περίπτωση, αφού το κύκλωµα διατρέχει και πάλι οκτώ διαφορετικές καταστάσεις. Άσκηση Αυτοαξιολόγησης / Κεφάλαιο 3 Σχεδιάστε το λογικό κύκλωµα του Σχήµατος 3.α χρησιµοποιώντας FFs τύπου Τ θετικής ακµής πυροδότησης. Ποιες οι αντίστοιχες κυµατοµορφές εξόδου του κυκλώµατος; ραστηριότητα / Κεφάλαιο 3 Μπορείτε να προτείνετε κύκλωµα, το οποίο να απαριθµεί προς τα πάνω ή προς τα κάτω ανάλογα µε την τιµή µιας εισόδου ελέγχου; 7

8 Είναι χρήσιµο σε πολλές εφαρµογές να µπορούµε να επιλέγουµε αν θα µετρήσουµε προς τα πάνω ή προς τα κάτω. Θα µπορούσαµε να προτείνουµε διάφορα κυκλώµατα. Για παράδειγµα, στην περίπτωση του απαριθµητή του Σχήµατος 3.α, αφού οι κανονικές καταστάσεις µετρούν προς τα πάνω, ενώ οι συµπληρωµατικές τους προς τα κάτω, θα µπορούσαµε να σκεφτούµε ένα απλό κύκλωµα πολυπλέκτη 2-σε-, το οποίο να επιλέγει µεταξύ των δύο εξόδων. Μια δεύτερη λύση είναι η ακόλουθη. Κάθε κανονική κατάσταση Q να την οδηγούµε σε µια πύλη XOR δύο εισόδων. Στην άλλη είσοδο της πύλης να συνδέεται το σήµα επιλογής Ε, όπως δείχνεται στο Σχήµα 3.3 για την περίπτωση ενός απαριθµητή µε 3 FFs. O 0 O O2 Ε Q2 Σχήµα 3.3 Κύκλωµα για τη µετατροπή ενός προσθετικού απαριθµητή των 3-bits σε προσθετικό / αφαιρετικό. Η είσοδος Ε καθορίζει αν στις εξόδους θα έχουµε τα ίδια τα Q ή τα συµπληρώµατά τους. Έτσι για Ε=0, O i =Q i, ενώ για Ε= O i =Q i, όπου i=0,,2. ηλαδή, αν το κύκλωµα του Σχήµατος 3.3 προσαρµοστεί στις εξόδους του Σχήµατος 3.α, τότε ο απαριθµητής που προκύπτει για Ε=0 θα µετράει προς τα πάνω και για Ε= προς τα κάτω. Τέλος, µια διαφορετική προσέγγιση στο πρόβληµα είναι εκείνη κατά την οποία η επιλογή µας δεν εφαρµόζεται στις εξόδους (καταστάσεις) των FFs, αλλά στους παλµούς πυροδότησής τους. είτε για παράδειγµα το κύκλωµα του Σχήµατος 3.4. Το κάθε FF πυροδοτείται από την κανονική ή συµπληρωµατική κατάσταση του προηγούµενου FF. Αυτό το πετυχαίνουµε µε τη βοήθεια ενός απλού πολυπλέκτη 2-σε-, ο έλεγχος του οποίου γίνεται µέσω της εισόδου επιλογής Ε. Οι κυµατοµορφές του κυκλώµατος είναι ίδιες µε εκείνες των Σχηµάτων 3.β ή 3.2β, ανάλογα µε το εάν ο απαριθµητής είναι προσθετικός ή αφαιρετικός, δηλαδή ανάλογα αν Ε=0 ή Ε=. Οι έξοδοι του απαριθµητή είναι οι Q 2, Q, Q 0 µε περισσότερο σηµαντικό bit το Q 2. Είναι φανερό ότι χρησιµοποιώντας περισσότερα FFs µπορούµε να κατασκευάσουµε απαριθµητές µε µεγαλύτερες δυνατότητες απαρίθµησης. Σηµειώνεται ότι οι πύλες του πολυπλέκτη εισάγουν κάποια επιπρόσθετη 8

9 καθυστέρηση στους ωρολογιακούς παλµούς του κυκλώµατος και θα πρέπει να λαµβάνεται υπόψη κατά τον υπολογισµό της µέγιστης συχνότητας λειτουργίας του απαριθµητή. Ε "" "" T0 T "" T2 Q2 CLK ' ' Q2' Σχήµα 3.4 Προσθετικός / Αφαιρετικός απαριθµητής των 3 bits ΑΣΥΓΧΡΟΝΟΙ ΜΗ- ΥΑ ΙΚΟΙ ΑΠΑΡΙΘΜΗΤΕΣ Είδαµε ότι δυαδικοί απαριθµητές είναι εκείνοι, οι οποίοι έχουν κύκλο απαρίθµησης Ν δύναµη του 2, δηλαδή Ν=2 n. Τις περισσότερες, όµως, φορές θα θέλαµε να µετράµε κατά δεκάδες, αφού το δεκαδικό είναι το σύστηµα αρίθµησης που χρησιµοποιούµε ή κατά δωδεκάδες ή κατά οποιοδήποτε άλλο αριθµό. Είναι απαραίτητο, λοιπόν, να µελετήσουµε τον τρόπο για τη σχεδίαση τέτοιων απαριθµητών, δηλαδή µη-δυαδικών απαριθµητών µε κύκλο απαρίθµησης Ν 2 n. Η σχεδίαση µη-δυαδικών απαριθµητών γίνεται εύκολα µε τη χρήση µιας πύλης NAND ως αποκωδικοποιητή. Οι είσοδοι της πύλης NAND αποκωδικοποιούν την κατάσταση Ν των FFs, ενώ η έξοδος αυτής τροφοδοτεί τις εισόδους άµεσου µηδενισµού όλων των FFs. Ας εξετάσουµε την περίπτωση των µη-δυαδικών απαριθµητών µέσω ενός παραδείγµατος. Παράδειγµα / Κεφάλαιο 3 Να σχεδιαστεί ένας δεκαδικός ασύγχρονος απαριθµητής. Παρατηρούµε ότι Ν=0 (2 3 <0<2 4 ) και συνεπώς θα χρειαστούµε 4 FFs για την υλοποίησή του. Θα σχεδιάσουµε, λοιπόν, έναν δυαδικό απαριθµητή των 4 bits, ο οποίος θα µπορεί να διέρχεται από όλες τις καταστάσεις από 0 µέχρι και 5, αλλά θα αναγκάσουµε αυτόν να αρχίζει την απαρίθµηση από την αρχή κάθε φορά που µετράει τους 0 πρώτους παλµούς. Στο Σχήµα 3.5 δείχνεται το ζητούµενο κύκλωµα. Η πύλη 9

10 NAND τροφοδοτείται από τις εξόδους Q και Q 3. Αυτό συµβαίνει, επειδή ο αριθµός (0) 0 γράφεται στο δυαδικό ως 00, δηλαδή Ν=(Q 3 Q 2 Q Q 0 ) 2 =(00) 2. Παρατηρείστε ότι το Q 0 είναι το LSB. Άρα, ο απαριθµητής λειτουργεί κανονικά, όπως τον έχουµε γνωρίσει από το Σχήµα 3., αρχίζοντας από το 0 και αυξανόµενος κατά µε την έλευση κάθε αρνητικού παλµού. Η έξοδος G της πύλης NAND βρίσκεται στη λογική κατάσταση (G= (Q Q 3 ) =). Μετά από 0 παλµούς του ρολογιού, ο απαριθµητής έχει φτάσει στην κατάσταση (Q 3 Q 2 Q Q 0 ) 2 =(00) 2 =9 0. Με τον επόµενο ωρολογιακό παλµό οι έξοδοι των FFs αλλάζουν κατάσταση µεταβαίνοντας στο (00) 2. T0 T T2 Q2 T3 Q3 FF0 FF FF2 FF3 G CLR CLR CLR CLR (α) Αιχµή λόγω 'στιγµιαίας' εµφάνισης του αριθµού 0 CLK Q2 Q3 G ( Q Q Q Q ) 0 Σχήµα (β) Ασύγχρονος δεκαδικός απαριθµητής: (α) λογικό κύκλωµα, (β) κυµατοµορφές εξόδου. Τότε, όµως, η έξοδος της πύλης NAND γίνεται 0 (G=(Q 3 Q ) =0), επιβάλλοντας την εκκαθάριση όλων των FFs. Έτσι, µε τον επόµενο ωρολογιακό παλµό η απαρίθµηση αρχίζει και πάλι από την αρχή. Γίνεται φανερό ότι ο αριθµός Ν=0 εµφανίζεται στιγµιαία στην έξοδο του απαριθµητή, δηµιουργώντας έναν λεπτό παλµό (αιχµή, glitch), για χρόνο ίσο µε την καθυστέρηση διάδοσης µέσω της πύλης NAND και του χρόνου που απαιτείται, για να µηδενιστούν οι έξοδοι Q i από τη στιγµή που οι είσοδοι CLR ενεργοποιηθούν. Το άθροισµα των δύο αυτών καθυστερήσεων είναι της τάξεως των ns (π.χ. 0 ns). Για τη λειτουργία τέτοιων κυκλωµάτων σε πολύ υψηλές συχνότητες ενδέχεται η εµφάνιση αυτής της αιχµής να δηµιουργήσει πρόβληµα. 0

11 Άσκηση Αυτοαξιολόγησης 2 / Κεφάλαιο 3 Σχεδιάστε ασύγχρονο προσθετικό απαριθµητή µε κύκλο απαρίθµησης 2 (mod-2), χρησιµοποιώντας FFs τύπου Τ αρνητικής ακµής πυροδότησης. Άσκηση Αυτοαξιολόγησης 3 / Κεφάλαιο 3 Με FFs τύπου Τ αρνητικής ακµής πυροδότησης, να σχεδιάσετε ασύγχρονο προσθετικό απαριθµητή µε κύκλο απαρίθµησης 5 (mod-5), o οποίος να απαριθµεί από το 6 µέχρι και το 0. ραστηριότητα 2 / Κεφάλαιο 3 Μπορείτε να προτείνεται ασύγχρονο κύκλωµα, το οποίο να παράγει την ακολουθία παλµών ; Σύνοψη Ενότητας Στην ενότητα αυτή µελετήσαµε τους ασύγχρονους απαριθµητές (δυαδικούς και µηδυαδικούς). Καθένας από αυτούς χωρίζεται σε προσθετικούς και αφαιρετικούς, ανάλογα µε το αν η απαρίθµηση γίνεται προς τα πάνω ή προς τα κάτω. Είδαµε ότι ο τύπος πυροδότησης των FFs είναι ουσιώδους σηµασίας σ' αυτούς τους απαριθµητές, αφού µε αντικατάσταση των αρνητικής ακµής πυροδότησης FFs µε αντίστοιχα θετικής ακµής πυροδότησης, ένας προσθετικός απαριθµητής γίνεται αφαιρετικός απαριθµητής. Οι ασύγχρονοι απαριθµητές ονοµάζονται και απαριθµητές κυµατώσεως, επειδή κάθε FF πυροδοτείται από την έξοδο του προηγουµένου του. Ο ασύγχρονος αυτός τρόπος πυροδότησης εισάγει καθυστερήσεις στη διάδοση των παλµών από FF σε FF, δηµιουργώντας προβλήµατα για υψηλές συχνότητες λειτουργίας.

12 ΕΝΟΤΗΤΑ 3.2. ΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ Στην προηγούµενη ενότητα γνωρίσαµε τους ασύγχρονους απαριθµητές και διαπιστώσαµε ότι παρουσιάζεται πρόβληµα κατά τη λειτουργία τους σε υψηλές συχνότητες, εξαιτίας της καθυστέρησης που εισάγεται στην πυροδότηση των FFs. Το πρόβληµα αυτό το επιλύουµε εύκολα µε την πυροδότηση όλων των FFs µε ένα κοινό ρολόι. Στην περίπτωση αυτή έχουµε να κάνουµε µε σύγχρονα ακολουθιακά κυκλώµατα, των οποίων η ανάλυση και σχεδίαση έχει µελετηθεί εκτενώς στο προηγούµενο κεφάλαιο. Εδώ θα ασχοληθούµε µε τους σύγχρονους απαριθµητές (δυαδικούς και µη-δυαδικούς) και θα περιγράψουµε επίσης έναν εναλλακτικό τρόπο για τη σχεδίασή τους ΣΥΓΧΡΟΝΟΙ ΥΑ ΙΚΟΙ ΑΠΑΡΙΘΜΗΤΕΣ Πρόκειται για απαριθµητές, των οποίων ο κύκλος απαρίθµησης Ν είναι δύναµη του 2, δηλαδή Ν=2 n. Ένας τέτοιος απαριθµητής αποτελείται από n FFs, τα οποία χρονίζονται από ένα κοινό ωρολογιακό παλµό. Στο Σχήµα 3.6α δείχνεται ένας σύγχρονος απαριθµητής µε κύκλο απαρίθµησης 8 (mod-8), βασισµένος σε FFs τύπου Τ αρνητικής ακµής πυροδότησης. Οι κυµατοµορφές εξόδου αυτού δείχνονται στο Σχήµα 3.6β και είναι ίδιες µε εκείνες του Σχήµατος 3.β. CLK T0 T T2 Q2 FF0 FF FF2 (α) CLK Q2 ( Q Q Q 2 0) Σχήµα 3.6. (β) Σύγχρονος προσθετικός δυαδικός απαριθµητής (mod-8): (α) λογικό κύκλωµα, (β) κυµατοµορφές εξόδου. Ο τρόπος λειτουργίας του έχει ως εξής: Η είσοδος Τ 0 =, γεγονός που σηµαίνει ότι το FF0 αλλάζει κατάσταση κάθε φορά που ο ωρολογιακός παλµός µεταβαίνει από το στο 0 2

13 (αρνητική ακµή). Όταν Τ = και ο ωρολογιακός παλµός µεταβαίνει από το στο 0, τότε το FF αλλάζει κατάσταση. Παρόµοια συµβαίνουν και για το FF2, το οποίο αλλάζει κατάσταση κατά την αρνητική ακµή του ρολογιού, εφόσον Τ 2 =. Παρατηρείστε ότι Τ 2 =Q T =Q Q 0, δηλαδή το FF2 αλλάζει κατάσταση, όταν όλα τα προηγούµενα από αυτό FFs βρίσκονται στην κατάσταση. Γενικά ισχύει ότι T n =Q n-...q Q 0, όπου T n η είσοδος του n-οστού FF και Q n-,..., Q, Q 0 οι έξοδοι όλων των προηγούµενων FFs. Ας σηµειωθεί ότι στο κύκλωµα του Σχήµατος 3.6α Q 0 θα είναι το λιγότερο σηµαντικό ψηφίο (LSB) της λέξης εξόδου και Q 2 το περισσότερο σηµαντικό ψηφίο (MSB) αυτής. ραστηριότητα 3 / Κεφάλαιο 3 Προτείνετε κύκλωµα, ώστε ο απαριθµητής του Σχήµατος 3.6(α). να απαριθµεί προς τα πάνω ή προς τα κάτω, ανάλογα µε το αν η είσοδος ελέγχου Ε είναι 0 ή αντίστοιχα. ραστηριότητα 4 / Κεφάλαιο 3 Σχεδιάστε ένα σύγχρονο προσθετικό απαριθµητή mod-6 µε FFs τύπου JK θετικής ακµής πυροδότησης. Προτείνετε τρόπους, ώστε το κύκλωµα αυτό να απαριθµεί προς τα κάτω. Άσκηση Αυτοαξιολόγησης 4 / Κεφάλαιο 3 Σχεδιάστε σύγχρονο προσθετικό απαριθµητή mod-8 µε FFs τύπου Τ θετικής ακµής πυροδότησης. Προτείνετε τρόπους, ώστε το κύκλωµα αυτό να απαριθµεί προς τα κάτω. Στο σηµείο αυτό θα ήταν σκόπιµο να παρατηρήσουµε τα εξής σχετικά µε τους σύγχρονους δυαδικούς απαριθµητές: Η είσοδος Τ κάθε FF τροφοδοτείται µε το γινόµενο των εξόδων Q όλων των προηγούµενων FFs. H συµπεριφορά ενός σύγχρονου απαριθµητή δεν αλλάζει, αν τα FFs από τα οποία αποτελείται, αντικατασταθούν από όµοια FFs διαφορετικού όµως τύπου πυροδότησης. (Θυµηθείτε ότι κατά τη σχεδίαση των σύγχρονων ακολουθιακών κυκλωµάτων του Κεφαλαίου 2 δεν λάβαµε υπόψη µας στους πίνακες καταστάσεων τον τύπο πυροδότησης των FFs). 3

14 Για να πάρουµε αφαιρετικό απαριθµητή, θα πρέπει να επιλέξουµε τις εξόδους Q ή να τροποποιήσουµε τις εισόδους των FFs, αλλά όχι τις εισόδους του ρολογιού, αφού η αλλαγή της πυροδότησης δεν επηρεάζει τη λειτουργία του σύγχρονου ακολουθιακού κυκλώµατος. Εφόσον όλα τα FFs πυροδοτούνται από το ίδιο ρολόι, δεν υπάρχουν προβλήµατα καθυστέρησης διάδοσης των παλµών πυροδότησης, όπως αυτά που συναντήσαµε στους ασύγχρονους απαριθµητές. Έτσι, ανεξάρτητα από το πλήθος των FFs, η µέγιστη συχνότητα λειτουργίας ενός τέτοιου κυκλώµατος καθορίζεται από την καθυστέρηση διάδοσης ενός FF. Για µια τυπική καθυστέρηση των 0ns, ένας τέτοιος απαριθµητής, από οσαδήποτε FFs και αν αποτελείται, θα µπορεί να λειτουργεί µε ρολόι µεγίστης συχνότητας /0ns=00MHz ΣΥΓΧΡΟΝΟΙ ΜΗ- ΥΑ ΙΚΟΙ ΑΠΑΡΙΘΜΗΤΕΣ Μη-δυαδικοί απαριθµητές είναι εκείνοι που απαριθµούν πλήθος καταστάσεων Ν, το οποίο δεν είναι δύναµη του 2, δηλαδή Ν 2 n. Για τη σχεδίαση τέτοιων απαριθµητών χρησιµοποιούµε συνήθως τις γενικές τεχνικές σχεδίασης σύγχρονων ακολουθιακών κυκλωµάτων, που γνωρίσαµε στο προηγούµενο κεφάλαιο (ενότητα 2.2). Χαρακτηριστική περίπτωση µη-δυαδικού απαριθµητή είναι εκείνη του δεκαδικού απαριθµητή (mod-0), ο οποίος δείχνεται στο Σχήµα Κατά τη σχεδίαση σύγχρονων απαριθµητών αποφεύγουµε να χρησιµοποιούµε τις άµεσες εισόδους CLEAR και PRESET των FFs. Αυτό το κάνουµε, για να µην διαταράξουµε τον συγχρονισµό του κυκλώµατος και να µην υποβιβάσουµε την ταχύτητα λειτουργίας αυτού. Εκτός αυτού, σε πολλές περιπτώσεις οι άµεσες είσοδοι των FFs δεν είναι διαθέσιµες. ραστηριότητα 5 / Κεφάλαιο 3 Με FFs τύπου JK αρνητικής ακµής πυροδότησης σχεδιάστε το κύκλωµα και τις κυµατοµορφές εξόδου ενός σύγχρονου προσθετικού απαριθµητή µε κύκλο απαρίθµησης 3 (mod-3). 4

15 Άσκηση Αυτοαξιολόγησης 5 / Κεφάλαιο 3 Με FFs τύπου JK αρνητικής ακµής πυροδότησης να σχεδιαστεί το κύκλωµα και οι κυµατοµορφές εξόδου ενός σύγχρονου προσθετικού απαριθµητή µε κύκλο απαρίθµησης 5 (mod-5). Άσκηση Αυτοαξιολόγησης 6 / Κεφάλαιο 3 Σχεδιάστε σύγχρονο δεκαδικό απαριθµητή µε FFs τύπου Τ αρνητικής ακµής πυροδότησης εκµεταλλευόµενοι την ύπαρξη των εισόδων άµεσης εκκαθάρισης των FFs και συγκρίνετε αυτόν µε τον αντίστοιχο ασύγχρονο απαριθµητή. Εναλλακτική σχεδίαση σύγχρονων µη-δυαδικών απαριθµητών Η σχεδίαση σύγχρονων µη-δυαδικών απαριθµητών µε βάση τα βήµατα σχεδίασης της ενότητας 2.2. είναι η πλέον ενδεδειγµένη µέθοδος. Είναι σίγουρο ότι µ' αυτή θα οδηγηθούµε στο βέλτιστο κύκλωµα από άποψη αριθµού πυλών. Η µέθοδος αυτή, όµως, παρουσιάζει κάποια δυσκολία στην εφαρµογή της, όταν καλούµαστε να σχεδιάσουµε απαριθµητές µεγάλου κύκλου απαρίθµησης. Σκεφτείτε, δηλαδή, να θέλουµε να σχεδιάσουµε έναν σύγχρονο προσθετικό απαριθµητή mod-60, για να µετατρέπουµε τα δευτερόλεπτα σε λεπτά της ώρας. Σε µια τέτοια περίπτωση θα πρέπει να εµπλακούµε στη διαδικασία απλοποίησης συναρτήσεων µε χάρτες Karnaugh των 6 µεταβλητών, πράγµα εξαιρετικά δύσκολο. Σε περιπτώσεις σαν κι αυτές χρησιµοποιούµε µια άλλη µέθοδο σχεδίασης, η οποία περιγράφεται στη συνέχεια. ιευκρινίζεται ότι η µέθοδος αυτή χρησιµοποιείται στις περιπτώσεις που θέλουµε να σχεδιάσουµε σύγχρονους µηδυαδικούς απαριθµητές κανονικής όµως απαρίθµησης, δηλαδή απαριθµητές που είναι προσθετικοί ή αφαιρετικοί διατρέχοντας διαδοχικούς ακεραίους. Για παράδειγµα, ένας απαριθµητής που διατρέχει τις καταστάσεις είναι ένας δεκαδικός απαριθµητής κανονικής απαρίθµησης, ενώ εκείνος ο οποίος διατρέχει τις ίδιες καταστάσεις µε τη σειρά είναι επίσης δεκαδικός απαριθµητής µηκανονικής απαρίθµησης. Τα βήµατα της εναλλακτικής σχεδίασης µε FFs τύπου JK ενός σύγχρονου µη-δυαδικού απαριθµητή mod-n είναι τα ακόλουθα: Βήµα. Σχεδιάζουµε τον αντίστοιχο σύγχρονο δυαδικό απαριθµητή, ο οποίος αποτελείται από n FFs, όπου 2 n- <Ν<2 n. 5

16 Βήµα 2. Με τη βοήθεια µιας πύλης NAND αποκωδικοποιούµε την κατάσταση Ν-. Έστω G η έξοδος της πύλης NAND. Βήµα 3. Εντοπίζουµε τα FFs, τα οποία στην κατάσταση Ν- βρίσκονται στο 0 και στην κατάσταση Ν µεταβαίνουν στο. Εµποδίζουµε τη µετάβαση αυτή, τροφοδοτώντας την έξοδο G στην πύλη AND, που ελέγχει την είσοδο του αντίστοιχου FF (Βλ. Σχήµα 3.7α). Βήµα 4. Εντοπίζουµε τα FFs, τα οποία στην κατάσταση Ν- βρίσκονται στο και στην κατάσταση Ν παραµένουν στο. Προκαλούµε την εκκαθάριση αυτών, τροφοδοτώντας την έξοδο G µέσω µιας πύλης OR στην είσοδο Κ του αντίστοιχου FF (Βλ. Σχήµα 3.7β). Σηµειώνεται ότι οι ενέργειες για την εµπόδιση µετάβασης ενός FF από το 0 στο και την εκκαθάριση αυτού, όταν αυτό τείνει να παραµείνει στην κατάσταση µε την έλευση του ενεργού ωρολογιακού παλµού, υπαγορεύονται από τους πίνακες διέγερσης των FFs του Σχήµατος 2.. Για να γίνει κατανοητή η διαδικασία εναλλακτικής σχεδίασης ενός σύγχρονου απαριθµητή, θα προχωρήσουµε άµεσα σε ένα σχετικό παράδειγµα. T i Q i J i Q i T i Q i J i Q i G Κ i G' Κ i (α) (β) Σχήµα 3.7. Παρεµβάσεις στο κύκλωµα ενός σύγχρονου δυαδικού απαριθµητή (α) για την εµπόδιση µετάβασης ενός FF από το 0 στο και (β) για την εκκαθάριση ενός FF που παραµένει στο. Παράδειγµα 2 / Κεφάλαιο 3 Να σχεδιαστεί σύγχρονος προσθετικός απαριθµητής µε κύκλο απαρίθµησης 20 (mod- 20), χρησιµοποιώντας FFs τύπου JK αρνητικής ακµής πυροδότησης. Για τη σχεδίαση του απαριθµητή αυτού ακολουθούµε τα βήµατα της εναλλακτικής µεθόδου. Βήµα. Σχεδιάζουµε τον αντίστοιχο δυαδικό προσθετικό απαριθµητή mod-32 (Σχήµα 3.8α), ο οποίος αποτελείται από 5 FFs, αφού 2 4 <20<2 5. Στο Σχήµα 3.8α δεν δείχνουµε τις εισόδους CLK των FFs, οι οποίες τροφοδοτούνται µε τους 6

17 ίδιους ωρολογιακούς παλµούς, αφού το κύκλωµα είναι σύγχρονο. Ας σηµειώσουµε, επίσης, ότι Q 0 είναι το LSB και Q 4 το MSB του απαριθµητή. Βήµα 2. Γράφουµε τους αριθµούς N-=9 και N=20 σε δυαδική µορφή, όπως φαίνεται στο Σχήµα 3.8β. Αποκωδικοποιούµε την κατάσταση Ν- µε τη βοήθεια µιας πύλης NAND (Σχήµα 3.8γ). Βήµα 3. Από το Σχήµα 3.8 παρατηρούµε ότι τα FF0 και FF µε την έλευση του 2ου ωρολογιακού παλµού µεταβαίνουν από το στο 0, πράγµα που είναι επιθυµητό. Επίσης το FF3 βρισκόταν στο 0 και παραµένει στο 0, πράγµα που επίσης το θέλουµε, αφού µετά τον αριθµό 9 θέλουµε ο απαριθµητής να µεταβεί στο 0 και όχι στο 20. Άρα, εκεί που θα πρέπει να παρέµβουµε είναι στα FF2 και FF4. Το FF2 µεταβαίνει από το 0 στο και πρέπει να το εµποδίσουµε, ενώ το FF4 παραµένει στο και πρέπει να το εκκαθαρίσουµε. Το FF2 το εµποδίζουµε σύµφωνα µε τον τρόπο που δείχνεται στο Σχήµα 3.7α, δηλαδή τροφοδοτώντας το G στην είσοδο της πύλης G. Στην πράξη αυτό γίνεται µε αντικατάσταση της πύλης G, η οποία είναι δύο εισόδων, από µια άλλη πύλη AND τριών εισόδων. Βήµα 4. Προκαλούµε την εκκαθάριση του FF4 µε τον τρόπο που δείχνεται στο Σχήµα 3.7β, δηλαδή τροφοδοτώντας το G σε µια OR, η έξοδος της οποίας συνδέεται στην είσοδο Κ του FF. Η άλλη είσοδος της πύλης OR τροφοδοτείται από την έξοδο της G3. Το τελικό κύκλωµα του mod-20 απαριθµητή, το οποίο προέκυψε µετά τις παρεµβάσεις που κάναµε στον mod-32 απαριθµητή, δείχνεται στο Σχήµα 3.8δ. Η διαδικασία που µόλις εφαρµόσαµε είναι γενική και πιθανόν να µην οδηγεί στο βέλτιστο κύκλωµα από άποψη πλήθους πυλών. Γι αυτό πολλές φορές µπορεί να χρειαστεί να επανεξετάσουµε το κύκλωµα που σχεδιάσαµε και εκµεταλλευόµενοι κάποια χαρακτηριστικά του να το βελτιώσουµε. 7

18 J0 FF0 J2 Q2 J3 Q3 J FF G FF2 G2 FF3 G3 J4 FF4 Q4 K0 K K2 K3 K4 (α) Ν-=9 Ν=20 Q4 Q3 Q Q4 (γ) G (β) G G G2 G3 J0 FF0 J FF J2 Q2 J3 Q3 FF2 FF3 J4 FF4 Q4 K0 K K2 K3 K4 (δ) G Σχήµα 3.8 Στάδια σχεδίασης ενός απαριθµητή mod-20: (α) κύκλωµα απαριθµητή mod-32, (β) οι αριθµοί 9 και 20 στο δυαδικό, (γ) αποκωδικοποίηση του αριθµού 9, (δ) κύκλωµα απαριθµητή mod-20 Άσκηση Αυτοαξιολόγησης 7 / Κεφάλαιο 3 Σχεδιάστε σύγχρονο προσθετικό δεκαδικό απαριθµητή, χρησιµοποιώντας FFs τύπου JK θετικής ακµής πυροδότησης. ραστηριότητα 6 / Κεφάλαιο 3 Προτείνετε σύγχρονο απαριθµητή µε κύκλο απαρίθµησης 60. Χρησιµοποιείστε FFs τύπου JK αρνητικής ακµής πυροδότησης για τη σχεδίασή σας. Σηµείωση Μια άλλη κατηγορία σύγχρονων µη-δυαδικών απαριθµητών µη-κανονικής απαρίθµησης, οι οποίοι χρησιµοποιούνται για τη δηµιουργία σηµάτων χρονισµού, είναι οι λεγόµενοι κυκλικοί απαριθµητές (ring counters). Αυτοί προκύπτουν εύκολα από τους καταχωρητές ολίσθησης (shift registers) και γι' αυτό εξετάζονται στο επόµενο κεφάλαιο. 8

19 Σύνοψη Ενότητας Στην ενότητα αυτή µελετήσαµε τους σύγχρονους απαριθµητές. Είδαµε ότι η σχεδίαση και ανάλυση δυαδικών και µη-δυαδικών σύγχρονων απαριθµητών γίνεται µε τη βοήθεια της αντίστοιχης µεθοδολογίας που αναπτύξαµε στο Κεφάλαιο 2. Για την περίπτωση των µη-δυαδικών απαριθµητών κανονικής απαρίθµησης, περιγράψαµε µία εναλλακτική µέθοδο σχεδίασης, η οποία εύκολα εφαρµόζεται για οποιαδήποτε τιµή του Ν, όπου Ν ο κύκλος απαρίθµησης. ύο σπουδαίες διαπιστώσεις που έγιναν είναι οι εξής: (α) ο τύπος πυροδότησης των FFs δεν επηρεάζει τον τρόπο λειτουργίας ενός σύγχρονου απαριθµητή και (β) η καθυστέρηση διάδοσης σ' έναν σύγχρονο απαριθµητή είναι η ίδια και ίση µε την καθυστέρηση ενός FF, ανεξάρτητα από το πλήθος των FFs του απαριθµητή. ΕΝΟΤΗΤΑ 3.3. ΙΑΙΡΕΤΕΣ ΣΥΧΝΟΤΗΤΑΣ ΠΑΛΜΩΝ Μέχρι εδώ γνωρίσαµε τους απαριθµητές ως κυκλώµατα κατάλληλα για την απαρίθµηση παλµών. Με άλλα λόγια, είδαµε ότι "διαβάζοντας" την κατάσταση Q n Q n-...q Q 0 του ασύγχρονου ή σύγχρονου κυκλώµατος, µπορούµε να απαριθµούµε το πλήθος των παλµών που "καταφθάνουν" στην είσοδο του ρολογιού. Τα ίδια αυτά κυκλώµατα, όµως, έχουν και µια άλλη σηµαντική εφαρµογή στον υποβιβασµό της συχνότητας των παλµών εισόδου. Πράγµατι, αν κοιτάξουµε τις κυµατοµορφές CLK και Q 2 του Σχήµατος 3.β, παρατηρούµε ότι η περίοδος των παλµών Q 2 είναι 8πλάσια της περιόδου CLK, δηλαδή σε µια περίοδο του Q 2 περιέχονται 8 περίοδοι του CLK. Κατά συνέπεια η συχνότητα των παλµών του Q 2 είναι 8 φορές µικρότερη της συχνότητας των ωρολογιακών παλµών. Το ίδιο ακριβώς ισχύει και για την περίπτωση των κυµατοµορφών του Σχήµατος 3.6β. Στην περίπτωση του δεκαδικού απαριθµητή του Σχήµατος 3.5 παρατηρούµε επίσης ότι η περίοδος του Q 3 είναι δεκαπλάσια εκείνης των ωρολογιακών παλµών. Γίνεται φανερό, λοιπόν, ότι µε έναν απαριθµητή mod-n πετυχαίνουµε τη διαίρεση της συχνότητας των ωρολογιακών παλµών διά Ν. Επανερχόµενοι στο κύκλωµα του ασύγχρονου δυαδικού απαριθµητή του Σχήµατος 3., παρατηρούµε ότι η έξοδος Q 0 έχει περίοδο 2πλάσια εκείνης των ωρολογιακών παλµών. ηλαδή η συχνότητα των παλµών Q 0 είναι υποδιπλάσια της συχνότητας των ωρολογιακών παλµών. Άρα, το FF0 αποτελεί στην ουσία έναν απαριθµητή mod-2. 9

20 Εξετάζοντας την κυµατοµορφή Q, καταλήγουµε στο συµπέρασµα ότι το FF αποτελεί επίσης έναν απαριθµητή mod-2, ο οποίος υποδιπλασιάζει τη συχνότητα των παλµών της εισόδου του CLK, δηλαδή τη συχνότητα των παλµών Q 0. Το ίδιο συµβαίνει και για το FF2, το οποίο υποδιπλασιάζει την συχνότητα των παλµών Q. Καταλαβαίνουµε, λοιπόν, πως συνδέοντας τρεις απαριθµητές mod-2 σε σειρά καταλήγουµε σε έναν απαριθµητή mod-8, όπου 8=2x2x2. Γενικά, η σύνδεση σε σειρά (δηλαδή η έξοδος του ενός συνδέεται ως είσοδος ωρολογιακών παλµών του εποµένου) κ απαριθµητών µε κύκλους απαρίθµησης Ν, Ν 2,..., Ν κ οδηγεί σε έναν απαριθµητή µε κύκλο απαρίθµησης Ν, όπου Ν=Ν Ν 2 Ν κ το γινόµενο των κύκλων απαρίθµησης του καθενός. Ας δούµε ένα σχετικά απλό παράδειγµα, για να κατανοήσουµε τον τρόπο λειτουργίας αυτών των απαριθµητών. Παράδειγµα 3 / Κεφάλαιο 3 Να σχεδιαστεί απαριθµητής mod-3, καθώς και οι κυµατοµορφές εξόδου του. Με τη βοήθεια αυτού και µε τη χρήση ενός επιπλέον FF να σχεδιαστεί ένας απαριθµητής mod- 6. Για τη σχεδίαση να χρησιµοποιηθούν FFs τύπου JK αρνητικής ακµής πυροδότησης. Η σχεδίαση του mod-3 απαριθµητή γίνεται, όπως στο Παράδειγµα 4 / Κεφάλαιο 2. Το κύκλωµα και οι κυµατοµορφές εξόδου του φαίνονται στο Σχήµα 3.9. J0 J CLκ Κ 0 ' Κ ' CLκ mod 3 (β) (α) CLκ 0 ) 0 ( Q Q Σχήµα 3.9. (γ) Σύγχρονος απαριθµητής mod-3: (α) λογικό κύκλωµα, (β) σύµβολο, (γ) κυµατοµορφές εξόδου. Παρατηρούµε ότι αυτός διατρέχει διαδοχικά τις καταστάσεις και ότι η έξοδος Q διαιρεί τη συχνότητα των ωρολογιακών παλµών δια 3. (Παρατηρείστε ότι 3 παλµοί του ρολογιού περιέχονται σ' ένα παλµό του Q ). Για να σχεδιάσουµε έναν 20

21 απαριθµητή mod-6, βασιζόµενοι στον απαριθµητή mod-3, που µόλις είδαµε, υπάρχουν δύο δυνατότητες: είτε ως 3x2 είτε ως 2x3. Ας δούµε και τις δύο περιπτώσεις, όπως αυτές δείχνονται στο Σχήµα 3.0. Από τα κυκλώµατα και τις κυµατοµορφές εξόδου συµπεραίνουµε ότι: Και τα δύο κυκλώµατα διατρέχουν 6 διαφορετικές καταστάσεις, δηλαδή απαριθµούν. Η διαφορά, όµως, είναι ότι το 3x2 κύκλωµα απαριθµεί µη κανονικά ( ). Και τα δύο κυκλώµατα διαιρούν τη συχνότητα των ωρολογιακών παλµών δια 6. Η έξοδος, όµως, του κυκλώµατος 3x2 είναι συµµετρική *, δηλαδή βρίσκεται για το ίδιο χρονικό διάστηµα στην κατάσταση HIGH και στην κατάσταση LOW. Αυτό δεν ισχύει για το κύκλωµα 2x3, για το οποίο η έξοδος βρίσκεται στην κατάσταση HIGH για το /3 της περιόδου της (δηλαδή ο κύκλος εργασίας είναι περίπου 33%). Και τα δύο κυκλώµατα δεν είναι πλέον σύγχρονα, αφού δεν χρονίζονται από τον ίδιο ωρολογιακό παλµό. (έξοδος) CLκ mod -3 J Κ Q (έξοδος) CLκ J Κ Q CLκ mod -3 (α) (γ) CLκ CLκ Q Q ( Q ) 0 (β) ( QQQ 0 ) (δ) Σχήµα 3.0. Απαριθµητής mod-6: (α,β) κύκλωµα και κυµατοµορφές εξόδου της 3x2 σχεδίασης, (γ,δ) κύκλωµα και κυµατοµορφές εξόδου της 2x3 σχεδίασης. * Στην περίπτωση αυτή λέµε ότι η κυµατοµορφή έχει κύκλο εργασίας (duty cycle) 50%, δηλαδή για το µισό χρονικό διάστηµα της περιόδου βρίσκεται στην κατάσταση HIGH και για το υπόλοιπο µισό στην κατάσταση LOW. 2

22 Άσκηση Αυτοαξιολόγησης 8 / Κεφάλαιο 3 Με FFs τύπου JK αρνητικής ακµής πυροδότησης να σχεδιάσετε το κύκλωµα και τις κυµατοµορφές εξόδου ενός διαιρέτη δια 0. ραστηριότητα 7 / Κεφάλαιο 3 Προτείνετε δύο διαφορετικά κυκλώµατα, τα οποία να υποβιβάζουν µια συχνότητα 27MHz σε συχνότητα MHz. ραστηριότητα 8 / Κεφάλαιο 3 Προτείνετε το λογικό κύκλωµα ενός ψηφιακού ρολογιού, το οποίο να λειτουργεί µε ωρολογιακούς παλµούς συχνότητας 60 Hz και να δείχνει στην οθόνη του τις ώρες, τα λεπτά και τα δευτερόλεπτα της ώρας. Τα ψηφιακά ρολόγια, που χρησιµοποιούµε καθηµερινά, αποτελούν την πιο ενδιαφέρουσα εφαρµογή των κυκλωµάτων διαίρεσης συχνότητας. Ας δούµε την περίπτωση ενός τέτοιου ρολογιού, το οποίο τροφοδοτείται µε ωρολογιακούς παλµούς συχνότητας 60Hz. ιευκρινίζεται στο σηµείο αυτό ότι συνήθως η συχνότητα των ωρολογιακών παλµών είναι πολύ µεγαλύτερη και προέρχεται από ένα κρυσταλλικό ταλαντωτή (quartz-crystal oscillator). Όποια όµως και να είναι η συχνότητα αυτή, το πρώτο στάδιο του κυκλώµατος είναι ο υποβιβασµός της στο Hz. ηλαδή, για την περίπτωση των 60Hz, απαιτείται η διαίρεση της συχνότητας των παλµών δια 60 ( 60). Στη συνέχεια διαιρούµε και πάλι 60 για να πάρουµε έναν ωρολογιακό παλµό ανά λεπτό (ppm). Τέλος, τη συχνότητα αυτή τη διαιρούµε και πάλι 60 για να πάρουµε έναν ωρολογιακό παλµό ανά ώρα (pph). Το όλο λογικό κύκλωµα του ψηφιακού ρολογιού δείχνεται στο Σχήµα 3.. Παρατηρούµε ότι τη διαίρεση των παλµών 60 την πραγµατοποιούµε µε ένα δεκαδικό απαριθµητή ακολουθούµενο από έναν απαριθµητή mod-6. Αυτό είναι αναµενόµενο, αφού θέλουµε να οδηγούµε τα κυκλώµατα επίδειξης, τα οποία µας δείχνουν το χρόνο (την ώρα). Τα κυκλώµατα επίδειξης είναι συνήθως του τύπου 7-τµηµάτων (7-segment displays) και οι έξοδοι των απαριθµητών οδηγούνται στις εισόδους των κατάλληλων κυκλωµάτων αποκωδικοποίησης. 22

23 Ωρες Λεπτά ευτερόλεπτα εκάδες 0- Μονάδες 0-9 εκάδες 0-5 Μονάδες 0-9 εκάδες 0-5 Μονάδες pph 6 0 ppm 6 0 Hz (pph) 60 Hz 60 Σηµείωση: Παλµοί ανά δευτερόλεπτο (pulses per second, pps) Παλµοί ανά λεπτό (pulses per minute, ppm) Παλµοί ανά ώρα (pulses per hour, pph) Σχήµα 3.. Λογικό κύκλωµα ψηφιακού ρολογιού Είδαµε λοιπόν ότι, διασυνδέοντας σε σειρά απαριθµητές, παίρνουµε ένα νέο απαριθµητή µε κύκλο απαρίθµησης ίσο µε το γινόµενο των επιµέρους κυκλωµάτων. Θα αναρωτηθείτε, ίσως, γιατί θα έπρεπε να εξετάσουµε αυτά τα κυκλώµατα ως µια ξεχωριστή ενότητα. Οι λόγοι είναι δύο: (i) Επειδή µας ενδιέφερε να σχεδιάσουµε κύκλωµα διαίρεσης συχνότητας παλµών, δηλαδή µας ενδιέφερε το τελικό αποτέλεσµα να είναι µια κυµατοµορφή συµµετρική ή µη συµµετρική συχνότητας ίσης µε το /Ν της συχνότητας των ωρολογιακών παλµών. εν µας ενδιέφερε αν το κύκλωµα απαριθµεί κανονικά ή όχι, δηλαδή δεν µας ενδιέφεραν οι ενδιάµεσες καταστάσεις του κυκλώµατος. (ii) Επειδή τα κυκλώµατα που προκύπτουν είναι συνδυασµός ασύγχρονων και σύγχρονων απαριθµητών. Γνωρίζοντας πλέον όλες τις δυνατότητες και τις ιδιαιτερότητες των απαριθµητών, είναι θέµα του µηχανικού που σχεδιάζει ένα ψηφιακό σύστηµα για το ποιο από τα κυκλώµατα θα χρησιµοποιήσει. Για παράδειγµα, ένα διαιρέτης δια 27 θα µπορούσε να υλοποιηθεί ως ένας σύγχρονος ή ασύγχρονος απαριθµητής mod-27 ή ως συνδυασµός τριών mod-3 απαριθµητών (27=3x3x3). Στην πρώτη περίπτωση απαιτούνται 5 FFs (2 4 <27<2 5 ) και πρόσθετες πύλες, ενώ στη δεύτερη περίπτωση απαιτούνται µόνο 6 FFs. Σύνοψη Ενότητας Στην παρούσα ενότητα αντιµετωπίσαµε τους απαριθµητές ως κυκλώµατα κατάλληλα για τον υποβιβασµό της συχνότητας παλµών. Είδαµε ότι το MSB της εξόδου ενός απαριθµητή mod-n αποτελεί ουσιαστικά ένα παλµό συχνότητας ίσης µε το /Ν της συχνότητας των ωρολογιακών παλµών του απαριθµητή. Είδαµε, επίσης, ότι η σύνδεση απαριθµητών σε σειρά ισοδυναµεί µε ένα νέο απαριθµητή µε κύκλο απαρίθµησης ίσο µε το γινόµενο των κύκλων απαρίθµησης των επιµέρους απαριθµητών. 23

24 ΣΥΝΟΨΗ ΚΕΦΑΛΑΙΟΥ Στο κεφάλαιο αυτό µελετήσαµε τα διαφορετικά κυκλώµατα απαρίθµησης παλµών. Είδαµε ότι υπάρχουν δύο µεγάλες κατηγορίες κυκλωµάτων, τα ασύγχρονα και τα σύγχρονα. Τα ασύγχρονα κυκλώµατα απαιτούν συνήθως µικρότερο αριθµό πυλών απ' ότι τα αντίστοιχα σύγχρονα, παρουσιάζουν όµως προβλήµατα, λόγω της καθυστέρησης διάδοσης των παλµών πυροδότησης από FF σε FF. Επίσης, η σχεδίαση απαριθµητών µηκανονικής απαρίθµησης δεν είναι εύκολη. Οι σύγχρονοι απαριθµητές σχεδιάζονται πολύ πιο εύκολα και λειτουργούν αξιόπιστα σε υψηλότερες συχνότητες, αφού η καθυστέρηση διάδοσης των παλµών είναι ανεξάρτητη του πλήθους των FFs του απαριθµητή. Για τους ασύγχρονους απαριθµητές ο τύπος πυροδότησης των FFs παίζει καθοριστικό ρόλο στον τρόπο απαρίθµησης (προς τα πάνω ή προς τα κάτω). Αυτό δεν ισχύει για την περίπτωση των σύγχρονων απαριθµητών. Τέλος, είδαµε ότι µπορούµε να σχεδιάσουµε απαριθµητές µεγάλου κύκλου απαρίθµησης Ν συνδέοντας σε σειρά κ απαριθµητές µικρότερου κύκλου απαρίθµησης Ν i, όπου N i <N και N = κ N i i= υποβιβασµού συχνότητας κατά Ν φορές.. Με τον τρόπο αυτό κατασκευάζουµε συνήθως κυκλώµατα 24

25 ΒΙΒΛΙΟΓΡΑΦΙΑ ΕΛΛΗΝΟΓΛΩΣΣΗ Mano Morris M., Ψηφιακή Σχεδίαση, Εκδόσεις Παπασωτηρίου, 992. Millman J., Χαλκιάς Χ., Ολοκληρωµένη Ηλεκτρονική, Τόµος Β, Εκδόσεις ΤΕΕ, 972. ΞΕΝΟΓΛΩΣΣΗ Daniels J. D., Digital Design from Zero to One, J. Wiley & Sons, 996. Greenfield J. D., Practical Digital Design Using ICs, J. Wiley & Sons, 977. Malvino A. P., Leach D. P., Digital Principles and Applications, McGraw-Hill, 986. Tocci R. J., Widmer N. S., Digital Systems: Principles and Applications, Prentice Hall, 998. Ο ΗΓΟΣ ΠΕΡΑΙΤΕΡΩ ΜΕΛΕΤΗΣ Greenfield J. D., Practical Digital Design Using ICs, J. Wiley & Sons, 977. Το Κεφάλαιο 7 του βιβλίου είναι αφιερωµένο στους απαριθµητές. Περιέχει πολλά παραδείγµατα και ασκήσεις. Malvino A. P., Leach D. P., Digital Principles and Applications, McGraw-Hill, 986. Περιγραφές πολλών απαριθµητών, που κυκλοφορούν στο ελεύθερο εµπόριο, θα βρείτε στο Κεφάλαιο του βιβλίου αυτού. Mano Morris M., Ψηφιακή Σχεδίαση, Εκδόσεις Παπασωτηρίου, 992. Στο Κεφάλαιο 7 του βιβλίου µπορείτε να βρείτε µια περιγραφή ενός δυαδικού απαριθµητή µε δυνατότητα παράλληλης φόρτωσης δεδοµένων. Τέτοια κυκλώµατα απαριθµητών υπάρχουν σε ολοκληρωµένη µορφή στο ελεύθερο εµπόριο. 25

26 ΑΠΑΝΤΗΣΕΙΣ ΑΣΚΗΣΕΩΝ ΑΥΤΟΑΞΙΟΛΟΓΗΣΗΣ Απάντηση Άσκησης Αυτοαξιολόγησης / Κεφάλαιο 3 Το ζητούµενο κύκλωµα και οι αντίστοιχες κυµατοµορφές δείχνονται στο Σχήµα 3.2. Vcc T0 T T2 Q2 CLK FF0 FF FF2 (α) CLK Q2 2 0 ) 0 ( Q Q Σχήµα 3.2. (β) Ο απαριθµητής του Σχήµατος 3.. µε FF τύπου Τ θετικής ακµής: (α) λογικό κύκλωµα, (β) κυµατοµορφές εξόδου. Παρατηρούµε ότι πρόκειται για έναν αφαιρετικό δυαδικό απαριθµητή! ηλαδή, αλλάζοντας τον τύπο πυροδότησης των FFs, πήραµε έναν αφαιρετικό απαριθµητή, αντί του προσθετικού που είχαµε. Παρόµοια αποτελέσµατα θα έχουµε και στην περίπτωση που αλλάξουµε τα FFs του Σχήµατος 3.2α από αρνητικής σε θετικής ακµής πυροδότησης. Εξετάστε την περίπτωση µε ένα σχήµα, όπως το παραπάνω. Απάντηση Άσκησης Αυτοαξιολόγησης 2 / Κεφάλαιο 3 Το κύκλωµα ενός mod-2 είναι ίδιο µε εκείνο του Σχήµατος 3.5α, µε τη διαφορά ότι στην πύλη NAND εφαρµόζονται ως είσοδοι τα Q 3 και Q 2 και όχι τα Q 3 και Q, αφού (2) 0 =(00) 2 και Q 3 είναι το MSB. 26

27 Απάντηση Άσκησης Αυτοαξιολόγησης 3 / Κεφάλαιο 3 Ο απαριθµητής πρέπει να διατρέχει µε τη σειρά τους αριθµούς 6, 7, 8, 9, 0, 6, 7, 8, 9, 0, 6, 7,... Παρόλο που είναι µόνο 5 οι διαφορετικές καταστάσεις, που πρέπει να διατρέχει, οι καταστάσεις αυτές θα πρέπει να σχηµατίζουν τους αριθµούς 6 µέχρι και 0. Αυτό σηµαίνει ότι για την υλοποίηση του κυκλώµατος απαιτούνται 4 FFs. Ο απαριθµητής, που θα σχεδιάσουµε, θα πρέπει να είναι (α) ασύγχρονος προσθετικός, (β) να µετρά µέχρι και το 0, δηλαδή µόλις εµφανίζεται το αυτός να ξεκινά και πάλι από την αρχή, και (γ) να µην αρχίζει από το 0, αλλά από το 6, δηλαδή το 00 στο δυαδικό. Λαµβάνοντας υπόψη µας τα τρία αυτά στοιχεία, σχεδιάζουµε το κύκλωµα του Σχήµατος 3.3. Η πύλη NAND αποκωδικοποιεί τον αριθµό () 0, δηλαδή G=(Q 3 Q Q 0 ). Μόλις ο αριθµός αυτός παρουσιαστεί, η έξοδος G γίνεται 0 αναγκάζοντας το πρώτο και τελευταίο FF να µηδενιστούν (CLR=0), και το δεύτερο και τρίτο FF να πάρουν την τιµή, ενεργοποιώντας την είσοδο PRESET (PR=0). Έτσι δηµιουργείται η λέξη Q 3 Q 2 Q Q 0 =00, που είναι ο αριθµός 6 του δεκαδικού συστήµατος. Με κάθε επόµενο ωρολογιακό παλµό το κύκλωµα συνεχίζει την απαρίθµηση διατρέχοντας τους αριθµούς 7, 8, 9, 0. Με τη στιγµιαία εµφάνιση του πηγαίνει και πάλι στο 6. CLK PR PR PR PR T0 T T2 Q2 T3 CLR CLR CLR CLR Q3 G Σχήµα 3.3. Ασύγχρονος απαριθµητής από το 6 µέχρι και το 0. Απάντηση Άσκησης Αυτοαξιολόγησης 4 / Κεφάλαιο 3 Το κύκλωµα είναι ακριβώς ίδιο µε εκείνο του Σχήµατος 3.6α, όπου φυσικά στη θέση των αρνητικής ακµής πυροδότησης FFs βάζουµε τα θετικής ακµής πυροδότησης. Αυτό ήταν αναµενόµενο, αφού, όπως είδαµε στο προηγούµενο κεφάλαιο, κατά τη σχεδίαση των σύγχρονων ακολουθιακών κυκλωµάτων δεν χρησιµοποιήσαµε τους ωρολογιακούς 27

28 παλµούς, αλλά µόνο την παρούσα κατάσταση, την επόµενη κατάσταση και τις εισόδους του κάθε FF. Ο απλούστερος τρόπος, για να έχουµε το κύκλωµα που απαριθµεί προς τα κάτω, είναι να πάρουµε ως εξόδους τα Q των FFs. Απάντηση Άσκησης Αυτοαξιολόγησης 5 / Κεφάλαιο 3 Για έναν απαριθµητή mod-5 απαιτούνται 3 FFs (2 2 <5<2 3 ). Ακολουθώντας τα βήµατα σχεδίασης σύγχρονων ακολουθιακών κυκλωµάτων της ενότητας 2.2 καταλήγουµε στο κύκλωµα του Σχήµατος 3.4α και στις αντίστοιχες κυµατοµορφές του Σχήµατος 3.4β. CLκ J0 J J2 Q2 Κ 0 Κ 2 ' ' Κ Q2' (α) CLκ Q2 ( Q Q 2 Q 0 ) (β) Σχήµα 3.4. Σύγχρονος απαριθµητής mod-5: (α) λογικό κύκλωµα, (β) κυµατοµορφές εξόδου Απάντηση Άσκησης Αυτοαξιολόγησης 6 / Κεφάλαιο 3 Θέλουµε να σχεδιάσουµε ένα σύγχρονο προσθετικό απαριθµητή mod-0. Άρα χρειαζόµαστε 4 FFs, τα οποία και συνδέουµε έτσι, ώστε αυτά να µετρούν από το 0 στο 5. Μόλις, όµως, φτάσουν στον αριθµό 9, οπότε και θα έχουν απαριθµήσει 0 ωρολογιακούς παλµούς, µηδενίζουµε τα FFs και η απαρίθµηση ξεκινά από την αρχή (Βλ. Σχήµα 3.5). Η λογική εκκαθάριση των FFs είναι ακριβώς ίδια µε εκείνη του ασύγχρονου δεκαδικού απαριθµητή. Κάνουµε, δηλαδή, ασύγχρονη εκκαθάριση, ενεργοποιώντας τις εισόδους CLEAR, όταν παρουσιαστεί ο αριθµός (0) 0 στις εξόδους των FFs. Αυτό 28

29 γίνεται και πάλι µε τη βοήθεια µιας πύλης NAND, η έξοδος G της οποίας ισούται µε G=(Q Q 3 ). Q3 T0 T T2 Q2 T3 Q3 CLK CLK CLK CLK CLR CLR CLR CLR G Σχήµα 3.5. Σύγχρονος δεκαδικός απαριθµητής Απάντηση Άσκησης Αυτοαξιολόγησης 7 / Κεφάλαιο 3 Θέλουµε να σχεδιάσουµε ένα σύγχρονο προσθετικό απαριθµητή mod-0, δηλαδή Ν=0. Ακολουθούµε τα τέσσερα βήµατα της εναλλακτικής σχεδίασης και έχουµε: Βήµα. Σχεδιάζουµε τον αντίστοιχο σύγχρονο δυαδικό απαριθµητή mod-6 (Σχήµα 3.6α). Παρατηρείστε ότι έχουµε προσθέσει και την πύλη G0, αν και δεν είναι απαραίτητη, αφού στην προκειµένη περίπτωση η έξοδός της ισούται µε Q 0 =Q 0. Σηµειώστε, επίσης, ότι για να είναι το σχήµα ευανάγνωστο, δεν έχουµε συµπεριλάβει σ αυτό τους ωρολογιακούς παλµούς των FFs. Βήµα 2. Γράφουµε τους αριθµούς Ν-=9 και Ν=0 σε δυαδική µορφή (Σχήµα 3.6β), ώστε να µπορέσουµε να ελέγξουµε ποια FFs δεν µεταβαίνουν από µόνα τους στην κατάσταση 0 µετά το δέκατο παλµό. Αποκωδικοποιούµε την κατάσταση 9 µε τη βοήθεια µιας πύλης NAND (Σχήµα 3.6γ). Βήµα 3. Εµποδίζουµε το FF να µεταβεί από το 0 στο µε την έλευση του ου παλµού. Αυτό το πετυχαίνουµε οδηγώντας το σήµα G στην είσοδο της πύλης G0. Με την εµφάνιση του αριθµού 9 η έξοδος G της πύλης NAND γίνεται 0, οπότε µε J =K =0 το FF παραµένει στην κατάσταση που βρισκόταν, δηλαδή στο λογικό 0. Βήµα 4. Προκαλούµε την εκκαθάριση του FF3 οδηγώντας το σήµα G µέσω µιας πύλης OR στην είσοδο Κ 3 αυτού. Έτσι, µε την εµφάνιση του αριθµού 9 και µόνον τότε, έχουµε G = και άρα Κ 3 =, οπότε οποιαδήποτε και αν είναι η τιµή του J 3, το FF3 θα µεταβεί στο λογικό 0. ηλαδή, αν J 3 =0 και αφού Κ 3 =, τότε αυτό θα εκκαθαριστεί (reset), ενώ αν J 3 = και αφού Κ 3 =, τότε αυτό θα 29

30 µεταπέσει (toggle) στη συµπληρωµατική της κατάστασης στην οποία βρισκόταν, άρα στο 0, αφού ήδη ήταν στο λογικό. Το ζητούµενο κύκλωµα του σύγχρονου δεκαδικού απαριθµητή δίνεται στο Σχήµα 3.6δ. G0 G G2 J0 J J2 FF0 FF FF2 FF3 Q2 J3 Q3 K0 K K2 K3 (α) Ν-=9 Ν=0 Q3 Q Q3 G Εκκαθάριση Εµπόδιση (γ) (β) G G0 G G2 J0 J J2 Q2 J3 Q3 FF0 FF FF2 FF3 K0 K K2 K3 (δ) G Σχήµα 3.6. Στάδια σχεδίασης ενός απαριθµητή mod-0: (α) κύκλωµα απαριθµητή mod-6, (β) οι αριθµοί 9 και 0 στο δυαδικό, (γ) αποκωδικοποίηση του αριθµού 9, (δ) κύκλωµα απαριθµητή mod-0 Απάντηση Άσκησης Αυτοαξιολόγησης 8 / Κεφάλαιο 3 Θέλουµε να σχεδιάσουµε ένα κύκλωµα, το οποίο να υποδεκαπλασιάζει τη συχνότητα των ωρολογιακών παλµών εισόδου. Έχουµε µάθει τέσσερις διαφορετικές µεθόδους σχεδίασης ενός τέτοιου κυκλώµατος: ως σύγχρονος δεκαδικός απαριθµητής (βλ. Σχήµα2.22 ή Σχήµα 3.4) ως ασύγχρονος δεκαδικός απαριθµητής (βλ. Σχήµα 3.5) ως ασύγχρονος απαριθµητής 5x2 (βλ. Σχήµα 3.7α) ως ασύγχρονος απαριθµητής 2x5 (βλ. Σχήµα 3.7γ) Ο απαριθµητής mod-5 δείχνεται στο Σχήµα 3.4 και γι αυτό χρησιµοποιούµε εδώ µόνο το σύµβολό του. Από τις κυµατοµορφές του Σχήµατος 3.7 εξάγουµε τα ίδια συµπεράσµατα µε εκείνα του Παραδείγµατος 3 / Κεφάλαιο3. 30

31 Q2 CLK J Q CLK mod-5 Κ (α) Q2 Q ( QQ2 ) (β) Q2 CLK J Q Q CLK Κ mod-5 (δ) (γ) Q2 2 ) 0 ( Q Q Σχήµα 3.7. Κύκλωµα και κυµατοµορφές εξόδου διαιρέτη συχνότητας δια 0: (α,β) 5x2 σχεδίαση, (γ,δ) 2x5 σχεδίαση ΑΠΟ ΟΣΗ ΑΓΓΛΙΚΩΝ ΟΡΩΝ ΣΤΗΝ ΕΛΛΗΝΙΚΗ asynchronous count-down counter count-up glitch LSB, Least Significant Bit modulo / modulus / mod MSB, Most Significant Bit propagation delay ring counter ripple counter serial shift registers synchronous ασύγχρονος απαρίθµηση προς τα κάτω απαριθµητής, µετρητής, κύκλωµα απαρίθµησης παλµών απαρίθµηση προς τα πάνω αιχµή, παλµός πολύ µικρού εύρους λιγότερο σηµαντικό ψηφίο υπόλοιπο, κύκλος απαρίθµησης περισσότερο σηµαντικό ψηφίο καθυστέρηση διάδοσης απαριθµητής δακτυλίου απαριθµητής κυµατώσεως σειριακός καταχωρητής ολίσθησης σύγχρονος 3

Η συχνότητα f των παλµών 0 και 1 στην έξοδο Q n είναι. f Qn = 1/(T cl x 2 n+1 )

Η συχνότητα f των παλµών 0 και 1 στην έξοδο Q n είναι. f Qn = 1/(T cl x 2 n+1 ) ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 9 ΥΑ ΙΚΟΙ ΑΠΑΡΙΘΜΗΤΕΣ Σκοπός: Η µελέτη της λειτουργίας των απαριθµητών. Υλοποίηση ασύγχρονου απαριθµητή 4-bit µε χρήση JK Flip-Flop. Κατανόηση της αλλαγής του υπολοίπου

Διαβάστε περισσότερα

ΕΝΟΤΗΤΑ 4.1. ΣΕΙΡΙΑΚΟΙ ΚΑΙ ΠΑΡΑΛΛΗΛΟΙ ΚΑΤΑΧΩΡΗΤΕΣ... 4

ΕΝΟΤΗΤΑ 4.1. ΣΕΙΡΙΑΚΟΙ ΚΑΙ ΠΑΡΑΛΛΗΛΟΙ ΚΑΤΑΧΩΡΗΤΕΣ... 4 ΚΕΦΑΛΑΙΟ 4 ΚΑΤΑΧΩΡΗΤΕΣ ΕΙΣΑΓΩΓΙΚΕΣ ΠΑΡΑΤΗΡΗΣΕΙΣ... 3 ΕΝΟΤΗΤΑ 4.1. ΣΕΙΡΙΑΚΟΙ ΚΑΙ ΠΑΡΑΛΛΗΛΟΙ ΚΑΤΑΧΩΡΗΤΕΣ... 4 4.1.1. ΚΑΤΑΧΩΡΗΤΕΣ ΣΕΙΡΙΑΚΗΣ-ΕΙΣΟ ΟΥ-ΣΕΙΡΙΑΚΗΣ-ΕΞΟ ΟΥ... 5 4.1.2. ΚΑΤΑΧΩΡΗΤΕΣ ΣΕΙΡΙΑΚΗΣ-ΕΙΣΟ

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9 ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ (COUNTERS)

ΑΣΚΗΣΗ 9 ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ (COUNTERS) ΑΣΚΗΣΗ 9 ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ (COUNTERS) Αντικείμενο της άσκησης: H σχεδίαση και η χρήση ασύγχρονων απαριθμητών γεγονότων. Με τον όρο απαριθμητές ή μετρητές εννοούμε ένα ακολουθιακό κύκλωμα με FF, οι καταστάσεις

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ 1) Οι απαριθμητές ή μετρητές (counters) είναι κυκλώματα που

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΛΑΜΙΑΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ. Τμήμα Ηλεκτρονικής. Πτυχιακή Εργασία

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΛΑΜΙΑΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ. Τμήμα Ηλεκτρονικής. Πτυχιακή Εργασία ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΛΑΜΙΑΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ Τμήμα Ηλεκτρονικής Πτυχιακή Εργασία Υλοποίηση σύγχρονων ακολουθιακών κυκλωμάτων σε VHDL για FPGAs/CPLDs και ανάλυση χρονισμών για εύρεση

Διαβάστε περισσότερα

ΣΥΓΧΡΟΝΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

ΣΥΓΧΡΟΝΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΚΕΦΑΛΑΙΟ 2 ΣΥΓΧΡΟΝΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΕΙΣΑΓΩΓΙΚΕΣ ΠΑΡΑΤΗΡΗΣΕΙΣ... 3 ΕΝΟΤΗΤΑ 2.. ΑΝΑΛΥΣΗ ΣΥΓΧΡΟΝΩΝ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ... 6 2... ΣΥΓΧΡΟΝΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΧΩΡΙΣ ΕΞΩΤΕΡΙΚΕΣ ΕΙΣΟ ΟΥΣ ΚΑΙ ΕΞΟ

Διαβάστε περισσότερα

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Θεµατική Ενότητα ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Ακαδηµαϊκό Έτος 2006 2007 Γραπτή Εργασία #2 Ηµεροµηνία Παράδοσης 28-0 - 2007 ΠΛΗ 2: Ψηφιακά Συστήµατα ΠΡΟΤΕΙΝΟΜΕΝΕΣ ΛΥΣΕΙΣ Άσκηση : [5 µονάδες] Έχετε στη

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ ΠΕΡΙΕΧΟΜΕΝΑ.3 ΑΣΥΓΧΡΟΝΟΣ ΔYΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.5 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.7 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ ΜΕ LATCH.

ΠΕΡΙΕΧΟΜΕΝΑ ΠΕΡΙΕΧΟΜΕΝΑ.3 ΑΣΥΓΧΡΟΝΟΣ ΔYΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.5 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.7 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ ΜΕ LATCH. ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΗΛΕΚΤΡΟΝΙΚΗΣ & ΥΠΟΛΟΓΙΣΤΩΝ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ & ΣΥΣΤΗΜΑΤΑ ΣΗΜΕΙΩΣΕΙΣ ΑΠΑΡΙΘΜΗΤΕΣ Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ

Διαβάστε περισσότερα

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΗΛΕΚΤΡΟΝΙΚΗΣ & ΥΠΟΛΟΓΙΣΤΩΝ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ & ΣΥΣΤΗΜΑΤΑ ΣΗΜΕΙΩΣΕΙΣ ΑΠΑΡΙΘΜΗΤΕΣ Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ

Διαβάστε περισσότερα

Α. ΣΚΟΔΡΑΣ ΠΛΗ21 ΟΣΣ#2. 14 Δεκ 2008 ΠΑΤΡΑ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ 2008 Α. ΣΚΟΔΡΑΣ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ

Α. ΣΚΟΔΡΑΣ ΠΛΗ21 ΟΣΣ#2. 14 Δεκ 2008 ΠΑΤΡΑ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ 2008 Α. ΣΚΟΔΡΑΣ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ ΠΛΗ21 ΟΣΣ#2 14 Δεκ 2008 ΠΑΤΡΑ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ 7-segment display 7-segment display 7-segment display Αποκωδικοποιητής των 7 στοιχείων (τμημάτων) (7-segment decoder) Κύκλωμα αποκωδικοποίησης του στοιχείου

Διαβάστε περισσότερα

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f.

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f. 6. Καταχωρητές Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f. Καταχωρητής 4 ψηφίων Καταχωρητής με παράλληλη φόρτωση Η εισαγωγή

Διαβάστε περισσότερα

ΣΥΓΧΡΟΝΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

ΣΥΓΧΡΟΝΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΣΥΓΧΡΟΝΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ Σύγχρονο ακολουθιακό κύκλωμα είναι εκείνο του οποίου όλα τα FFs χρονίζονταιμετοίδιο ρολόι (clock). Ανάλυση Σύγχρονων Ακολουθιακών Κυκλωμάτων Σχεδίαση Σύγχρονων Ακολουθιακών

Διαβάστε περισσότερα

Ψηφιακή Λογική Σχεδίαση

Ψηφιακή Λογική Σχεδίαση Ψηφιακή Λογική Σχεδίαση Γ. Θεοδωρίδης Ψηφιακή Λογική Σχεδίαση Γ. Θεοδωρίδης 1 Κεφάλαιο 7 Καταχωρητές Ψηφιακή Λογική Σχεδίαση Γ. Θεοδωρίδης 2 Καταχωρητές ΣΕΙΡΙΑΚΟΙ ΚΑΙ ΠΑΡΑΛΛΗΛΟΙ ΚΑΤΑΧΩΡΗΤΕΣ ς-εισόδου-σειριακής-εξόδου

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Καταχωρητές και Μετρητές 2. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Καταχωρητές και Μετρητές 2. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Καταχωρητές και Μετρητές Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Εισαγωγή Καταχωρητής: είναι μία ομάδα από δυαδικά κύτταρα αποθήκευσης

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 Μάθημα : Ψηφιακά Ηλεκτρονικά Τεχνολογία ΙΙ, Θεωρητικής Κατεύθυνσης Ημερομηνία

Διαβάστε περισσότερα

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή 6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή Εισαγωγή Η σχεδίαση ενός ψηφιακού συστήµατος ως ακολουθιακή µηχανή είναι εξαιρετικά δύσκολη Τµηµατοποίηση σε υποσυστήµατα µε δοµικές µονάδες:

Διαβάστε περισσότερα

Ασύγχρονοι Απαριθμητές. Διάλεξη 7

Ασύγχρονοι Απαριθμητές. Διάλεξη 7 Ασύγχρονοι Απαριθμητές Διάλεξη 7 Δομή της διάλεξης Εισαγωγή στους Απαριθμητές Ασύγχρονος Δυαδικός Απαριθμητής Ασύγχρονος Δεκαδικός Απαριθμητής Ασύγχρονος Δεκαδικός Απαριθμητής με Latch Ασκήσεις 2 Ασύγχρονοι

Διαβάστε περισσότερα

5.1 Θεωρητική εισαγωγή

5.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 5 ΚΩ ΙΚΟΠΟΙΗΣΗ BCD Σκοπός: Η κατανόηση της µετατροπής ενός τύπου δυαδικής πληροφορίας σε άλλον (κωδικοποίηση/αποκωδικοποίηση) µε τη µελέτη της κωδικοποίησης BCD

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστηµάτων

Σχεδίαση Ψηφιακών Συστηµάτων Σχεδίαση Ψηφιακών Συστηµάτων Πανεπιστήμιο Δυτικής Αττικής Τμήμα Μηχανικών Πληροφορικής και Υπολογιστών Γιάννης Βογιατζής Πάνος Καρκαζής 27-28 Παρουσίαση 4 η : Ψηφιακή Σχεδίαση Μέρος 3 Ανάλυση και Σχεδίαση

Διαβάστε περισσότερα

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 1) Να μετατρέψετε τον δεκαδικό αριθμό (60,25) 10, στον αντίστοιχο δυαδικό 11111,11 111001,01 111100,01 100111,1 111100,01 2)

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΙΚΕΣ ΠΑΡΑΤΗΡΗΣΕΙΣ...3 ENOTHTA 5.1. ΙΑΓΡΑΜΜΑΤΑ ΑΛΓΟΡΙΘΜΙΚΩΝ ΜΗΧΑΝΩΝ ΚΑΤΑΣΤΑΣΕΩΝ 5

ΕΙΣΑΓΩΓΙΚΕΣ ΠΑΡΑΤΗΡΗΣΕΙΣ...3 ENOTHTA 5.1. ΙΑΓΡΑΜΜΑΤΑ ΑΛΓΟΡΙΘΜΙΚΩΝ ΜΗΧΑΝΩΝ ΚΑΤΑΣΤΑΣΕΩΝ 5 ΚΕΦΑΛΑΙΟ 5 ΑΛΓΟΡΙΘΜΙΚΕΣ ΜΗΧΑΝΕΣ ΚΑΤΑΣΤΑΣΕΩΝ ΕΙΣΑΓΩΓΙΚΕΣ ΠΑΡΑΤΗΡΗΣΕΙΣ...3 ENOTHTA 5.. ΙΑΓΡΑΜΜΑΤΑ ΑΛΓΟΡΙΘΜΙΚΩΝ ΜΗΧΑΝΩΝ ΚΑΤΑΣΤΑΣΕΩΝ 5 ENOTHTA 5.2. ΥΛΟΠΟΙΗΣΗ ΤΗΣ ΜΟΝΑ ΑΣ ΕΛΕΓΧΟΥ. 5.2.. ΥΛΟΠΟΙΗΣΗ ΤΗΣ ΜΟΝΑ ΑΣ

Διαβάστε περισσότερα

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops K24 Ψηφιακά Ηλεκτρονικά 9: TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ ΤΕΧΝΟΛΟΓΙΚΟ Περιεχόμενα 1 2 3 Γενικά Ύστερα από τη μελέτη συνδυαστικών ψηφιακών κυκλωμάτων, θα μελετήσουμε

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 16: Μετρητές (Counters)

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 16: Μετρητές (Counters) ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 16: Μετρητές (Counters) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη q Μετρητής Ριπής q Σύγχρονος

Διαβάστε περισσότερα

7.1 Θεωρητική εισαγωγή

7.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 7 ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΜΑΝ ΑΛΩΤΕΣ FLIP FLOP Σκοπός: Η κατανόηση της λειτουργίας των βασικών ακολουθιακών κυκλωµάτων. Θα µελετηθούν συγκεκριµένα: ο µανδαλωτής (latch)

Διαβάστε περισσότερα

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017 Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

ΚΑΤΑΧΩΡΗΤΕΣ ΣΕΙΡΙΑΚΟΙ ΚΑΙ ΠΑΡΑΛΛΗΛΟΙ ΚΑΤΑΧΩΡΗΤΕΣ. Τύποι καταχωρητών: (α) σειριακής-εισόδου-σειριακής-εξόδου, (β) σειριακήςεισόδου-παράλληλης-εξόδου,

ΚΑΤΑΧΩΡΗΤΕΣ ΣΕΙΡΙΑΚΟΙ ΚΑΙ ΠΑΡΑΛΛΗΛΟΙ ΚΑΤΑΧΩΡΗΤΕΣ. Τύποι καταχωρητών: (α) σειριακής-εισόδου-σειριακής-εξόδου, (β) σειριακήςεισόδου-παράλληλης-εξόδου, ΚΑΤΑΧΩΡΗΤΕΣ ΣΕΙΡΙΑΚΟΙ ΚΑΙ ΠΑΡΑΛΛΗΛΟΙ ΚΑΤΑΧΩΡΗΤΕΣ Καταχωρητές σειριακής-εισόδου-σειριακής-εξόδου Καταχωρητές σειριακής-εισόδου-παράλληλης-εξόδου Καταχωρητές παράλληλης-εισόδου-παράλληλης-εξόδου Καταχωρητές

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Μετρητής Ριπής (Ripple Counter) Μετρητές (Counters) Μετρητής Ριπής (συν.

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Μετρητής Ριπής (Ripple Counter) Μετρητές (Counters) Μετρητής Ριπής (συν. ΗΜΥ-2: Λογικός Σχεδιασµός Εαρινό Κεφάλαιο 7 ii: Μετρητές Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Μετρητής Ριπής Περίληψη Σύγχρονος υαδικός Μετρητής Σχεδιασµός µε Flip-Flops

Διαβάστε περισσότερα

8.1 Θεωρητική εισαγωγή

8.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 8 ΣΤΟΙΧΕΙΑ ΜΝΗΜΗΣ ΚΑΤΑΧΩΡΗΤΕΣ Σκοπός: Η µελέτη της λειτουργίας των καταχωρητών. Θα υλοποιηθεί ένας απλός στατικός καταχωρητής 4-bit µε Flip-Flop τύπου D και θα µελετηθεί

Διαβάστε περισσότερα

Flip-Flop: D Control Systems Laboratory

Flip-Flop: D Control Systems Laboratory Flip-Flop: Control Systems Laboratory Είναι ένας τύπος συγχρονιζόμενου flip- flop, δηλαδή ενός flip- flop όπου οι έξοδοί του δεν αλλάζουν μόνο με αλλαγή των εισόδων R, S αλλά χρειάζεται ένας ωρολογιακός

Διαβάστε περισσότερα

Σύγχρονοι Απαριθμητές. Διάλεξη 8

Σύγχρονοι Απαριθμητές. Διάλεξη 8 Σύγχρονοι Απαριθμητές Διάλεξη 8 Δομή της διάλεξης Εισαγωγή Σύγχρονος Δυαδικός Απαριθμητής Σύγχρονος Δεκαδικός Απαριθμητής Προγραμματιζόμενοι Απαριθμητές Ασκήσεις 2 Σύγχρονοι Απαριθμητές Εισαγωγή 3 Εισαγωγή

Διαβάστε περισσότερα

f(x, y, z) = y z + xz

f(x, y, z) = y z + xz Λύσεις θεμάτων Εξεταστικής Περιόδου Ιανουαρίου Φεβρουαρίου 27 ΘΕΜΑ Ο (2, μονάδες) Δίνεται η λογική συνάρτηση : f (, y, z ) = ( + y )(y + z ) + y z. Να συμπληρωθεί ο πίνακας αλήθειας της συνάρτησης. (,

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Ακολουθιακή Λογική. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Ακολουθιακή Λογική. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Ακολουθιακή Λογική Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Εισαγωγή Είσοδοι Συνδυαστικό Κύκλωμα Έξοδοι Στοιχεία Μνήμης Κατάσταση

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ 1) Οι σύγχρονοι μετρητές υλοποιούνται με Flip-Flop τύπου T

Διαβάστε περισσότερα

Ανάλυση Σύγχρονων Ακολουθιακών Κυκλωμάτων

Ανάλυση Σύγχρονων Ακολουθιακών Κυκλωμάτων Ανάλυση Σύγχρονων Ακολουθιακών Κυκλωμάτων Με τον όρο ανάλυση ενός κυκλώματος εννοούμε τον προσδιορισμό της συμπεριφοράς του κάτω από συγκεκριμένες συνθήκες λειτουργίας. Έτσι, για ένα συνδυαστικό κύκλωμα,

Διαβάστε περισσότερα

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Μετρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Μετρητής Ριπής Σύγχρονος υαδικός

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Μετρητές 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Μετρητές 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Μετρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Μετρητής Ριπής Σύγχρονος υαδικός Μετρητής

Διαβάστε περισσότερα

ΑΠΟ ΤΑ ΘΕΜΑΤΑ ΤΩΝ ΠΑΝΕΛΛΗΝΙΩΝ ΕΞΕΤΑΣΕΩΝ ΚΕΦΑΛΑΙΟ 7-8 (ΚΑΤΑΧΩΡΗΤΕΣ & ΑΠΑΡΙΘΜΗΤΕΣ)

ΑΠΟ ΤΑ ΘΕΜΑΤΑ ΤΩΝ ΠΑΝΕΛΛΗΝΙΩΝ ΕΞΕΤΑΣΕΩΝ ΚΕΦΑΛΑΙΟ 7-8 (ΚΑΤΑΧΩΡΗΤΕΣ & ΑΠΑΡΙΘΜΗΤΕΣ) ΑΠΟ ΤΑ ΘΕΜΑΤΑ ΤΩΝ ΠΑΝΕΛΛΗΝΙΩΝ ΕΞΕΤΑΣΕΩΝ 2009 205 ΚΕΦΑΛΑΙΟ 7-8 (ΚΑΤΑΧΩΡΗΤΕΣ & ΑΠΑΡΙΘΜΗΤΕΣ) ΑΠΟ ΘΕΜΑ Α Ερωτήσεις. Γιατί στους ασύγχρονους απαριθμητές τα flip-flops δεν αλλάζουν ταυτόχρονα κατάσταση; 2. Να

Διαβάστε περισσότερα

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης Θέμα 1ο (3 μονάδες)

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης Θέμα 1ο (3 μονάδες) Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2016 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το ανωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 10 ΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ

ΑΣΚΗΣΗ 10 ΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ ΑΣΚΗΣΗ ΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ Στόχος της άσκησης: Η διαδικασία σχεδίασης σύγχρονων ακολουθιακών κυκλωμάτων. Χαρακτηριστικό παράδειγμα σύγχρονων ακολουθιακών κυκλωμάτων είναι οι σύγχρονοι μετρητές. Τις αδυναμίες

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007 Μάθημα : Ψηφιακά Ηλεκτρονικά Τεχνολογία ΙΙ Τεχνικών Σχολών, Θεωρητικής Κατεύθυνσης

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9. Tα Flip-Flop

ΑΣΚΗΣΗ 9. Tα Flip-Flop ΑΣΚΗΣΗ 9 Tα Flip-Flop 9.1. ΣΚΟΠΟΣ Η κατανόηση της λειτουργίας των στοιχείων μνήμης των ψηφιακών κυκλωμάτων. Τα δομικά στοιχεία μνήμης είναι οι μανδαλωτές (latches) και τα Flip-Flop. 9.2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ

Διαβάστε περισσότερα

ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΚΕΦΑΛΑΙΟ ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΕΙΣΑΓΩΓΙΚΕΣ ΠΑΡΑΤΗΡΗΣΕΙΣ... 3 ΕΝΟΤΗΤΑ.. FLIP-FLOPS... 6... ΤΟ ΒΑΣΙΚΟ FLIP-FLOP... 6..2. ΧΡΟΝΙΖΟΜΕΝΑ FF ΤΥΠΟΥ SR... 0..3. FF ΤΥΠΟΥ D... 3..4. JK FLIP-FLOP... 4..5.

Διαβάστε περισσότερα

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΗΛΕΚΤΡΟΝΙΚΗΣ & ΥΠΟΛΟΓΙΣΤΩΝ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ & ΣΥΣΤΗΜΑΤΑ ΣΗΜΕΙΩΣΕΙΣ ΠΡΟΓΡΑΜΜΑΤΙΖΟΜΕΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ Κ. ΕΥΣΤΑΘΙΟΥ,

Διαβάστε περισσότερα

14. ΑΠΑΡΙΘΜΗΤΕΣ. e-book ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ ΑΣΗΜΑΚΗΣ-ΒΟΥΡΒΟΥΛΑΚΗΣ- ΚΑΚΑΡΟΥΝΤΑΣ-ΛΕΛΙΓΚΟΥ 1

14. ΑΠΑΡΙΘΜΗΤΕΣ. e-book ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ ΑΣΗΜΑΚΗΣ-ΒΟΥΡΒΟΥΛΑΚΗΣ- ΚΑΚΑΡΟΥΝΤΑΣ-ΛΕΛΙΓΚΟΥ 1 14. ΑΠΑΡΙΘΜΗΤΕΣ e-book ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ ΑΣΗΜΑΚΗΣ-ΒΟΥΡΒΟΥΛΑΚΗΣ- ΚΑΚΑΡΟΥΝΤΑΣ-ΛΕΛΙΓΚΟΥ 1 ΑΠΑΡΙΘΜΗΤΕΣ ΤΡΟΠΟΣ ΥΛΟΠΟΙΗΣΗΣ KAI ΡΟΗ ΑΠΑΡΙΘΜΗΣΗΣ ΣΧΕ ΙΑΣΗ ΣΥΓΧΡΟΝΟΥ ΥΑ ΙΚΟΥ ΑΠΑΡΙΘΜΗΤΗ ΑΣΗΜΑΚΗΣ-ΒΟΥΡΒΟΥΛΑΚΗΣ-ΚΑΚΑΡΟΥΝΤΑΣ-ΛΕΛΙΓΚΟΥ

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 6 ΑΣΥΓΧΡΟΝΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΕΙΣΑΓΩΓΙΚΕΣ ΠΑΡΑΤΗΡΗΣΕΙΣ... 3

ΚΕΦΑΛΑΙΟ 6 ΑΣΥΓΧΡΟΝΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΕΙΣΑΓΩΓΙΚΕΣ ΠΑΡΑΤΗΡΗΣΕΙΣ... 3 ΚΕΦΑΛΑΙΟ 6 ΑΣΥΓΧΡΟΝΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΕΙΣΑΓΩΓΙΚΕΣ ΠΑΡΑΤΗΡΗΣΕΙΣ... 3 ΕΝΟΤΗΤΑ 6. ΑΝΑΛΥΣΗ ΚΑΙ ΣΧΕ ΙΑΣΗ ΑΣΥΓΧΡΟΝΩΝ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ... 5 6... ΑΝΑΛΥΣΗ... 6 6..2. ΣΧΕ ΙΑΣΗ... 9 ΕΝΟΤΗΤΑ 6.2 ΘΕΜΑΤΑ

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ ΠΕΡΙΕΧΟΜΕΝΑ Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ 1.1 Εισαγωγή...11 1.2 Τα κύρια αριθμητικά Συστήματα...12 1.3 Μετατροπή αριθμών μεταξύ των αριθμητικών συστημάτων...13 1.3.1 Μετατροπή ακέραιων

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 9. Μετρητές

Ψηφιακά Συστήματα. 9. Μετρητές Ψηφιακά Συστήματα 9. Μετρητές Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd Thomas L., Ψηφιακά ηλεκτρονικά,

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ Τμήμα Ηλεκτρολόγων Μηχανικών Εργαστήριο Ενσύρματης Τηλεπικοινωνίας ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ Μάθημα 6: Απαριθµητές (µετρητές) Διδάσκων: Καθηγητής Ν. Φακωτάκης Ακολουθιακά κυκλώµατα Σύγχρονα (οδηγούµενα από

Διαβάστε περισσότερα

ΚΑΤΑΧΩΡΗΤΕΣ ΣΕΙΡΙΑΚΟΙ ΚΑΙ ΠΑΡΑΛΛΗΛΟΙ ΚΑΤΑΧΩΡΗΤΕΣ. Καταχωρητές παράλληλης-εισόδου-παράλληληςεξόδου. Καταχωρητές παράλληλης-εισόδου-σειριακής-εξόδου

ΚΑΤΑΧΩΡΗΤΕΣ ΣΕΙΡΙΑΚΟΙ ΚΑΙ ΠΑΡΑΛΛΗΛΟΙ ΚΑΤΑΧΩΡΗΤΕΣ. Καταχωρητές παράλληλης-εισόδου-παράλληληςεξόδου. Καταχωρητές παράλληλης-εισόδου-σειριακής-εξόδου ΚΑΤΑΧΩΡΗΤΕΣ ΣΕΙΡΙΑΚΟΙ ΚΑΙ ΠΑΡΑΛΛΗΛΟΙ ΚΑΤΑΧΩΡΗΤΕΣ Καταχωρητές σειριακής-εισόδου-σειριακής-εξόδου Καταχωρητές σειριακής-εισόδου-παράλληλης-εξόδου Καταχωρητές παράλληλης-εισόδου-παράλληληςεξόδου Καταχωρητές

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη q Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 10 ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ

ΑΣΚΗΣΗ 10 ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ ΑΣΚΗΣΗ ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ.. ΣΚΟΠΟΣ Η σχεδίαση ακολουθιακών κυκλωμάτων..2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ.2.. ΑΛΓΟΡΙΘΜΟΣ ΣΧΕΔΙΑΣΗΣ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Τα ψηφιακά κυκλώματα με μνήμη ονομάζονται ακολουθιακά.

Διαβάστε περισσότερα

Ασύγχρονοι Απαριθμητές. Διάλεξη 7

Ασύγχρονοι Απαριθμητές. Διάλεξη 7 Ασύγχρονοι Απαριθμητές Διάλεξη 7 Δομή της διάλεξης Εισαγωγή στους Απαριθμητές Ασύγχρονος Δυαδικός Απαριθμητής Ασύγχρονος Δεκαδικός Απαριθμητής Ασύγχρονος Δεκαδικός Απαριθμητής με Latch Ασκήσεις 2 Ασύγχρονοι

Διαβάστε περισσότερα

4.1 Θεωρητική εισαγωγή

4.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 4 ΥΑ ΙΚΟΣ ΑΘΡΟΙΣΤΗΣ-ΑΦΑΙΡΕΤΗΣ Σκοπός: Να µελετηθούν αριθµητικά κυκλώµατα δυαδικής πρόσθεσης και αφαίρεσης. Να σχεδιαστούν τα κυκλώµατα από τους πίνακες αληθείας

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007 Μάθημα : Ψηφιακά Ηλεκτρονικά Τεχνολογία ΙΙ Τεχνικών Σχολών, Θεωρητικής Κατεύθυνσης

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 6 ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ. 6.1 Εισαγωγή

ΚΕΦΑΛΑΙΟ 6 ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ. 6.1 Εισαγωγή ΚΕΦΑΛΑΙΟ 6 ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 6. Εισαγωγή Τα ψηφιακά κυκλώματα διακρίνονται σε συνδυαστικά και ακολουθιακά. Τα κυκλώματα που εξετάσαμε στα προηγούμενα κεφάλαια ήταν συνδυαστικά. Οι τιμές των

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016 ΤΕΧΝΟΛΟΓΙΑ (ΙΙ) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΠΡΑΚΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Τεχνολογία και

Διαβάστε περισσότερα

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία ιάλεξη 11

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία ιάλεξη 11 ΗΜΥ Εισαγωγή στην Τεχνολογία ιάλεξη 11 13 Οκτωβρίου, 6 Γεώργιος Έλληνας Επίκουρος Καθηγητής ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΑ ΘΕΜΑΤΑ ΜΑΣ ΣΗΜΕΡΑ

Διαβάστε περισσότερα

Αρχιτεκτονικές Υπολογιστών

Αρχιτεκτονικές Υπολογιστών ΑΡΧΙΤΕΚΤΟΝΙΚΕΣ ΥΠΟΛΟΓΙΣΤΩΝ Μάθηµα: Αρχιτεκτονικές Υπολογιστών FLIP-FLOPS ΣΥΧΡΟΝΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΙΑ ΙΚΑΣΙΑ ΑΝΑΛΥΣΗΣ ΚΑΙ ΣΧΕ ΙΑΣΗ ΣΑΚ ιδάσκων: Αναπλ. Καθ. Κ. Λαµπρινουδάκης clam@uipi.gr Αρχιτεκτονικές

Διαβάστε περισσότερα

Εισαγωγή στην πληροφορική

Εισαγωγή στην πληροφορική Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Εισαγωγή στην πληροφορική Ενότητα 4: Ψηφιακή Λογική, Άλγεβρα Boole, Πίνακες Αλήθειας (Μέρος B) Αγγελίδης Παντελής Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών

Διαβάστε περισσότερα

4 η Θεµατική Ενότητα : Συνδυαστική Λογική. Επιµέλεια διαφανειών: Χρ. Καβουσιανός

4 η Θεµατική Ενότητα : Συνδυαστική Λογική. Επιµέλεια διαφανειών: Χρ. Καβουσιανός 4 η Θεµατική Ενότητα : Συνδυαστική Λογική Επιµέλεια διαφανειών: Χρ. Καβουσιανός Λογικά Κυκλώµατα Ø Τα λογικά κυκλώµατα διακρίνονται σε συνδυαστικά (combinational) και ακολουθιακά (sequential). Ø Τα συνδυαστικά

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 ΤΕΧΝΟΛΟΓΙΑ (ΙΙ) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Ψηφιακά Ηλεκτρονικά

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 7 FLIP - FLOP

ΑΣΚΗΣΗ 7 FLIP - FLOP ΑΣΚΗΣΗ 7 FLIP - FLOP Αντικείμενο της άσκησης: Η κατανόηση της δομής και λειτουργίας των Flip Flop. Flip - Flop Τα Flip Flop είναι δισταθή λογικά κυκλώματα με χαρακτηριστικά μνήμης και είναι τα πλέον βασικά

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ Κ. Δεμέστιχας Εργαστήριο Πληροφορικής Γεωπονικό Πανεπιστήμιο Αθηνών Επικοινωνία μέσω e-mail: cdemest@aua.gr, cdemest@cn.ntua.gr 1 5. ΑΛΓΕΒΡΑ BOOLE ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕΡΟΣ Β 2 Επαναληπτική

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 3

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 3 ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 3 ΑΠΛΟΠΟΙΗΣΗ και ΥΛΟΠΟΙΗΣΗ ΛΟΓΙΚΩΝ ΣΥΝΑΡΤΗΣΕΩΝ Σκοπός: Η κατανόηση της σχέσης µιας λογικής συνάρτησης µε το αντίστοιχο κύκλωµα. Η απλοποίηση λογικών συναρτήσεων

Διαβάστε περισσότερα

Άσκηση 3 Ένα νέο είδος flip flop έχει τον ακόλουθο πίνακα αληθείας : I 1 I 0 Q (t+1) Q (t) 1 0 ~Q (t) Κατασκευάστε τον πίνακα

Άσκηση 3 Ένα νέο είδος flip flop έχει τον ακόλουθο πίνακα αληθείας : I 1 I 0 Q (t+1) Q (t) 1 0 ~Q (t) Κατασκευάστε τον πίνακα Άσκηση Δίδονται οι ακόλουθες κυματομορφές ρολογιού και εισόδου D που είναι κοινή σε ένα D latch και ένα D flip flop. Το latch είναι θετικά ενεργό, ενώ το ff θετικά ακμοπυροδοτούμενο. Σχεδιάστε τις κυματομορφές

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές

Διαβάστε περισσότερα

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες Πρώτο Κεφάλαιο Εισαγωγή στα Ψηφιακά Συστήματα 1.1 Αναλογικά και Ψηφιακά Σήματα και Συστήματα... 1 1.2 Βασικά Ψηφιακά Κυκλώματα... 3 1.3 Ολοκληρωμένα κυκλώματα... 4 1.4 Τυπωμένα κυκλώματα... 7 1.5 Εργαλεία

Διαβάστε περισσότερα

σύνθεση και απλοποίησή τους θεωρήµατα της άλγεβρας Boole, αξιώµατα του Huntington, κλπ.

σύνθεση και απλοποίησή τους θεωρήµατα της άλγεβρας Boole, αξιώµατα του Huntington, κλπ. Εισαγωγή Εργαστήριο 2 ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ Σκοπός του εργαστηρίου είναι να κατανοήσουµε τον τρόπο µε τον οποίο εκφράζεται η ψηφιακή λογική υλοποιώντας ασκήσεις απλά και σύνθετα λογικά κυκλώµατα (χρήση του

Διαβάστε περισσότερα

Πρόγραμμα Επικαιροποίησης Γνώσεων Αποφοίτων ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ

Πρόγραμμα Επικαιροποίησης Γνώσεων Αποφοίτων ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ Πρόγραμμα Επικαιροποίησης Γνώσεων Αποφοίτων ΕΝΟΤΗΤΑ Μ1 ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ Εκπαιδευτής: Γ. Π. ΠΑΤΣΗΣ, Επικ. Καθηγητής, Τμήμα Ηλεκτρονικών Μηχανικών, ΤΕΙ Αθήνας ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ 1. Ποια είναι η βάση

Διαβάστε περισσότερα

Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα

Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα επαναληπτικής εξέτασης 2016 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 8. Καταχωρητές

Ψηφιακά Συστήματα. 8. Καταχωρητές Ψηφιακά Συστήματα 8. Καταχωρητές Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd Thomas L., Ψηφιακά

Διαβάστε περισσότερα

Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL

Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL 3.1 Εισαγωγή στα FLIP FLOP 3.1.1 Θεωρητικό Υπόβαθρο Τα σύγχρονα ακολουθιακά κυκλώματα με τα οποία θα ασχοληθούμε στο εργαστήριο των Ψηφιακών συστημάτων

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2014

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2014 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2014 ΤΕΧΝΟΛΟΓΙΑ (ΙΙ) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Ψηφιακά Ηλεκτρονικά

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ 3/02/2019 ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ ΘΕΜΑ 1 ο 1. Να γράψετε στο τετράδιό σας το γράμμα καθεμιάς από τις παρακάτω προτάσεις και δίπλα τη λέξη ΣΩΣΤΟ, αν είναι σωστή ή τη λέξη ΛΑΘΟΣ, αν είναι

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Κεφάλαιο 7 i: Καταχωρητές Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές Ολίσθησης Σειριακή Φόρτωση Σειριακή Ολίσθηση Καταχωρητές Ολίσθησης Παράλληλης Φόρτωσης

Διαβάστε περισσότερα

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ. ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΕΠΙΣΤΗΜΗ ΤΩΝ ΥΠΟΛΟΓΙΣΤΩΝ Μέρος Β (Οργάνωση Υπολογιστών)

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ. ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΕΠΙΣΤΗΜΗ ΤΩΝ ΥΠΟΛΟΓΙΣΤΩΝ Μέρος Β (Οργάνωση Υπολογιστών) ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ και ΥΠΟΛΟΓΙΣΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΕΠΙΣΤΗΜΗ ΤΩΝ ΥΠΟΛΟΓΙΣΤΩΝ Μέρος Β (Οργάνωση Υπολογιστών)

Διαβάστε περισσότερα

Μετρητής Ριπής ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ. Αναφορά 9 ης. εργαστηριακής άσκησης: ΑΦΡΟΔΙΤΗ ΤΟΥΦΑ Α.Μ.:2024201100032

Μετρητής Ριπής ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ. Αναφορά 9 ης. εργαστηριακής άσκησης: ΑΦΡΟΔΙΤΗ ΤΟΥΦΑ Α.Μ.:2024201100032 ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΕΛΟΠΟΝΝΗΣΟΥ ΣΧΟΛΗ ΟΙΚΟΝΟΜΙΑΣ, ΔΙΟΙΚΗΣΗΣ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ Αναφορά 9 ης εργαστηριακής άσκησης: Μετρητής Ριπής ΑΦΡΟΔΙΤΗ

Διαβάστε περισσότερα

Εργαστήριο Ψηφιακής Σχεδίασης

Εργαστήριο Ψηφιακής Σχεδίασης ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ Εργαστήριο Ψηφιακής Σχεδίασης 8 Εργαστηριακές Ασκήσεις Χρ. Καβουσιανός Επίκουρος Καθηγητής 2014 Εργαστηριακές Ασκήσεις Ψηφιακής Σχεδίασης 2 Εργαστηριακές Ασκήσεις

Διαβάστε περισσότερα

Μάθημα 5: Χαρακτηριστικά της Κ.Μ.Ε.

Μάθημα 5: Χαρακτηριστικά της Κ.Μ.Ε. Μάθημα 5: Χαρακτηριστικά της Κ.Μ.Ε. 5.1 Το ρολόι Κάθε μία από αυτές τις λειτουργίες της Κ.Μ.Ε. διαρκεί ένα μικρό χρονικό διάστημα. Για το συγχρονισμό των λειτουργιών αυτών, είναι απαραίτητο κάποιο ρολόι.

Διαβάστε περισσότερα

Σηµειώσεις στις σειρές

Σηµειώσεις στις σειρές . ΟΡΙΣΜΟΙ - ΓΕΝΙΚΕΣ ΕΝΝΟΙΕΣ Σηµειώσεις στις σειρές Στην Ενότητα αυτή παρουσιάζουµε τις βασικές-απαραίτητες έννοιες για την µελέτη των σειρών πραγµατικών αριθµών και των εφαρµογών τους. Έτσι, δίνονται συστηµατικά

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016 ΤΕΧΝΟΛΟΓΙΑ (ΙΙ) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Ψηφιακά Ηλεκτρονικά

Διαβάστε περισσότερα

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα Κεφάλαιο 6 Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα 6.1 Εισαγωγή Η εκτέλεση διαδοχικών λειτουργιών απαιτεί τη δημιουργία κυκλωμάτων που μπορούν να αποθηκεύουν πληροφορίες, στα ενδιάμεσα στάδια των

Διαβάστε περισσότερα

7 η Θεµατική Ενότητα : Καταχωρητές, Μετρητές και Μονάδες Μνήµης

7 η Θεµατική Ενότητα : Καταχωρητές, Μετρητές και Μονάδες Μνήµης 7 η Θεµατική Ενότητα : Καταχωρητές, Μετρητές και Εισαγωγή Καταχωρητής: είναι µία οµάδα από δυαδικά κύτταρα αποθήκευσης και από λογικές πύλες που διεκπεραιώνουν την µεταφορά πληροφοριών. Οι µετρητές είναι

Διαβάστε περισσότερα

K24 Ψηφιακά Ηλεκτρονικά 10: Ακολουθιακά Κυκλώματα

K24 Ψηφιακά Ηλεκτρονικά 10: Ακολουθιακά Κυκλώματα K24 Ψηφιακά Ηλεκτρονικά : TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ ΤΕΧΝΟΛΟΓΙΚΟ Περιεχόμενα 2 3 Γενικά Όπως είδαμε και σε προηγούμενα μαθήματα, ένα ψηφιακό κύκλωμα ονομάζεται

Διαβάστε περισσότερα

Στοιχεία Μνήμης, JKκαιD (Flip-Flops) Μετρητής Ριπής (Ripple Counter)

Στοιχεία Μνήμης, JKκαιD (Flip-Flops) Μετρητής Ριπής (Ripple Counter) ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων Στοιχεία Μνήμης, JKκαιD (Flip-Flops) Μετρητής Ριπής (Ripple Counter) ιδάσκων: ρ. Γιώργος Ζάγγουλος Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Διαβάστε περισσότερα

e-book ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΕΙΣ

e-book ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΕΙΣ e-book ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΕΙΣ 1. Να μετατρέψετε τον δεκαδικό 16.25 σε δυαδικό. 2. Να μετατρέψετε τον δεκαδικό 18.75 σε δυαδικό και τον δεκαδικό 268 σε δεκαεξαδικό. 3. Να βρεθεί η βάση εκείνου του αριθμητικού

Διαβάστε περισσότερα

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2009 Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 Μάθημα : Τεχνολογία Αναλογικών και Ψηφιακών Ηλεκτρονικών Τεχνολογία ΙΙ, Πρακτικής

Διαβάστε περισσότερα

Ψηφιακή Σχεδίαση Ενότητα 10:

Ψηφιακή Σχεδίαση Ενότητα 10: Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 10: Καταχωρητές & Μετρητές Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http://arch.icte.uowm.gr/mdasyg

Διαβάστε περισσότερα

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος 1 Συνδυαστικό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται ΜΟΝΟ από τις εισόδους του Εάν γνωρίζουμε τις τιμές των εισόδων του κυκλώματος, τότε μπορούμε να προβλέψουμε ακριβώς τις εξόδους του Ακολουθιακό κύκλωμα

Διαβάστε περισσότερα

βαθµίδων µε D FLIP-FLOP. Μονάδες 5

βαθµίδων µε D FLIP-FLOP. Μονάδες 5 Κεφάλαιιο: 6 ο Τίίτλος Κεφαλαίίου:: Μανταλωτές & Flip Flop (Ιούνιος 2004 ΤΕΕ Ηµερήσιο) Να σχεδιάσετε καταχωρητή δεξιάς ολίσθησης τεσσάρων βαθµίδων µε D FLIP-FLOP. Μονάδες 5 (Ιούνιος 2005 ΤΕΕ Ηµερήσιο)

Διαβάστε περισσότερα

Συστήματα Αρίθμησης. Συστήματα Αρίθμησης 1. PDF created with FinePrint pdffactory Pro trial version

Συστήματα Αρίθμησης. Συστήματα Αρίθμησης 1. PDF created with FinePrint pdffactory Pro trial version Συστήματα Αρίθμησης Στην καθημερινή μας ζωή χρησιμοποιούμε το δεκαδικό σύστημα αρίθμησης. Στο σύστημα αυτό χρησιμοποιούμε δέκα διαφορετικά σύμβολα τα :,, 2, 3, 4, 5, 6,7 8, 9. Για τον αριθμό 32 θα χρειαστούμε

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ 1 ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΩΝ ΚΑΙ ΚΩ ΙΚΕΣ 1

ΠΕΡΙΕΧΟΜΕΝΑ 1 ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΩΝ ΚΑΙ ΚΩ ΙΚΕΣ 1 ΠΕΡΙΕΧΟΜΕΝΑ 1 ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΩΝ ΚΑΙ ΚΩ ΙΚΕΣ 1 1-1 Σχηµατισµός Μηνύµατος 1 1-2 Βάση Αρίθµησης 2 1-3 Παράσταση Αριθµών στο εκαδικό Σύστηµα 2 Μετατροπή υαδικού σε εκαδικό 3 Μετατροπή εκαδικού σε υαδικό 4

Διαβάστε περισσότερα

ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ FLIP-FLOP ΤΟ ΒΑΣΙΚΟ FLIP-FLOP ΧΡΟΝΙΖΟΜΕΝΑ FF ΤΥΠΟΥ FF ΤΥΠΟΥ D FLIP-FLOP Τ FLIP-FLOP ΠΥΡΟΔΟΤΗΣΗ ΤΩΝ FLIP-FLOP ΚΥΡΙΟ - ΕΞΑΡΤΗΜΕΝΟ FLIP-FLOP ΑΚΜΟΠΥΡΟΔΟΤΟΥΜΕΝΑ FLIP-FLOP ΚΥΚΛΩΜΑΤΑ

Διαβάστε περισσότερα

Σχεδιασμός Ψηφιακών Συστημάτων

Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ 2: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 27 Νοε-7 ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 27 Ακολουθιακά Κυκλώματα: Μανδαλωτές (Latches) και Flip-Flops Flops Διδάσκουσα:

Διαβάστε περισσότερα

ΘΕΜΑΤΑ & ΕΝΔΕΙΚΤΙΚΕΣ ΛΥΣΕΙΣ

ΘΕΜΑΤΑ & ΕΝΔΕΙΚΤΙΚΕΣ ΛΥΣΕΙΣ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΠΡΟΓΡΑΜΜΑ ΣΠΟΥΔΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Θεματική Ενότητα Ακαδημαϊκό Έτος 2010 2011 Ημερομηνία Εξέτασης Κυριακή 26.6.2011 Ώρα Έναρξης Εξέτασης

Διαβάστε περισσότερα

Ενότητα ΑΡΧΕΣ ΑΚΟΛΟΥΘΙΑΚΗΣ ΛΟΓΙΚΗΣ LATCHES & FLIP-FLOPS

Ενότητα ΑΡΧΕΣ ΑΚΟΛΟΥΘΙΑΚΗΣ ΛΟΓΙΚΗΣ LATCHES & FLIP-FLOPS Ενότητα ΑΡΧΕΣ ΑΚΟΛΟΥΘΙΑΚΗΣ ΛΟΓΙΚΗΣ LATCHES & FLIP-FLOPS Γενικές Γραμμές Ακολουθιακή Λογική Μεταστάθεια S-R RLatch h( (active high h&l low) S-R Latch with Enable Latch Flip-Flop Ασύγχρονοι είσοδοι PRESET

Διαβάστε περισσότερα

Δυαδικό Σύστημα Αρίθμησης

Δυαδικό Σύστημα Αρίθμησης Δυαδικό Σύστημα Αρίθμησης Το δυαδικό σύστημα αρίθμησης χρησιμοποιεί δύο ψηφία. Το 0 και το 1. Τα ψηφία ενός αριθμού στο δυαδικό σύστημα αρίθμησης αντιστοιχίζονται σε δυνάμεις του 2. Μονάδες, δυάδες, τετράδες,

Διαβάστε περισσότερα