Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 4

Σχετικά έγγραφα
Τυπικζσ Γλώςςεσ Περιγραφήσ Υλικοφ Εργαςτήριο 4

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 1

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 2

Συπικζσ Γλϊςςεσ Περιγραφισ Τλικοφ Διάλεξθ 5

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 3

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 2

Εισαγωγή στη Γλώσσα VHDL

VHDL Εισαγωγικές έννοιες

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συντρέχων Κώδικας

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

x n D 2 ENCODER m - σε n (m 2 n ) x 1 Παραδείγματα κωδικοποιθτϊν είναι ο κωδικοποιθτισ οκταδικοφ ςε δυαδικό και ο κωδικοποιθτισ BCD ςε δυαδικό.

Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι. Εισαγωγή στη VHDL

Library, package και subprograms

Λαμβάνοντασ υπόψη ότι κατά την πρόςθεςη δφο δυαδικϊν ψηφίων ιςχφει: Κρατοφμενο

Σχεδίαση Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

Πολυπλέκτες. 0 x 0 F = S x 0 + Sx 1 1 x 1

ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας

ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ ΑΝΟΙΚΤΑ ΑΚΑΔΗΜΑΪΚΑ ΜΑΘΗΜΑΤΑ

Συντακτικός Αναλυτής. Διαλέξεις στο μάθημα: Μεταφραστές Γιώργος Μανής

3 θ διάλεξθ Επανάλθψθ, Επιςκόπθςθ των βαςικϊν γνϊςεων τθσ Ψθφιακισ Σχεδίαςθσ

Structural VHDL. Structural VHDL

Εισαγωγή στη VHDL Υλοποίηση στο Quartus

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Προχωρημένα Θέματα Σχεδιασμού με VHDL

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

1. Διαχείριςη ενθεμάτων

Ειδικά Θζματα Βάςεων Δεδομζνων

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών

Ψθφιακά Ηλεκτρονικά. Ενότθτα 7 : Ελαχιςτοποίθςθ και κωδικοποίθςθ καταςτάςεων Φϊτιοσ Βαρτηιϊτθσ

ΑΣΚΗΣΗ 2: Σχεδίαση και προσομοίωση κυκλωμάτων καταχωρητών και μετρητών

ΠΑΙΔΑΓΩΓΙΚΟ ΙΝΣΙΣΟΤΣΟ ΚΤΠΡΟΤ Πρόγραμμα Επιμόρυωσης Τποψηυίων Καθηγητών Σεχνολογίας. Ηλεκτρονικά ΙΙ

Κυκλωμάτων» Χειμερινό εξάμηνο

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ

ΘΥ101: Ειςαγωγι ςτθν Πλθροφορικι

ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΠΟΛΟΓΙΣΤΗ. ΚΕΦΑΛΑΙΟ 5: Γνωριμία με το λογιςμικό του υπολογιςτι

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Γενικά χαρακτηριστικά, σύνταξη και τύποι. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 -

ΕΦΑΡΜΟΓΖσ ΒΆΕΩΝ ΔΕΔΟΜΖΝΩΝ ΚΑΙ ΔΙΑΔΙΚΣΥΟΤ. Ειρινθ Φιλιοποφλου

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

ΠΡΟΓΡΑΜΜΑΣΙΜΌ ΤΠΟΛΟΓΙΣΏΝ. Κεφάλαιο 8 Η γλϊςςα Pascal

Δείκτεσ Διαχείριςθ Μνιμθσ. Βαγγζλθσ Οικονόμου Διάλεξθ 8

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 7 θ Διάλεξθ Διαχείριςθ Μνιμθσ Μζροσ Γ

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1

Ηλεκτρονικι Επιχειρθςιακι Δράςθ Εργαςτιριο 1

Ελλθνικι Δθμοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 1 : Ειςαγωγι. Φϊτιοσ Βαρτηιϊτθσ

ΒΙΟΛΟΓΟΙ ΓΙΑ ΦΥΣΙΚΟΥΣ

Παράςταςη ακεραίων ςτο ςυςτημα ςυμπλήρωμα ωσ προσ 2

ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ

HY437 Αλγόριθμοι CAD

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Πακέτα και Συστατικά Στοιχεία (Υποκυκλώματα)

VHDL για Σχεδιασµό Ακολουθιακών Κυκλωµάτων

Epsilon Cloud Services

Διαδικαςία Προγράμματοσ Ωρομζτρθςθσ. (v.1.0.7)

HY437 Αλγόριθμοι CAD

ΡΟΓΑΜΜΑΤΙΣΤΙΚΟ ΡΕΙΒΑΛΛΟΝ MICRO WORLDS PRO

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 Συµπληρωµατική ΔΙΑΛΕΞΗ 14: Περιγραφή Ακολουθιακών Κυκλωµάτων στη VHDL

Multi Logo. Προγραμματιςμόσ Η/Υ με Multi Logo. Σχεδίαςη και ανάπτυξη εφαρμογήσ κίνηςησ αντικειμζνου

ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

EUROPEAN TRADESMAN PROJECT NOTES ON ELECTRICAL TESTS OF ELECTRICAL INSTALLATIONS

ΣΤΟΙΧΕΙΑ ΜΑΘΗΜΑΤΙΚΗΣ ΛΟΓΙΚΗΣ

Διαγώνισμα Φυσική ς Α Λυκει ου Έργο και Ενε ργεια

Ποσοτικές Μέθοδοι Δρ. Χάϊδω Δριτσάκη

Πτυχιακή Εργασία. Σχεδίαση Εφαρμογών Ψηφιακών Συστημάτων Με Τη Γλώσσα VHDL

VHDL. ΗΜΥ-210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Απλό παράδειγµα: Entity. Μοντελοποίηση. Απλό παράδειγµα:αρχιτεκτονική. Στοιχεία γλώσσας VHDL

VHDL Introduction. Subtitle

Ακολουκιακά Λογικά Κυκλώματα

Γενικά Μαθηματικά ΙΙ

Παράςταςη ςυμπλήρωμα ωσ προσ 1

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

ΑΝΣΙΣΡΟΦΗ ΤΝΑΡΣΗΗ. f y x y f A αντιςτοιχίηεται ςτο μοναδικό x A για το οποίο. Παρατθριςεισ Ιδιότθτεσ τθσ αντίςτροφθσ ςυνάρτθςθσ 1. Η. f A τθσ f.

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

Μθχανολογικό Σχζδιο, από τθ κεωρία ςτο πρακτζο Χριςτοσ Καμποφρθσ, Κων/νοσ Βαταβάλθσ

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. VHDL για Ακολουθιακά Κυκλώματα 1

GNSS Solutions guide. 1. Create new Project

ΕΦΑΡΜΟΓΕ ΒΑΕΩΝ ΔΕΔΟΜΕΝΩΝ ΣΗ ΝΟΗΛΕΤΣΙΚΗ. Φιλιοποφλου Ειρινθ

Electronics μαηί με τα ςυνοδευτικά καλϊδια και το αιςκθτιριο κερμοκραςίασ LM335 που περιζχονται

MySchool Πρακτικζσ οδθγίεσ χριςθσ

Σχεδίαση Ψηφιακών Συστημάτων

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συναρτήσεις, Διαδικασίες και Δομές Ελέγχου Λειτουργίας Κυκλωμάτων (testbenches)

ΧΗΜΕΙΑ Γ ΛΥΚΕΙΟΥ Κεφάλαια: (μέχρι ενότητα 8) Ονοματεπϊνυμο:... Ημ/νία:... Τάξθ:...Χρονικι Διάρκεια:... Βακμόσ:

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο Νοε-09. Διδάσκουσα: Μαρία Κ. Μιχαήλ

Αυτόνομοι Πράκτορες. Αναφορά Εργασίας Εξαμήνου. Το αστέρι του Aibo και τα κόκαλα του

Ένα πρόβλθμα γραμμικοφ προγραμματιςμοφ βρίςκεται ςτθν κανονικι μορφι όταν:

Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο τησ Αριθμογραμμήσ

Πνομα Ομάδασ: Προγραμματιςμόσ ενόσ κινοφμενου ρομπότ

Internet a jeho role v našem životě Το Διαδίκτυο και ο ρόλοσ του ςτθ ηωι μασ

Βάςεισ Δεδομζνων Ι. Ενότητα 12: Κανονικοποίηςη. Δρ. Τςιμπίρθσ Αλκιβιάδθσ Τμιμα Μθχανικών Πλθροφορικισ ΤΕ

ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΠΟΛΟΓΙΣΤΗ. ΚΕΦΑΛΑΙΟ 6: Το γραφικό περιβάλλον Επικοινωνίασ (Γ.Π.Ε)

Πανεπιστήµιο Θεσσαλίας

Βάςεισ Δεδομζνων Ι. Ενότητα 4: Μετατροπή ςχήματοσ Ο/Σ ςε ςχεςιακό. Δρ. Τςιμπίρθσ Αλκιβιάδθσ Τμιμα Μθχανικϊν Ρλθροφορικισ ΤΕ

Ειςαγωγι ςτο Δομθμζνο Προγραμματιςμό. Βαγγζλθσ Οικονόμου

ΧΗΥΙΑΚΟ ΔΚΠΑΙΔΔΤΣΙΚΟ ΒΟΗΘΗΜΑ «ΥΤΙΚΗ ΘΔΣΙΚΗ ΚΑΙ ΣΔΦΝΟΛΟΓΙΚΗ ΚΑΣΔΤΘΤΝΗ» ΦΥΣΙΚΗ ΘΔΤΙΚΗΣ ΚΑΙ ΤΔΧΝΟΛΟΓΙΚΗΣ ΚΑΤΔΥΘΥΝΣΗΣ ΘΔΜΑ Α ΘΔΜΑ Β

Εργαςτιριο Βάςεων Δεδομζνων

Ψθφιακά Ηλεκτρονικά. Ενότθτα 4 : Ανάλυςθ ακολουκιακϊν κυκλωμάτων με ρολόι Φϊτιοσ Βαρτηιϊτθσ

Στα προθγοφμενα δφο εργαςτιρια είδαμε τθ δομι απόφαςθσ (ι επιλογισ ι ελζγχου ροισ). Ασ κυμθκοφμε:

Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο του Άβακα

Ειςαγωγι ςτθ ςχεδιαςτικι ροι τθσ Xilinx. ISE Design Suite University Of Thessaly Department of Electrical and Computer Engineering CE430

ΕΡΓΑΣΗΡΙΟ ΕΦΑΡΜΟΜΕΝΗ ΠΛΗΡΟΦΟΡΙΚΗ

ΜΑΘΗΜΑΤΙΚΑ Α Γυμνασίου

Transcript:

Τμήμα Μησανικών Πληποφοπικήρ, Τ.Ε.Ι. Ηπείπος Ακαδημαϊκό Έτορ 2016-2017, 6 ο Εξάμηνο Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 4 Διδάςκων Τςιακμάκθσ Κυριάκοσ, Phd MSc in Electronic Physics (Radioelectrology) Computer and Electronic Circuits Technology MSc in Science - Agricultural Engineering

Entity Ένα VHDL design μπορεί να ζχει ζνα block θ περιςςότερα. Aντι για block κα λζμε entity. Στθν VHDL ζνα entity περιγράφει το interface ενόσ block και ζνα δεφτερο τμιμα τθσ VHDL, που ςχετίηεται με το entity περιγράφει πωσ λειτουργεί το ςυγκεκριμζνο block. entity Lab4And is end Lab4And;

Architecture Αφοφ οριςτεί το entity ςτθ ςυνζχεια πρζπει να κακοριςτεί και θ λειτουργία του. Αυτό γίνεται ςτο κομμάτι Architecture του VHDL κϊδικά. Στο κομμάτι αυτό περιγράφετε τι κάνει το κφκλωμά, με διάφορουσ τρόπουσ. 1) Ο πρϊτοσ είναι αυτό που ονομάηεται Βehavioral Design, όπου απλά περιγράφεται θ ςχζςθ μεταξφ τθσ ειςόδου και τθσ εξόδου. (if-then-for) 2) Ο άλλοσ τρόποσ ονομάηεται Structural Design και είναι μια περιγραφι του ψθφιακοφ κυκλϊματοσ ςαν ζνα ςφνολο από άλλα entities ι πφλεσ τα οποία ςυνδζονται όλα μαηί για να δϊςουν τθν επικυμθτι λειτουργία. (entites) 3) Dataflow Design: εκχωριςεισ με λογικζσ εκφράςεισ. 4) Μπορεί να ςυνδυαςτοφν και οι τρείσ από αυτοφσ τρόπουσ ςτθ ςχεδίαςθ

Διαφορά RTL και Behavioral Code Μποροφμε να διαχωρίςουμε τον τρόπο γραφισ κϊδικα και ςε δφο άλλεσ μεγάλεσ κατθγορίεσ: Behavioral code is higher-level and usually can't be synthesized. Constructs like loops, delays, and "initial" statements are behavioral. RTL (Register transfer level) code is lower-level and is intended to be synthesized. It defines a circuit as a combination of interconnected registers and the operations performed on signals between those registers.

Περιγραφι απλοφ κϊδικα με λογικζσ πφλεσ Θα περιγράψουμε τον κϊδικα βιμα βιμα αφοφ πρϊτα παρουςιάςουμε τουσ πίνακεσ αλθκείασ αυτϊν.

Λογικζσ Πφλεσ

Λογικζσ Πφλεσ

-------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -------------------------------------------------- entity Lab4And is end Lab4And; -------------------------------------------------- architecture behav1 of Lab4And is process(x, y) -- compare to truth table if ((x='1') and (y='1')) then F <= '1'; else F <= '0'; end if; end process; end behav1; architecture behav2 of Lab4And is F <= x and y; Κϊδικασ για πφλθ AND - OR -------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -------------------------------------------------- end behav2; -------------------------------------------------- entity Lab4Or is end Lab4Or; --------------------------------------- architecture OR_arch of Lab4Or is process(x, y) -- compare to truth table if ((x='0') and (y='0')) then F <= '0'; else F <= '1'; end if; end process; end OR_arch; architecture OR_beh of Lab4Or is F <= x or y; end OR_beh; ---------------------------------------

Πφλθ AND Η λειτουργία του κυκλϊματοσ γίνεται ςτο κομμάτι του κϊδικα που αρχίςει με τθ λζξθ architecture μζςα ςτο /end κομμάτι. Η χριςθ του ςυμβόλου <= δεν πρόκειται για ςφμβολο μικρότερο ίςο, όπωσ το ξζρουμε από τισ άλλεσ γλϊςςεσ προγραμματιςμοφ, αλλά είναι ζνα ςφμβολο που δείχνει ότι τα δεδομζνα μεταφζρονται (data flow) από το ςιμα που βρίςκεται δεξιά από το ςφμβολο ςτο ςιμα που βρίςκεται αριςτερά του. To and είναι ζνα built-in component που ονομάηεται operator, γιατί λειτουργεί (operates) πάνω ςε κάποια δεδομζνα και παράγει νζα δεδομζνα. Δθλαδι κα μποροφςαμε να ποφμε ότι το ςιμα y παράγεται από τα δεδομζνα a,b τα οποία ζχουν πρϊτα επεξεργαςτεί από τον and operator. Ο τρόποσ αυτόσ οριςμοφ και περιγραφισ των entities ονομάηεται DataFlow Design

Κϊδικασ για πφλθ NOT- XOR library ieee; use ieee.std_logic_1164.all; ----------------------------------------- entity Lab4Not is end Lab4Not; ------------------------------------------ architecture behv1 of Lab4Not is process(x, y) -- compare to truth table if (x='0' and y='0') then F <= '1'; else F <= '0'; end if; end process; end behv1; architecture behv2 of Lab4Not is F <= x nor y; end behv2; library ieee; use ieee.std_logic_1164.all; -------------------------------------- entity Lab4Xor is end Lab4Xor; -------------------------------------- architecture behv1 of Lab4Xor is process(x, y) -- compare to truth table if (x/=y) then F <= '1'; else F <= '0'; end if; end process; end behv1; architecture behv2 of Lab4Xor is F <= x xor y; end behv2; --------------------------------------

Κϊδικασ για πφλθ NAND - NOR library ieee; use ieee.std_logic_1164.all; ------------------------------------------ entity Lab4Nand is end Lab4Nand; ------------------------------------------ architecture behv1 of Lab4Nand is process(x, y) -- compare to truth table if (x='1' and y='1') then F <= '0'; else F <= '1'; end if; end process; end behv1; ----------------------------------------- architecture behv2 of Lab4Nand is end behv2; F <= x nand y; library ieee; use ieee.std_logic_1164.all; ----------------------------------------- entity Lab4Nor is end Lab4Nor; ------------------------------------------ architecture behv1 of Lab4Nor is process(x, y) -- compare to truth table if (x='0' and y='0') then F <= '1'; else F <= '0'; end if; end process; end behv1; architecture behv2 of Lab4Nor is F <= x nor y; end behv2; -----------------------------------------

Άςκθςθ Αυτοαξιολόγθςθσ Να υλοποιθκεί κϊδικασ που να ορίηει τθν λειτουργία 1) Του απομονωτι buffer 2) Τθσ πφλθσ XNOR