Μεηαηροπείς Αναλογικό-προς-Ψηθιακό Σήμα (A/D Converters)



Σχετικά έγγραφα
7. ΚΑΤΑΧΩΡΗΤΕΣ ΕΡΩΤΗΣΕΙΣ ΑΣΚΗΣΕΙΣ. 3. Έλαο θαηαρσξεηήο SISO ησλ 4 bits έρεη: α) Μία είζνδν, β) Δύν εηζόδνπο, γ) Σέζζεξεηο εηζόδνπο.

Η/Υ A ΤΑΞΕΩΣ ΑΕ Συστήματα Αρίθμησης. Υποπλοίαρχος Ν. Πετράκος ΠΝ

Τηλζφωνο: Ε-mail: Ώρες διδασκαλίας: 16:00 19:15 μμ

ΑΠΑΝΤΗΣΔΙΣ ΓΙΚΤΥΑ ΥΠΟΛΟΓΙΣΤΩΝ II ΔΠΑΛ

ΠΑΡΑΡΣΗΜΑ Δ. ΔΤΡΔΗ ΣΟΤ ΜΔΣΑΥΗΜΑΣΙΜΟΤ FOURIER ΓΙΑΦΟΡΩΝ ΗΜΑΣΩΝ

Φςζική Πποζαναηολιζμού Γ Λςκείος. Αζκήζειρ Ταλανηώζειρ 1 ο Φςλλάδιο

11. ΜΔΣΑΣΡΟΠΔΙ D/A ΚΑΙ A/D ΔΡΩΣΗΔΙ ΑΚΗΔΙ. (β) Η ειάρηζηε κεηαβνιή ηεο αλαινγηθήο ηάζεο εμόδνπ είλαη: Vmes = = 10

Ενδεικτικά Θέματα Στατιστικής ΙΙ

iii. iv. γηα ηελ νπνία ηζρύνπλ: f (1) 2 θαη

ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 4 ΣΥΝΔΥΑΣΤΙΚΑ ΚΥΚΛΩΜΑΤΑ

ΚΕΦ. 2.3 ΑΠΟΛΤΣΗ ΣΘΜΗ ΠΡΑΓΜΑΣΘΚΟΤ ΑΡΘΘΜΟΤ

x-1 x (x-1) x 5x 2. Να απινπνηεζνύλ ηα θιάζκαηα, έηζη ώζηε λα κελ ππάξρνπλ ξηδηθά ζηνπο 22, 55, 15, 42, 93, 10 5, 12

5 η Δργαζηηριακή Άζκηζη Κσκλώμαηα Γσαδικού Αθροιζηή/Αθαιρέηη

Σύνθεζη ηαλανηώζεων. Έζησ έλα ζώκα πνπ εθηειεί ηαπηόρξνλα δύν αξκνληθέο ηαιαληώζεηο ηεο ίδηαο ζπρλόηεηαο πνπ πεξηγξάθνληαη από ηηο παξαθάησ εμηζώζεηο:

H ΜΑΓΕΙΑ ΤΩΝ ΑΡΙΘΜΩΝ

α) ηε κεηαηόπηζε x όηαλ ην ζώκα έρεη κέγηζην ξπζκό κεηαβνιήο ζέζεο δ) ην κέγηζην ξπζκό κεηαβνιήο ηεο ηαρύηεηαο

Αντισταθμιστική ανάλυση

6 η Εργαζηηριακή Άζκηζη Επαλήθεσζη Λειηοσργίας Βαζικών Φλιπ-Φλοπ

ΚΤΠΡΙΑΚΗ ΜΑΘΗΜΑΣΙΚΗ ΕΣΑΙΡΕΙΑ ΜΑΘΗΜΑΣΙΚΗ ΚΤΣΑΛΟΓΡΟΜΙΑ 2007 ΓΙΑ ΣΟ ΓΤΜΝΑΙΟ Παπασκευή 26 Ιανουαπίου 2007 Σάξη: Α Γυμνασίου ΥΟΛΕΙΟ..

ΓΗΑΓΩΝΗΣΜΑ ΣΤΑ ΜΑΘΖΜΑΤΗΚΑ. Ύλη: Μιγαδικοί-Σσναρηήζεις-Παράγωγοι Θεη.-Τετν. Καη Εήηημα 1 ο :

Να ζρεδηάζεηο ηξόπνπο ζύλδεζεο κηαο κπαηαξίαο θαη ελόο ιακπηήξα ώζηε ν ιακπηήξαο λα θσηνβνιεί.

Σήκαηα Β Α Γ Γ Δ Λ Η Σ Ο Ι Κ Ο Ν Ο Μ Ο Υ Γ Ι Α Λ Δ Ξ Η - ( 2 ) ΕΙΣΑΓΨΓΗ ΣΤΙΣ ΤΗΛΕΠΙΚΟΙΝΨΝΙΕΣ

ΑΛΛΑΓΗ ΟΝΟΜΑΣΟ ΚΑΙ ΟΜΑΔΑ ΕΡΓΑΙΑ, ΚΟΙΝΟΥΡΗΣΟΙ ΦΑΚΕΛΟΙ ΚΑΙ ΕΚΣΤΠΩΣΕ ΣΑ WINDOWS XP

Άμεσοι Αλγόριθμοι: Προσπέλαση Λίστας (list access)

ΚΤΠΡΙΑΚΗ ΜΑΘΗΜΑΣΙΚΗ ΔΣΑΙΡΔΙΑ ΠΑΓΚΤΠΡΙΟ ΓΙΑΓΩΝΙ ΜΟ

Κβαντικοί Υπολογισμοί. Πέκπηε Γηάιεμε

Άζθεζε 2ε ΤΣΗΜΑΣΑ ΔΛΔΓΥΟΤ ΑΝΟΙΚΣΟΤ ΒΡΟΥΟΤ ΚΑΙ MATLAB

Γοκή επαλάιευες Δληοιές Όζο & Μέτρης_όηοσ

ΔΕΟ 13. Ποσοτικές Μέθοδοι. θαη λα ππνινγίζεηε ην θόζηνο γηα παξαγόκελα πξντόληα. Να ζρεδηαζηεί γηα εύξνο πξντόλησλ έσο

Δπηιέγνληαο ην «Πξνεπηινγή» θάζε θνξά πνπ ζα ζπλδέεζηε ζηελ εθαξκνγή ζα βξίζθεζηε ζηε λέα ρξήζε.

Σχήμα 9.1 Πύλη AND. Αο ππνζέζνπκε ηώξα όηη κεηαηξέπνπκε ην πξνεγνύκελν θύθισκα ηνπ ζρήκαηνο 9.1 ζην εμήο λέν ηνπ ζρήκαηνο 9.2. Σχήμα 9.

B-Δέλδξα. Τα B-δέλδξα ρξεζηκνπνηνύληαη γηα ηε αλαπαξάζηαζε πνιύ κεγάισλ ιεμηθώλ πνπ είλαη απνζεθεπκέλα ζην δίζθν.

A. Αιιάδνληαο ηε θνξά ηνπ ξεύκαηνο πνπ δηαξξέεη ηνλ αγωγό.

ΠΑΙΓΑΓΩΓΙΚΟ ΙΝΣΙΣΟΤΣΟ ΚΤΠΡΟΤ Πξόγξακκα Δπηκόξθσζεο Τπνςεθίσλ Καζεγεηώλ Σερλνινγίαο. Ηιεθηξνληθά ΙΙ

Αιγόξηζκνη Γνκή επηινγήο. Πνιιαπιή Δπηινγή Δκθωιεπκέλεο Δπηινγέο. Δηζαγωγή ζηηο Αξρέο ηεο Δπηζηήκεο ηωλ Η/Υ. introcsprinciples.wordpress.

ΘΔΚΑ ΡΖΠ ΑΛΑΓΛΩΟΗΠΖΠ

Κεθάλαιο 7. Πξνζθνξά ηνπ θιάδνπ Μ. ΨΥΛΛΑΚΗ

ΜΑΘΗΜΑ / ΤΑΞΗ : ΗΛΕΚΤΡΟΛΟΓΙΑ/Γ ΛΥΚΕΙΟΥ ΣΕΙΡΑ: ΗΜΕΡΟΜΗΝΙΑ: 08/09/2014

ΜΑΘΗΜΑΣΑ ΦΩΣΟΓΡΑΦΙΑ. Εισαγωγή στη Φωτογραυία. Χριζηάκης Σαζεΐδης - EFIAP

Αζκήζεις ζτ.βιβλίοσ ζελίδας 13 14

ΑΝΤΗΛΙΑΚΑ. Η Μηκή ζθέθηεθε έλαλ ηξόπν, γηα λα ζπγθξίλεη κεξηθά δηαθνξεηηθά αληειηαθά πξντόληα. Απηή θαη ν Νηίλνο ζπλέιεμαλ ηα αθόινπζα πιηθά:

ΚΤΠΡΙΑΚΗ ΜΑΘΗΜΑΣΙΚΗ ΕΣΑΙΡΕΙΑ ΜΑΘΗΜΑΣΙΚΗ ΚΤΣΑΛΟΓΡΟΜΙΑ 2007 ΓΙΑ ΣΟ ΓΤΜΝΑΙΟ Παπασκευή 26 Ιανουαπίου 2007 Σάξη: Α Γυμνασίου ΥΟΛΕΙΟ..

ΔΙΑΓΩΝΙΣΜΑ ΣΤΗ ΦΥΣΙΚΗ. Ύλη: Εσθύγραμμη Κίνηζη

ΛΙΜΝΗ ΤΣΑΝΤ. Σρήκα 1. Σρήκα 2

Απνηειέζκαηα Εξσηεκαηνινγίνπ 2o ηεηξάκελν

Διαηιμήζεις για Αιολικά Πάρκα. Κώδικες 28, 78 και 84

Κευάλαιο 8 Μονοπωλιακή Συμπεριφορά- Πολλαπλή Τιμολόγηση

Παιχνίδι γλωζζικής καηανόηζης με ζχήμαηα!

Μονοψϊνιο. Αγνξά κε ιίγνπο αγνξαζηέο. Δύναμη μονοψωνίος Η ηθαλόηεηα πνπ έρεη ν αγνξαζηήο λα επεξεάζεη ηελ ηηκή ηνπ αγαζνύ.

ΟΠΤΙΚΗ Α. ΑΝΑΚΛΑΣΖ - ΓΗΑΘΛΑΣΖ

ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 6 DELTA MODULATION (DM)

ΦΥΛΛΟ ΕΡΓΑΣΙΑΣ. Οξηδόληηα θαη θαηαθόξπθε κεηαηόπηζε παξαβνιήο

ΜΑΘΗΜΑΣΑ ΦΩΣΟΓΡΑΦΙΑ. Ειζαγωγή ζηη Φωηογραθία. Χριζηάκης Σαζεΐδης EFIAP

(γ) Να βξεζεί ε ρξνλνεμαξηώκελε πηζαλόηεηα κέηξεζεο ηεο ζεηηθήο ηδηνηηκήο ηνπ ηειεζηή W.

Constructors and Destructors in C++

Κεθάιαην 20. Ελαχιστοποίηση του κόστους

ΑΠΛΟΠΟΙΗΗ ΛΟΓΙΚΩΝ ΤΝΑΡΣΗΕΩΝ ΜΕ ΠΙΝΑΚΕ KARNAUGH

όπνπ Κ Ρ u(t) u(t) Pe(t) e(t) 2015 Κ. Παξίζεο, Καζεγεηήο 1

Επωηήζειρ Σωζηού Λάθοςρ ηων πανελλαδικών εξεηάζεων Σςναπηήζειρ

ΚΤΠΡΙΑΚΗ ΜΑΘΗΜΑΣΙΚΗ ΕΣΑΙΡΕΙΑ ΜΑΘΗΜΑΤΙΚΗ ΣΚΥΤΑΛΟΓΡΟΜΙΑ 2015 ΓΙΑ ΤΟ ΓΥΜΝΑΣΙΟ Τεηάπηη 28 Ιανουαπίου 2015 ΛΔΥΚΩΣΙΑ Τάξη: Α Γυμναζίου

ΗΜΔΡΟΜΗΝΙΑ. ΟΝΟΜΑΣΔΠΩΝΤΜΟ.. ΒΑΘΜΟΛΟΓΙΑ..

Δξγαζηεξηαθή άζθεζε 03. Σηεξενγξαθηθή πξνβνιή ζην δίθηπν Wulf

Βάσεις Δεδομέμωμ. Εξγαζηήξην V. Τκήκα Πιεξνθνξηθήο ΑΠΘ

Άζκηζη ζτέζης κόζηοσς-τρόνοσ (Cost Time trade off) Καηαζκεσαζηική ΑΔ

Α. Εηζαγσγή ηεο έλλνηαο ηεο ηξηγσλνκεηξηθήο εμίζσζεο κε αξρηθό παξάδεηγκα ηελ εκx = 2

Πνηα λνκίδεηο όηη ζα είλαη ε ζπλνιηθή αληίζηαζε κηαο ζπλδεζκνινγίαο δύν αληηζηαηώλ ζπλδεδεκέλεο ζε ζεηξά; Γηαηί;...

ΠΑΝΔΛΛΑΓΗΚΔ ΔΞΔΣΑΔΗ Γ ΣΑΞΖ ΖΜΔΡΖΗΟΤ ΓΔΝΗΚΟΤ ΛΤΚΔΗΟΤ Γευηέρα 11 Ηουνίου 2018 ΔΞΔΣΑΕΟΜΔΝΟ ΜΑΘΖΜΑ: ΜΑΘΖΜΑΣΗΚΑ ΠΡΟΑΝΑΣΟΛΗΜΟΤ. (Ενδεικηικές Απανηήζεις)

ΤΕΙ ΧΑΛΚΙΔΑΣ ΣΤΕΦ ΤΜΗΜΑ ΤΕΧΝΟΛΟΓΙΑΣ ΑΕΡΟΣΚΑΦΩΝ Σημειώζειρ επγαζηηπίος «Αναλογικά Ηλεκηπονικά», Σςγγπαθέαρ: Χ. Λαμππόποςλορ, Έκδοζη 3η 20V 100K V OUT

Q Η ζσνάρηηζη μέζοσ κόζηοσς μας δίνει ηο κόζηος ανά μονάδα παραγωγής. Q Η ζσνάρηηζη μέζοσ κόζηοσς μας δίνει ηο ζηαθερό κόζηος ανά μονάδα παραγωγής

ΚΤΠΡΙΑΚΗ ΜΑΘΗΜΑΣΙΚΗ ΔΣΑΙΡΔΙΑ ΠΑΓΚΤΠΡΙΟ ΓΙΑΓΩΝΙΜΟ Α ΛΤΚΔΙΟΤ. Ημεπομηνία: 10/12/11 Ώπα εξέτασηρ: 09:30-12:30 ΠΡΟΣΔΙΝΟΜΔΝΔ ΛΤΔΙ

ΘΔΜΑ 1 ο Μονάδες 5,10,10

ΜΕΛΕΣΗ E.O.K. ΜΕ ΑΙΘΗΣΗΡΑ ΘΕΗ

(Ενδεικηικές Απανηήζεις) ΘΔΜΑ Α. Α1. Βιέπε απόδεημε Σει. 262, ζρνιηθνύ βηβιίνπ. Α2. Βιέπε νξηζκό Σει. 141, ζρνιηθνύ βηβιίνπ

Απαντήσεις θέματος 2. Παξαθάησ αθνινπζεί αλαιπηηθή επίιπζε ησλ εξσηεκάησλ.

α. Να ππνινγίζεηε ηε γσληαθή ζπρλόηεηα ησλ ειεθηξηθώλ ηαιαληώζεσλ ηνπ θπθιώκαηνο.

1. Η απιή αξκνληθή ηαιάλησζε πνπ εθηειεί έλα κηθξό ζώκα κάδαο m = 1 kg έρεη πιάηνο Α = 20 cm θαη

Image J Plugin particle tracker για παρακολούθηση της κίνησης σωματιδίων

ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ

ΔΠΙΣΡΟΠΗ ΓΙΑΓΩΝΙΜΩΝ 74 ος ΠΑΝΔΛΛΗΝΙΟ ΜΑΘΗΣΙΚΟ ΓΙΑΓΩΝΙΜΟ ΣΑ ΜΑΘΗΜΑΣΙΚΑ Ο ΘΑΛΗ 19 Οκηωβρίοσ Δνδεικηικές λύζεις

Ζαχαρίας Μ. Κοντοπόδης Εργαστήριο Λειτουργικών Συστημάτων ΙΙ

ΔΝΓΔΙΚΤΙΚΔΣ ΛΥΣΔΙΣ ΣΤΑ ΜΑΘΗΜΑΤΙΚΑ ΚΑΤΔΥΘΥΝΣΗΣ Γ ΛΥΚΔΙΟΥ ΓΔΥΤΔΡΑ 27 ΜΑΪΟΥ 2013

2

1 η Εργαζηηριακή Άζκηζη Ειζαγωγή

ΠΑΝΕΛΛΑΔΙΚΕ ΕΞΕΣΑΕΙ Γ ΣΑΞΗ ΗΜΕΡΗΙΟΤ ΓΕΝΙΚΟΤ ΛΤΚΕΙΟΤ & ΠΑΝΕΛΛΗΝΙΕ ΕΞΕΣΑΕΙ Γ ΣΑΞΗ ΗΜΕΡΗΙΟΤ ΕΠΑΛ (ΟΜΑΔΑ Β )

ΜΔΣΑΦΡΑΗ SUPER SEAL PRO

Αζθήζεηο 5 νπ θεθαιαίνπ Crash course Step by step training. Dipl.Biol.cand.med. Stylianos Kalaitzis

ΣΟ ΑΠΛΟ ΕΚΚΡΕΜΕ. Σν απιό εθθξεκέο απνηειείηαη από κηα κάδα m ζηελ άθξε αβαξνύο. λήκαηνο κήθνπο L,ηνπ νπνίνπ ην άιιν άθξν είλαη εμαξηεκέλν ζε αθιόλεην

Κόληξα πιαθέ ζαιάζζεο κε δηαζηάζεηο 40Υ40 εθ. Καξθηά 3 θηιά πεξίπνπ κε κήθνο ηξηπιάζην από ην πάρνο ηνπ μύινπ θπξί κεγάιν θαη ππνκνλή

Σπληήξεζε ηξνθίκσλ ρσξίο ρεκηθά πξόζζεηα PROJECT B ΛΥΚΕΙΟΥ

ΦΥΣΙΚΗ ΤΩΝ ΡΕΥΣΤΩΝ. G. Mitsou

EL Eνωμένη στην πολυμορυία EL A8-0046/319. Τροπολογία

Α.2 Η ελεξγόο έληαζε ελαιιαζζόµελνπ ξεύµαηνο πιάηνπο Θν είλαη: I ελ =10 2A Τν πιάηνο Θ ν ηεο έληαζεο ηζνύηαη µε:

Πολυεπίπεδα/Διασυμδεδεμέμα Δίκτυα

1. Οδηγίερ εγκαηάζηαζηρ και σπήζηρ έξςπνυν καπηών και τηθιακών πιζηοποιηηικών με σπήζη ηος λογιζμικού Μοzilla Thunderbird

Εισαγωγή στοςρ κβαντικούρ ςπολογιστέρ και αλγόπιθμοςρ. Γηδάζθωλ : Φνπληνπιάθεο Αληώληνο

Τκήκα : ΓΘΕΤΘΚΗΣ Ηκ/ληα : 30 / 11 / 2016

ΣΕΙ ΧΑΛΚΙΔΑ ΣΕΦ ΣΜΗΜΑ ΣΕΧΝΟΛΟΓΙΑ ΑΕΡΟΚΑΦΩΝ εκεηώζεηο εξγαζηεξίνπ «Αλαινγηθά Ηιεθηξνληθά», πγγξαθέαο: Χ. Λακπξόπνπινο, Έθδνζε 1ε

f '(x)g(x)h(x) g'(x)f (x)h(x) h'(x) f (x)g(x)

x x x x tan(2 x) x 2 2x x 1

ΑΙΟΛΙΚΑ ΠΑΡΚΑ. Δρώτηση 1

Transcript:

ΚΕΦΑΛΑΙΟ 12 Μεηαηροπείς Αναλογικό-προς-Ψηθιακό Σήμα (A/D Converters) 12.1. Ειζαγωγή Όπσο γλσξίδνπκε από ην θεθάιαην 13 (13.1), ραξαθηεξηζηηθό ελόο ςεθηαθνύ ζπζηήκαηνο είλαη ε επεμεξγαζία δηαθξηηώλ ζηνηρείσλ (discrete elements) πιεξνθνξίαο. Σε καθξνζθνπηθή θιίκαθα ν θόζκνο καο είλαη αλαινγηθόο, γεκάηνο δηαθξηηά ζήκαηα. Τέηνηα δηαθξηηά ζηνηρεία κπνξεί λα είλαη ειεθηξηθνί παικνί, δεθαδηθά ζεκεία, ζεξκνθξαζία, απνκάθξπλζε θ.α.. Αληίζεηα νη ζρεδηαζηέο ςεθηαθώλ ζπζηεκάησλ γηα ην ρεηξηζκό θαη παξνπζίαζε δεδνκέλσλ θαη ππνινγηζκώλ ρξεζηκνπνηνύλ ςεθηαθά ζήκαηα. Από ηα παξαπάλσ θαηαιαβαίλνπκε όηη ε κεηαηξνπή αλαινγηθώλ ζε ςεθηαθά ζήκαηα είλαη βαζηθή ιεηηνπξγία ζηα ππνινγηζηηθά ζπζηήκαηα θαη ζηα ζπζηήκαηα ειέγρνπ. Η βαζηθή ιεηηνπξγία ηνπ θάζε κεηαηξνπέα είηε είλαη αλαινγηθόο-ςεθηαθόο (Analog-Digital Converter) είηε είλαη ςεθηαθόο-αλαινγηθόο (Digital-Analog Converter) είλαη λα δέρεηαη πιεξνθνξίεο (ζήκαηα) ζε θάπνηα κνξθή θαη λα ηελ θσδηθνπνηεί ζε θάπνηα άιιε. Γηα λα πξνζνκνηώζνπκε κηα θπζηθή δηαδηθαζία κε έλα ςεθηαθό ππνινγηζηή, νη πνζόηεηεο ζα πξέπεη λα θβαληηζηνύλ. Όηαλ νη κεηαβιεηέο ηεο δηαδηθαζίαο είλαη ζπλερή ζήκαηα πξαγκαηηθνύ ρξόλνπ, ηα θβαληίδνπκε κε Α/D Converters θαη ζηελ ζπλέρεηα, όηαλ ζέινπκε λα επαλαζπλζέζνπκε ηα επεμεξγαζκέλα ζήκαηα ηεο ππνινγηζηηθήο κνλάδαο ζηα ζεκεία ιήςεσο, ρξεζηκνπνηνύκε ηνπο D/A Converters. Με ηνλ ηξόπν απηό επηηπγράλνπκε γξήγνξε, ζηαζεξή, απαιιαγκέλε από ζόξπβν θαη θζελή επηθνηλσλία. Σ' απηό ην θεθάιαην ζα αλαθεξζνύκε κόλν ζε A/D κεηαηξνπείο. Υπάξρεη κεγάινο αξηζκόο ηύπνπ A/D κεηαηξνπέσλ όκσο ιίγνη απ' απηνύο είλαη πξαθηηθά ρξήζηκνη ηδίσο ζε κνξθή νινθιεξσκέλνπ θπθιώκαηνο. Σηελ ζπλέρεηα ζα πεξηγξάςνπκε ζύληνκα κεξηθνύο A/D κεηαηξνπείο πνπ ρξεζηκνπνηνύληαη επξέσο. Η γεληθή κνξθή ελόο A/D κεηαηξνπέα, θαίλεηαη ζην αθόινπζν ζρήκα: Σχήμα 12.1. Από ηα παξαπάλσ γλσξίδνπκε όηη νη A/D κεηαηξνπείο, κεηαηξέπνπλ έλα αλαινγηθό ζήκα εηζόδνπ (ηα πην ζπλεζηζκέλα ζήκαηα είλαη ηα ειεθηξηθά, όπσο ηάζεσο θαη εληάζεσο) ζε κία ζπρλόηεηα ή ζε έλα ζύλνιν παικώλ ησλ νπνίσλ ν ρξόλνο πξνζδηνξίδεηαη γηα λα θαζνξηζηεί κία αληηπξνζσπεπηηθή έμνδνο. Δπίζεο νη A/D κεηαηξνπείο κπνξνύλ λα ρξεζηκνπνηεζνύλ γηα ηελ ζύγθξηζε ελόο ζήκαηνο εηζόδνπ κε κία κεηαβιεηή αλαθνξάο (ρξεζηκνπνηώληαο έλαλ D/A κεηαηξνπέα). 12.2. Είδη A/D Μεηαηροπέων. 12.2.1. Παξάιιεινη A/D Μεηαηξνπείο (parallel or "kick-sorter" converters).

O παξάιιεινο A/D κεηαηξνπέαο (Σρήκα 12.2) ιεηηνπξγεί θάλνληαο όιεο ηηο ζπγθξίζεηο κε ηελ είζνδν ηαπηόρξνλα. Δίλαη ν πην γξήγνξνο κεηαηξνπέαο, κηα πνπ ε ηαρύηεηά ηνπ πεξηνξίδεηαη κόλν από ην ρξόλν θαζπζηέξεζεο ησλ ζπγθξηηώλ θαη ηεο ινγηθήο θσδηθνπνίεζεο, πνπ είλαη πνιύ κηθξόο γηα ηα ζύγρξνλα ειεθηξνληθά ζηνηρεία. Ο κεηαηξνπέαο απηνύ ηνπ είδνπο ρξεζηκνπνηείηαη θπξίσο όηαλ απαηηείηαη εμαηξεηηθά πςειή ζπρλόηεηα. Φξεηάδεηαη 2 n ζπγθξηηέο, γηα n-bits πξνο ζύγθξηζε. Δμαηηίαο ηεο ύπαξμεο κόλνλ ζπγθξηηώλ θαη ινγηθώλ ππιώλ κεηαμύ ηεο αλαινγηθήο εηζόδνπ θαη ηεο ςεθηαθήο εμόδνπ, εμαηξεηηθά πςειέο ηαρύηεηεο κέρξη 50.000.000 δείγκαηα/sec. κπνξνύλ λα ιεθζνύλ ζε ρακειή αλάιπζε 6-bits ή ιηγόηεξν. Τν γεγνλόο όηη ν αξηζκόο ζπγθξηηώλ θαη ππιώλ απμάλεηαη κε ηελ αλάιπζε θαζηζηά ηνλ παξάιιειν κεηαηξνπέα άρξεζην εμαηηίαο ηεο πνιππινθόηεηάο ηνπ γηα αλαιύζεηο κεγαιύηεξεο ησλ 6-bits. Τέινο ε έμνδνο ησλ ζπγθξηηώλ δελ είλαη ζε δπαδηθή κνξθή γη' απηό ινγηθά θπθιώκαηα γηα ηελ απνθσδηθνπνίεζε ησλ θβαληηζκέλσλ εμόδσλ ησλ ζπγθξηηώλ. Από ην ζρήκα ηνπ θσδηθνπνηεηή κπνξνύκε λα παξαηεξήζνπκε όηη γηα είζνδν 4,9V ζα παίξλακε ζηελ έμνδν ηελ πιεξνθνξία 100 (δεθαδηθό 4). Αλ κεηξνύζακε ηελ ηάζε κε έλα βνιηόκεηξν, ζα παίξλακε πξνζεγγηζηηθά έλδεημε ζηα 5V γηα νπνηνδήπνηε ζήκα αλάκεζα ζηα 4,5V έσο 5,4V. Γηα λα μεπεξάζνπκε ην πξόβιεκα απηό ρξεζηκνπνηνύκε ζηελ είζνδν κία αληηζηάζκηζε ίζε κε ην κηζό ηνπ ιηγόηεξν ζεκαληηθνύ ςεθίνπ (L.S.B.). Τν ζθάικα ηώξα δελ κπνξεί λα είλαη κεγαιύηεξν από ην ±1/2 L.S.B.,αθνύ ηώξα ηα 4,4V θσδηθνπνηνύληαη ζαλ 100 (δεθαδηθό 4) αιιά ην 4,6V ζαλ 101 (δεθαδηθό 5). Σχήμα 12.2. 12.2.2. A/D Μεηαηξνπέαο Τάζεο-ζε-Φξόλν (Voltage-to-Time-Converter) Απηό ην ζύζηεκα (Σρήκα 12.3) είλαη ν απινύζηεξνο κεηαηξνπέαο ζηνλ νπνίν ην άγλσζην δπλακηθό εηζόδνπ κεηξηέηαη κεηαηξέπνληάο ην ζε κία ζπλάξηεζε ηνπ ρξόλνπ. Ο κεηαηξνπέαο απηόο απνηειείηαη από κία γξακκηθή γελλήηξηα δπλακηθνύ απμαλόκελε κε ζηαζεξή θιίζε ζπλαξηήζεη ηνπ ρξόλνπ θαη από δύν ζπγθξηηέο.

Ο ζπγθξηηήο Α δίλεη έλα ζήκα εμόδνπ, όηαλ ην απμαλόκελν δπλακηθό κεδεληζηεί θαη ν ζπγθξηηήο δίλεη ζήκα ζηελ έμνδν, όηαλ ην δπλακηθό θηάζεη ζηελ ηηκή ηνπ αγλώζηνπ δπλακηθνύ. Φξεζηκνπνηώληαο ηα δύν απηά ζήκαηα γηα λα μεθηλήζεη θαη λα ζηακαηήζεη ε κέηξεζε ελόο ζήκαηνο γλσζηήο ζπρλόηεηαο ην νπνίν κεηξηέηαη από ην ξνιόη, κπνξνύκε λα κεηξήζνπκε κε αθξίβεηα ην ρξνληθό δηάζηεκα κεηαμύ ησλ δύν ζεκάησλ. Αλ καο είλαη γλσζηόο ν ξπζκόο απμήζεσο ηνπ δπλακηθνύ, ηόηε ζην κεηξεηή δηαβάδνπκε ηελ ηηκή ηνπ αγλώζηνπ δπλακηθνύ. Παξ όηη κία άγλσζηε ηάζε Ex αληρλεύεηαη από ην ξπζκό αύμεζεο ηνπ δπλακηθνύ E R (volts/sec) ζα δώζεη κία κέηξεζε Ex/ E R f c γηα κηα ζπρλόηεηα ξνινγηνύ f c. Τν ζθάικα ηεο κέηξεζεο δελ ζα είλαη κεγαιύηεξν ελόο παικνύ ηνπ ξνινγηνύ, παξηζηάλνληαο έλα ζθάικα ηάζεο ± Ε R /f c. Ο κεηαηξνπέαο απηόο έρεη σο πιενλέθηεκα ηελ απιόηεηα ηνπ θαη ηελ νηθνλνκία ζε πιηθά θαηαζθεπήο. Όκσο ν ρξόλνο κεηαηξνπήο είλαη κεγάινο,αλ απαηηείηαη πςειή αλάιπζε, θαη ε αθξίβεηα εμαξηάηαη από ηελ ηθαλόηεηα λα δηαηεξνύληαη ηα f c θαη E R ζε ζπλερή ζρέζε κεηαμύ ηνπο- όπνπ f c είλαη ε ζπρλόηεηα ησλ παικώλ ηνπ ξνινγηνύ θαη E R ν ξπζκόο αύμεζεο ζε Volts/sec. ηνπ δπλακηθνύ. Δπίζεο ε ζπκπεξηθνξά ηνπ κεηαηξνπέα εμαξηάηαη θαη από ηελ ηθαλόηεηα δηαηήξεζεο ηνπ δπλακηθνύ ζε όιε ηελ θιίκαθα. Απηά δεκηνπξγνύλ έλα δύζθνιν ζρεδηαζηηθό πξόβιεκα, αθνύ νη γελλήηξηεο ηεο ηάζεο θαη ηνπ ξνινγηνύ είλαη αζπζρέηηζηα θπθιώκαηα θαη κπνξνύλ λα επεξεάδνληαη από ην πεξηβάιινλ. Σχήμα 12.3. 12.2.3. Μέζνδνο Χεθηαθήο Κιίζεο (Digital Ramp Method) Μία κέζνδνο πνπ μεπεξλά πνιιά από ηα κεηνλεθηήκαηα ηνπ κεηαηξνπέα ηάζεο ζε ρξόλν ρξεζηκνπνηεί παικνύο ξνινγηνύ γηα λα δεκηνπξγήζεη ην ςεθηαθά απμαλόκελν δπλακηθό ζηαζεξήο θιίζεο. Σ' απηό ην ζρεδηαζκό νη παικνί ηνπ ξνινγηνύ ηξνθνδνηνύλ έλα κεηξεηή ηνπ νπνίνπ ε ςεθηαθή έμνδνο είλαη θαηάιιειε είζνδνο γηα έλαλ D/A κεηαηξνπέα (Σρήκα. 12.4). Σην παξάδεηγκά καο ε ςεθηαθή έμνδνο ηνπ κεηξεηή ζα αληηπξνζσπεύεη ηνλ αξηζκό ησλ παικώλ ηνπ ξνινγηνύ πνπ απηόο έιαβε από ηε ζηηγκή πνπ είρε ηελ ηηκή κεδέλ θαη ν αξηζκόο απηόο ζα απμάλεη θαηά έλα θάζε θνξά πνπ έλαο λένο παικόο ξνινγηνύ ζα ιακβάλεηαη. Έηζη ε ςεθηαθή έμνδνο αληηπξνζσπεύεη κηα ζεηξά από αξηζκνύο πνπ απμάλνπλ κε ην ρξόλν κε έλα ζηαζεξό ξπζκό (αλ ππνζέζνπκε όηη ε ζπρλόηεηα ηνπ ξνινγηνύ δελ αιιάδεη θαηά ην ρξόλν ηεο ιεηηνπξγίαο ). Ο D/A κεηαηξνπέαο κεηαηξέπεη απηή ηελ ςεθηαθή αθνινπζία ζ' έλα αλαινγηθό ζήκα πνπ είλαη κηα θιηκαθσηή θπκαηνκνξθή πνπ απνηειεί κηα θβαληηζκέλε εθδνρή ηεο γξακκηθήο θιίζεο. Τν λέν θύθισκα θαίλεηαη παξαθάησ (Σρήκα 12.5). Όηαλ ε κεηαηξνπή μεθηλάεη από έλαλ παικό, ν κεηξεηήο έρεη ηελ ηηκή κεδέλ θαη ην ξνιόη αξρίδεη λα ηνλ ηξνθνδνηεί κε παικνύο. Ο κεηξεηήο αξρίδεη λα κεηξάεη θαη ε έμνδνο ηνπ D/A κεηαηξνπέα απμάλεηαη ζηαδηαθά κέρξη λα θηάζεη ηελ ηηκή ηνπ άγλσζηνπ δπλακηθνύ (Ex). Ο ζπγθξηηήο ηόηε απελεξγνπνηεί ην flip-flop, ην νπνίν κε ηε ζεηξά ηνπ απνηξέπεη ηελ πεξαηηέξσ δεκηνπξγία σξνινγηαθώλ παικώλ. Η θαηάζηαζε ηνπ κεηξεηή, ν νπνίνο είλαη έλαο ςεθηαθόο κεηξεηήο ηεο εμόδνπ ηνπ D/A κεηαηξνπέα πνπ εμηζνξξνπεί ηελ ηηκή ηνπ άγλσζηνπ δπλακηθνύ (Ex), παξακέλεη ζηαζεξή κέρξη λα γίλεη ελεξγνπνίεζε ελόο λένπ θύθινπ ιεηηνπξγίαο.

clock reference voltage counter D - A - C Σχήμα 12.4. digitals signals staircaise output Ex. comparator R S Q clock staircase and D - A -C Vref set (start) counter control Σχήμα 12.5. clock in Ex. logic up down reversible counter ½ lsb Vref D - A - C control Σχήμα 12.6. Μηα λέα ςεθηνπνίεζε αξρίδεη, όηαλ ν κεηξεηήο κεδεληζηεί από ην θεληξηθό ζήκα θαη ν ρξόλνο πνπ ρξεηάδεηαη γηα ηελ ςεθηνπνίεζε είλαη άκεζα αλαινγηθόο από ηελ έληαζε ηνπ Ex. Αλ ν κεηαηξνπέαο πξέπεη λα αθνινπζήζεη έλα κεηαβαιιόκελν δπλακηθό εηζόδνπ (Ex), ε ζπλερήο απελεξγνπνίεζή ηνπ θάζε θνξά πνπ θηάλεη ηελ ηηκή εηζόδνπ θαη ε έλαξμε ηεο αλαξξίρεζεο ηεο θιηκαθσηήο θπκαηνκνξθήο από ηελ αξρή είλαη εμαηξεηηθά δπζάξεζηε ζπαηάιε ρξόλνπ. Γεκηνπξγείηαη έηζη έλαο αληαγσληζκόο κεηαμύ ηεο αλάγθεο γηα πην αθξηβή θπθιώκαηα γελλήηξηαο παικώλ θαη γελλήηξηαο γξακκηθήο θιίζεο ζηνλ πξώην κεηαηξνπέα ηάζεο ζε ρξόλν, γηα ηνλ νπνίν πξνεγνπκέλσο κηιήζακε, θαη ηεο αλάγθεο γηα πην αθξηβή δίθηπα δηαθνπηώλ ζηνπο κεηαηξνπείο κε ηε κέζνδν ηεο ςεθηαθήο θιίζεο.

Φξεζηκνπνηείηαη ηόηε έλαο κεηξεηήο κε δπλαηόηεηα αλαζηξνθήο γηα λα ηξνθνδνηήζεη ην D/A κεηαηξνπέα πνπ λα βνεζάεη ην θύθισκα ζηελ αλίρλεπζε ηεο κεηαβνιήο ηεο εηζόδνπ πνιύ πην γξήγνξα. Ο κεηαζρεκαηηζκέλνο απηόο κεηαηξνπέαο θαίλεηαη παξαθάησ (Σρήκα 12.6). Τώξα απαηηνύληαη δπν κεηξεηέο θαη ε έμνδνο ηνπ D/A κεηαηξνπέα αληηζηαζκίδεηαη κε κηα πξόζζεζε ή αθαίξεζε κηζνύ L.S.B. πξηλ ζπγθξηζεί κε ηελ είζνδν. Τν ινγηθό ηκήκα κε ηα ζήκαηα εμόδνπ απνθαζίδεη αλ ν κεηξεηήο πξέπεη λα απμεζεί, λα κεησζεί, ή λα κείλεη ζηάζηκνο. Τώξα δελ είλαη αλαγθαίν γηα ηνλ κεηξεηή λα κεδεληζηεί, αθνύ ν κεηξεηήο ζα απνθηήζεη κία θαηλνύξγηα ηηκή, απμεηηθή ή κεησηηθή, ζε ζρέζε κε ηελ παιηά,αλ ε είζνδνο αιιάμεη. Η αληηζηάζκηζε ηνπ κηζνύ bit ζηελ είζνδν ηνπ ζπγθξηηή καο βεβαηώλεη όηη ρξεηάδεηαη ηνπιάρηζηνλ κηα αιιαγή ηεο εηζόδνπ θαηά κηζό bit γηα λα αιιάμεη θαηάζηαζε ν κεηξεηήο. 12.2.4. Μεηαηξνπέαο Γηπιήο Κιίζεο (Dual Slope Converter) Ο βαζηθόο κεηαηξνπέαο ηάζεο ζε ρξόλν έρεη πξνβιήκαηα αθξίβεηαο ιόγσ ησλ απζηεξώλ πξνδηαγξαθώλ πνπ απαηηνύληαη γηα ην ξνιόη θαη γηα ηε γελλήηξηα δπλακηθνύ ζηαζεξήο θιίζεο. Έλαο ελαιιαθηηθόο ηξόπνο, γηα λα μεπεξάζνπκε απηά ηα πξνβιήκαηα,καο πξνζθέξεηαη από ην κεηαηξνπέα δηπιήο θιίζεο παξόιν πνπ θη απηόο ρξεζηκνπνηεί ην ζηνηρείν ηνπ ρξόλνπ ζηελ κεηαηξνπή, επηλνήζεθε λα ηνλ ρσξίδεη (ην ρξόλν) ζε δπν κέξε έηζη,ώζηε λα θαηαξγνύληαη ηα ζθάικαηα. Καηά ηνλ ίδην ηξόπν θαηαξγνύληαη ηα ζθάικαηα θαη γηα ηε γελλήηξηα δπλακηθνύ ζηαζεξήο θιίζεο. Φξεζηκνπνηνύληαη ηα βαζηθά ζηνηρεία ηεο γξακκηθήο γελλήηξηαο δπλακηθνύ ζηαζεξήο θιίζεο ζπλδπαζκέλα κε ην θύθισκα ελόο νινθιεξσηή (Σρήκα 12.7). Σηελ πξώηε θάζε ηεο ιεηηνπξγίαο ν νινθιεξσηήο (είδνο ηειεζηηθνύ εληζρπηή) ζπλδέεηαη κε ηελ είζνδν κέζσ ηνπ δηαθόπηε S 1. Ο δηαθόπηεο ειέγρεηαη από ην κεηξεηή θαη παξακέλεη θιεηζηόο γηα κεηξήζεηο από 0 σο 2 Ν θαη αλνίγεη ακέζσο κεηά. Αλ ν νινθιεξσηήο έρεη κεδεληζζεί κέζσ ηνπ δηαθόπηε S 2 αθξηβώο πξηλ από ηελ αξρή ηεο ιεηηνπξγίαο, ζα έρεη ηελ ηηκή E x 2 CR f c ζην ηέινο ηεο θάζεο απηήο. Καηά ηε δηάξθεηα ηεο δεύηεξεο θάζεο ε είζνδνο ηνπ νινθιεξσηή ζπλδέεηαη κε έλα δπλακηθό αλαθνξάο Vref κέζσ ηνπ δηαθόπηε S 3. Τν πξόζεκν ηεο Vref είλαη ηέηνην, ώζηε ν νινθιεξσηήο λα θηάλεη λα πάξεη ηελ ηηκή κεδέλ ζηελ έμνδν. Γηα ηελ απελεξγνπνίεζε ηεο ιεηηνπξγίαο θξνληίδεη ν ζπγθξηηήο ν νπνίνο δίλεη έλα ζήκα ειέγρνπ,όηαλ ν νινθιεξσηήο έρεη έμνδν κεδέλ. Πξηλ αξρίζεη απηή ε θάζε ν κεηξεηήο έρεη κεδεληζηεί θαη κεηξάεη σξνινγηαθνύο παικνύο από ηε ζηηγκή πνπ θιείλεη ν δηαθόπηεο S 3. Ο κεηξεηήο ζηακαηάεη κόιηο ε έμνδνο ηνπ ζπγθξηηή αιιάμεη θαηάζηαζε. Απηή ε κέηξεζε αληηπξνζσπεύεη ην ρξόλν πνπ απμήζεθε γηα λα κεδεληζζεί ν νινθιεξσηήο κε έλα ξπζκό Vref/CR. Υπνινγίδνπκε έηζη ηε κέηξεζε πνπ πξέπεη λα είλαη: E x 2 CR fc E x2 count = fc = Vref Vref CR Βιέπνπκε όηη ε κέηξεζε εμαξηάηαη κόλν από ηελ ηηκή ηνπ κεηξεηή (πνπ δύζθνια αιιάδεη) θαη από ην δπλακηθό αλαθνξάο Vref (ην νπνίν παξακέλεη ζηαζεξό ζρεδόλ πάληα). Απηό ην θύθισκα έρεη ην πνιύ κεγάιν πιενλέθηεκα όηη δε ρξεηάδνληαη ειεθηξνληθά ζηνηρεία κεγάιεο αθξίβεηαο (εθηόο από ηελ αλάγθε ζηαζεξήο Vref). Τν βαζηθό κεηνλέθηεκα είλαη ν κεγάινο ρξόλνο πνπ απαηηείηαη γηα ηε κεηαηξνπή κηα πνπ ρξεηάδνληαη δύν νινθιεξώζεηο. Όκσο αλ ν ρξόλνο νινθιήξσζεο ηεο πξώηεο θάζεο είλαη ίζνο κε ηελ πεξίνδν ηεο ηξνθνδνζίαο, ηόηε νπνηνδήπνηε ζήκα ζπρλόηεηαο πνπ ηίζεηαη πάλσ από ηελ ηηκή ηεο Ex ζα νινθιεξσζεί ζηελ ηηκή κεδέλ. Οη κεηαηξνπείο πνπ ρξεζηκνπνηνύλ ηα παξαπάλσ ραξαθηεξηζηηθά νλνκάδνληαη θαη ηύπνπ νινθιεξσηή.

Σχήμα 12.7. 12.2.5. Μεηαηξνπέαο Τξηπιήο Κιίζεο (Triple - Slope Converter) Όπσο θαίλεηαη ζην παξαθάησ ζρήκα (Σρήκα 12.8), έλαο αθόκα ζπγθξηηήο έρεη πξνζηεζεί, ν νπνίνο ειέγρεη πόηε ε έμνδνο ηνπ νινθιεξσηή έρεη γίλεη ζρεδόλ κεδέλ. Σ' απηό ην ζεκείν ε ηάζε αλαθνξάο ζηελ είζνδν δηαηξείηαη κε ην 100 έηζη,ώζηε ε έμνδνο ηνπ νινθιεξσηή λα γίλεη κεδέλ 100 θνξέο πην αξγά. Τελ ίδηα ζηηγκή ν κεηξεηήο επεθηείλεηαη κε ηελ πξόζζεζε δύν αθόκα δεθάδσλ γηα λα πεηύρνπκε κεγαιύηεξε αλάιπζε. Καη' απηό ηνλ ηξόπν είλαη δπλαηό λα πεηύρνπκε πςειή αλάιπζε ρσξίο λα έρνπκε έλα πνιύ κεγάιν ρξόλν κεηαηξνπήο. Σχήμα 12.8. 12.2.6. Σύγρξνλνο Μεηαηξνπέαο Γηπιήο Κιίζεο (Sychronous Dual - Slope Converter). Σ' απηή ηε κέζνδν ην άγλσζην δπλακηθό παξακέλεη πάληα ελσκέλν κε ηνλ νινθιεξσηή, όπσο θαίλεηαη ζην παξαθάησ ζρήκα (Σρήκα 12.9). Ο κεηξεηήο ρξεζηκνπνηείηαη όπσο πξηλ, γηα λα κεηξήζεη ηνπο σξνινγηαθνύο παικνύο από ην 0 σο ην 2Ν. Μόιηο ηειεηώζεη απηή ε θάζε, πξνζηίζεηαη κία πεγή ξεύκαηνο ζηελ είζνδν ηνπ νινθιεξσηή έηζη, ώζηε λα κεδεληζηεί ε έμνδόο ηνπο. Τν ξεύκα απηό απνκαθξύλεηαη, όηαλ ν ζπγθξηηήο δείμεη όηη ν νινθιεξσηήο έθηαζε ζην κεδεληζκό. Η ζπλνιηθή πεξίνδνο ελόο θύθινπ ηνπ κεηξεηή είλαη 2 / fc θαη θαηά ηελ δηάξθεηα ελόο πιήξνπο θύθινπ ην ξεύκα θόξησζεο E x / R ιακβάλεηαη από ηελ είζνδν ηάζεο. Απηή ε θόξηηζε δελ ππεξβαίλεη ην ξεύκα επαλαθόξηηζεο Ι θαηά ηελ δηάξθεηα κηαο κηθξόηεξεο πεξηόδνπ Τr,θαηά ηελ δηάξθεηα ηεο νπνίαο ν κεηξεηήο θαηαρσξεί n = T / f. Έηζη έρνπκε: r c 2 f c E x ni = TrI = R f c

Η ηηκή ηεο f C απαιείθεηαη δίλνληαο n = 2 IR E x. Τειηθά όπσο θαη πξηλ ε έλδεημε ηνπ κεηξεηή, πνπ κεηξάεη από ηε ζηηγκή πνπ πξνζηίζεηαη ε πεγή ξεύκαηνο κέρξη απηή λα απελεξγνπνηεζεί, είλαη ην κέηξν ηνπ άγλσζηνπ δπλακηθνύ ζηελ είζνδν. Τν θύθισκα απηό έρεη ην πιενλέθηεκα ηεο εμάιεηςεο ησλ αλαινγηθώλ δηαθνπηώλ ζηελ είζνδν (είλαη πνιύ πην εύθνιν λα πξνζαξκόζνπκε έλα ξεύκα ζηελ είζνδν ηνπ νινθιεξσηή, όπσο γίλεηαη ζ' απηή ηε κέζνδν, παξά λα ρξεζηκνπνηνύκε δηαθόπηεο ζε ζρεηηθά κηθξά δπλακηθά). Άιια πιενλεθηήκαηα είλαη όηη θαηαξγείηαη ν δηαθόπηεο πνπ ήηαλ εθ παξαιιήινπ κε ηνλ ππθλσηή, όηη ν ρξόλνο ελόο θύθινπ ιεηηνπξγίαο είλαη ζηαζεξόο θαη ηέινο όηη ε ινγηθή ειέγρνπ είλαη αξθεηά απινύζηεξε. Σχήμα 12.9. 12.2.7. Ο Μεηαηξνπέαο Τάζεο Σε Σπρλόηεηα (Voltage - to - Frequency Converter). Σύζηεκα Αλνηθηνύ Βξόγρνπ (Open - Loop System) Σχήμα 12.10. Απηή ε κέζνδνο έρεη θάπνηα νκνηόηεηα κε ηελ πξνεγνύκελε, κηα πνπ θαη ζ' απηή ρξεζηκνπνηείηαη έλαο κεηξεηήο θαη ην ζήκα εηζόδνπ κεηαηξέπεηαη ζ' έλα ζήκα ρξόλνπ. Η κέζνδνο βαζίδεηαη ζηε ρξήζε ελόο κεηαηξνπέα ηάζεο ζε ζπρλόηεηα πνπ ηξνθνδνηεί έλαλ ςεθηαθό κεηξεηή ζπρλόηεηαο. Ο κεηαηξνπέαο ηάζεο ζε ζπρλόηεηα απνηειείηαη από έλαλ νινθιεξσηή θη έλαλ

ζθαλδαιηζηή ν νπνίνο έρεη θαλνληζηεί λα απελεξγνπνηεί ηνλ νινθιεξσηή θάζε θνξά πνπ ε έμνδόο ηνπ (ηνπ νινθιεξσηή) ζα έρεη θηάζεη ζηελ ηηκή -Vo. Ο δηαθόπηεο S ελεξγνπνηείηαη ζηηγκηαία έηζη, ώζηε λα εθθνξηηζηεί ν ππθλσηήο C θαη ν θύθινο επαλαιακβάλεηαη. Η ζπρλόηεηα ελεξγνπνίεζεο ηνπ ζθαλδαιηζηή αληηπξνζσπεύεη κε αθξίβεηα ην δπλακηθό εηζόδνπ. Τν απιό ζύζηεκα πνπ πεξηγξάθεθε παξαπάλσ θαίλεηαη παξαθάησ (Σρήκα 12.10). Τν ζύζηεκα απηό έρεη πνιιά κεηνλεθηήκαηα. Απαηηεί έλα ζηαζεξό δηαθόπηε θαησθιίνπ Vo θαη ζηαζεξά R, C. Δπίζεο ρξεηάδνληαη νινθιεξσηήο θαη δηαθόπηεο πςειήο πνηόηεηαο. Τα πξνβιήκαηα απινπνηνύληαη πνιύ, απηά πνπ ζρεηίδνληαη κε ζέκαηα πςειήο απόδνζεο, κε ηε ρξήζε αλάδξαζεο. 12.2.8. Σύζηεκα θιεηζηνύ βξόγρνπ (Closed - loop system) Τν ηξνπνπνηεκέλν ζύζηεκα θαίλεηαη ζην παξαθάησ ζρήκα (Σρήκα. 12.11). Δδώ ρξεζηκνπνηείηαη έλαο κεηαηξνπέαο ζπρλόηεηαο ζε ηάζε ζην βξόγρν αλάδξαζεο. Αλ ην θέξδνο ηνπ βξόγρνπ είλαη αξθεηό, όπσο θαη ζε θάζε άιιν ζύζηεκα αλάδξαζεο, έηζη θαη ζ' απηό, ηα νιηθά ραξαθηεξηζηηθά βειηηώλνληαη ιόγσ ηνπ δξόκνπ αλάδξαζεο έηζη πνπ ε αθξίβεηα ηνπ θαη' επζείαλ δξόκνπ λα κελ είλαη ηόζν ζεκαληηθή. Σχήμα 12.11. 12.3. Μέζνδνη Γηαδνρηθώλ Πξνζεγγίζεσλ (Succesive Approximation Methods) 12.3.1. Αθνινπζηαθόο Mεηαηξνπέαο (Sequential Converter). Σηνπο κεηαηξνπείο δηαδνρηθώλ πξνζεγγίζεσλ γίλεηαη κηα ζύγθξηζε ηνπ άγλσζηνπ δπλακηθνύ αξρηθά κε ηηκή 1 κόλν ζην πην ζεκαληηθό ςεθίν (M.S.B.) θαη κεηά κε όια ηα bits κέρξη ην ιηγόηεξν ζεκαληηθό. Υπάξρνπλ δύν θαηεγνξίεο κεηαηξνπέσλ πνπ ρξεζηκνπνηνύλ απηή ηε κέζνδν. Σηελ πξώηε θαηεγνξία ε αθνινπζία ειέγρεηαη από ηα ζήκαηα ελόο ξνινγηνύ. Σηελ άιιε θαηεγνξία κηα ζεηξά θπθισκάησλ απόθαζεο ρξεζηκνπνηνύληαη έηζη,ώζηε ην θαζέλα λα μεθηλά ηε ιεηηνπξγία ηνπ,αθνύ ιάβεη ζήκα από ηελ πξνεγνύκελε βαζκίδα. Έλαο ηέηνηνο κεηαηξνπέαο πξώηνπ ηύπνπ θαίλεηαη παξαθάησ (Σρήκα 12.12). Φξεζηκνπνηείηαη αθόκα έλαο D/A κεηαηξνπέαο ζ' έλα βξόγρν αλάδξαζεο έηζη,ώζηε απηόο λα ραξαθηεξίδεη ηε ζπκπεξηθνξά όινπ ηνπ θπθιώκαηνο. Γηα έλαλ κεηαηξνπέα λ εηζόδσλ ε αλαινγηθή έμνδνο ηνπ D/A κεηαηξνπέα είλαη: α1 α 2 α 3 α 4 α ( + + + +... ) V 2 4 8 16 2 όπνπ α 1, α 2,...α είλαη νη ςεθηαθέο είζνδνη. Τo α 1 είλαη ην MSB θαη ην α Ν ην LSB θη όιεο απηέο νη είζνδνη παίξλνπλ ηηκή 1 ή 0. Αξρηθά έλαο παικόο από ην θύθισκα αθνινπζηαθνύ ειέγρνπ εθαξκόδεηαη ζηελ είζνδν α 1 θαη παξάγεη ηελ έμνδν Vref/2, ε νπνία ζπγθξίλεηαη κε ηελ άγλσζηε ηάζε εηζόδνπ Δ Φ κέζσ ηνπ ζπγθξηηή. Η έμνδνο ηνπ ζπγθξηηή πεξλάεη ζηε ινγηθή ειέγρνπ θη εθεί ελεξγνπνηεί έλα flip - flop έηζη,ώζηε αλ Vref/2 < Ex,ην α 1 λα παξακέλεη ζηελ ηηκή 1 θαηά ηελ ππόινηπε δηάξθεηα ηεο αθνινπζηαθήο δηαδηθαζίαο. Αλ ν ζπγθξηηήο δείμεη όηη Vref/2 > Ex, ηόηε ην α 1 γίλεηαη 0. Αθνινύζσο έλαο λένο παικόο εθαξκόδεηαη ζηελ είζνδν α 2 κε παξόκνην ηξόπν θαη παξάγεη κηα έμνδν Vref/4, αλ ην α 1 έρεη γίλεη 0 ή Vref/2 + Vref/4,αλ α 1 είλαη 1. Απηή ε αθνινπζία ζπλερίδεηαη κέρξη θαη νη Ν είζνδνη ηνπ D/A κεηαηξνπέα λα έρνπλ ειεγρζεί. Σην ηέινο ηεο αθνινπζίαο νη ινγηθέο ζηάζκεο εηζόδνπ ηνπ D/A κεηαηξνπέα απνηεινύλ ην ςεθηαθό ηζνδύλακν ηνπ Δ X. Βαζηθή πξνϋπόζεζε ηνπ παξαπάλσ ζπζηήκαηνο είλαη λα παξακέλεη απόιπηα ζηαζεξό ην επίπεδν εηζόδνπ θαζ' όιε ηε δηάξθεηα ηεο αθνινπζίαο. ref

Σχήμα 12.12. 12.3.2. Δλ Σεηξά Μεηαηξνπήο Δλόο Χεθίνπ (Cascaded One - Bit Converter) Σηε κέζνδν πνπ πεξηγξάθηεθε παξαπάλσ έλαο απιόο κεηαηξνπέαο ζπλδπάζηεθε κε έλαλ D/A κεηαηξνπέα ν νπνίνο παξήγαγε Ν εθδνρέο ηεο Vref κε δηαθνξεηηθά βάξε. Φξεζηκνπνηώληαο πεξηζζόηεξνπο ζπγθξηηέο ε αλάγθε Ν βαξώλ εμαιείθεηαη, αιιά έρνπκε ηώξα ην θόζηνο Ν εληζρπηώλ αθξίβεηαο κε θέξδνο 2. Η κεηαηξνπή θαίλεηαη παξαθάησ (Σρήκα 12.13). Τν δπλακηθό εηζόδνπ E X ζπγθξίλεηαη κε ηε Vref. Αλ Ex > Vref,ηόηε ςεθίν α 1 ζα γίλεη 1 θαη νη αλαινγηθνί δηαθόπηεο S 1 θαη S 2 ελεξγνπνηνύληαη έηζη,ώζηε ε ππό κεηαηξνπή είζνδνο ηνπ «x2» εληζρπηή λα ιάβεη κηα είζνδν Vref. Η έμνδνο ηνπ «x2» εληζρπηή ζα είλαη 2(Ex - Vref). Αλ Ex < Vref,ηόηε ε α 1 γίλεηαη 0 θαη νη S 1, S 2 γεηώλνπλ ηελ είζνδν ηνπ «x2» εληζρπηή. Σ' απηή ηελ πεξίπησζε ε έμνδόο ηνπ είλαη 2Ex.Απηή ε έμνδνο ηξνθνδνηεί ηελ επόκελε βαζκίδα, γηα λα παξαρζεί ην ςεθίν α 2,ην ππόινηπν εληζρύεηαη πάιη από ηνλ «x2»εληζρπηή θαη πεγαίλεη ζηελ επόκελε βαζκίδα θ.ν.θ. Η αθξίβεηα ηνπ ζπζηήκαηνο εμαξηάηαη από ηελ αθξίβεηα ησλ «x2» εληζρπηώλ. Η ηαρύηεηα πεξηνξίδεηαη κόλν από ηε δπλαηόηεηα κεηάβαζεο ηνπ ππνινίπνπ από βαζκίδα ζε βαζκίδα. Έλα θύθισκα δεηγκαηνιεςίαο - θξάηεζεο (sample and hold circuit) ρξεζηκνπνηείηαη ζπρλά, γηα λα θξαηήζεη ζηαζεξό ην δπλακηθό εηζόδνπ. Σχήμα 12.13. 12.3.3. Μεηαηξνπέαο Aλαθύθισζεο Eλόο Χεθίνπ (Recycling One - Bit Converter) Αλ πξνζηεζνύλ θη άιια θπθιώκαηα δεηγκαηνιεςίαο θξάηεζεο, ε ςεθηνπνίεζε κπνξεί λα επηηεπρζεί κε κηα απιή βαζκίδα, όπσο δείρλεη ην παξαθάησ ζρήκα (Σρήκα 12.14). Σ' απηή ηελ πεξίπησζε πξέπεη ην ζύζηεκα λα ειέγρεηαη από ξνιόη θη έηζη ην ραξαθηεξηζηηθό ηεο παξάιιειεο εμόδνπ ράλεηαη. Ο δηαθόπηεο S θαηά ηε δηάξθεηα ηεο θάζεο Φ 1 ηξνθνδνηεί κε ηελ Ex θαη ην ςεθίν α 1 εκθαλίδεηαη ζηελ ςεθηαθή έμνδν. Τν ππόινηπν πεξλάεη κέζσ ησλ δπν θπθισκάησλ SH 2 θαη SH 3. Απηό επηηξέπεη λα είλαη ην λέν ππόινηπν ζην SH 2,ελώ ην πξνεγνύκελν ππάξρεη αθόκα ζην SH 3. Καηά ηε δηάξθεηα ηεο θάζεο Φ 2 ην ςεθίν α 1 πεγαίλεη ζηελ έμνδν, γηα λα παξακείλεη εθεί θαηά ηε δηάξθεηα ηεο ππόινηπεο

αθνινπζίαο. Τν ςεθίν α 2 παξάγεηαη θαηά ηε δηάξθεηα ηεο Φ 2, ην α 3 θαηά ηε δηάξθεηα ηεο Φ 3 θ.ιπ. Η ςεθηαθή έμνδνο είλαη έηζη κηα ζεηξά από παικνύο κε ην MSB λα εκθαλίδεηαη πξώην. Αλ ζέινπκε παξάιιειε παξνπζίαζε, ε παικνζεηξά ηξνθνδνηεί έλαλ θαηαρσξεηή νιίζζεζεο. Σχήμα 12.14. 12.3.4. Φξήζε Σπγθξηηώλ Γείσζεο (Use of Virtual Earth Comparators) Τα θπθιώκαηα πνπ ζπδεηήζεθαλ παξαπάλσ ρξεζηκνπνηνύλ ζπγθξηηέο θαη εληζρπηέο κε δπλαηόηεηα εηζόδνπ πνπ θπκαίλεηαη ζε κεγάιν εύξνο. Μηα ελαιιαθηηθή κέζνδνο γηα λα πεηύρνπκε ην ίδην ζύζηεκα ρξεζηκνπνηεί ζπγθξηηέο απιήο εηζόδνπ κε κηα δηακόξθσζε παξόκνηα κε απηήλ ηεο γείσζεο. Αλ ζην παξαθάησ ζρήκα (Σρήκα 12.15) ππνζέζνπκε κηα πςειή αληίζηαζε εηζόδνπ γηα ην ζπγθξηηή, ε ηάζε Vin είλαη: Vin = R2 R R Ex - R1 R R Vref 1 2 1 2 Ο ζπγθξηηήο ζεσξείηαη όηη αιιάδεη θαηάζηαζε,όηαλ Vin=0,άξα κπνξνύκε λα γξάςνπκε όηη: Vout = high, αλ Ex/R 1 < Vref/R 2 Vout = low, αλ Ex/R 1 > Vref/R 2 Έλα πιενλέθηεκα απηήο ηεο κεζόδνπ είλαη όηη κπνξνύκε λα πεηύρνπκε δηαθνξεηηθά βάξε γηα ηε ζύγθξηζε αιιάδνληαο ηα R 1 θαη R 2. Οη ηξεηο πξώηεο βαζκίδεο ηνπ A/D κεηαηξνπέα πνπ ρξεζηκνπνηεί απηό ηνλ ηύπν ζπγθξηηή θαίλνληαη παξαθάησ (Σρήκα 12.16). Η πξώηε βαζκίδα ζπγθξίλεη ην Ex κε ην Vref/2. Η επόκελε ιακβάλεη είζνδν Ex = α 1 Vref/2 θαη ην ζπγθξίλεη κε ην Vref/4 θ.ν.θ. Σχήμα 12.15.

Σχήμα 12.16.