Βοηθητικές Σημειώσεις στη ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Βοηθητικές Σημειώσεις στη ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ"

Transcript

1 Βοηθητικές Σημειώσεις στη ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΠΜΣ στις Τεχνολογίες και Συστήματα Ευρυζωνικών Εφαρμογών και Υπηρεσιών Διδάσκων : Παρασκευάς Κίτσος Επίκουρος Καθηγητής pkitsos@teimes.gr 1

2 Τμήμα των διαλέξεων έχουν χρησιμοποιηθεί από το διδάσκοντα για τη διδασκαλία του μαθήματος Λογική Σχεδίαση στο τμήμα Τηλεπικοινωνιακών Συστημάτων & Δικτύων του Α.Τ.Ε.Ι Μεσολογγίου ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 2

3 Αριθμητικά Συστήματα ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 3

4 ΠΕΡΙΕΧΟΜΕΝΑ Συστήματα αρίθμησης Μετατροπές από το ένα σύστημα στο άλλο Μη προσημασμένοι και προσημασμένοι αριθμοί Συμπλήρωμα Πράξεις με αριθμούς σε συμπλήρωμα ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 4

5 ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΗΣΗΣ-ΕΙΣΑΓΩΓΗ Σε ένα σύστημα αρίθμησης με βάση r οι αριθμοί παριστάνονται με τα ψηφία 0,..., r-1 Παραδείγματα Δεκαδικό με βάση 10 και ψηφία τα 0, 1, 2, 3, 4, 5, 6, 7, 8, 9 Οκταδικό με βάση 8 και ψηφία τα 0, 1, 2, 3, 4, 5, 6, 7 Δυαδικό με βάση 2 και ψηφία τα 0, 1 Ένας αριθμός α n α n-1 α 1 α 0. α -1 α -m με n+1 ψηφία αριστερά της υποδιαστολής και m ψηφία δεξιά της υποδιαστολής ισούται με α n r n +α n-1 r n-1 + +α 1 r 1 +α 0 r 0 +α -1 r α -m r -m ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 5

6 ΔΕΚΑΔΙΚΟ ΚΑΙ ΔΥΑΔΙΚΟ Δεκαδικό: Βάση 10 και ψηφία τα 0, 1, 2, 3, 4, 5, 6, 7, 8, 9 Αναπαράσταση αριθμού a 4 a 3 a 2 a 1 a 0.a -1 a -2 a -3 =a 4 x10 4 +a 3 x10 3 +a 2 x10 2 +a 1 x a 0 x10 0 +a -1 x10-1 +a -2 x10-2 +a -3 x10-3 (8105) 10 =8x x x x10 0 Δυαδικό: Βάση 2 και ψηφία τα 0, 1 Αναπαράσταση αριθμού a 2 a 1 a 0.a -1 a -2 =a 2 x2 2 +a 1 x2 1 +a 0 x2 0 +a -1 x2-1 +a -2 x2-2 ( ) 2 =1x2 3 +1x2 2+ 0x2 1 +1x2 0 +0x2-1 +1x2-2 =(13.25) 10 ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 6

7 ΔΥΑΔΙΚΟΙ ΑΡΙΘΜΟΙ Έστω ο δυαδικός αριθμός Αριστερά της υποδιαστολής Το δεξιότερο ψηφίο αντιπροσωπεύει το ψηφία με το μικρότερο βάρος, 2 0 =1 Προς τα αριστερά διπλασιάζονται τα βάρη Άρα το ακέραιο μέρος είναι ίσο με 13 Δεξιά της υποδιαστολής Το αριστερότερο ψηφίο αντιπροσωπεύει το ψηφία με το μεγαλύτερο βάρος, 2-1 =0,5 Προς τα δεξιά υποδιπλασιάζονται τα βάρη 7 Άρα το δεκαδικό μέρος είναι ίσο με 0,75

8 ΔΕΚΑΕΞΑΔΙΚΟ - ΟΚΤΑΔΙΚΟ Δεκαεξαδικό: Βάση 16 και ψηφία τα 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, Α, Β, C, D, E, F Τα Α, Β, C, D, E, F αντιστοιχούν στους αριθμούς 10, 11, 12, 13, 14, 15 Αναπαράσταση αριθμού a 4 a 3 a 2 a 1 a 0.a -1 a -2 a -3 =a 4 x16 4 +a 3 x16 3 +a 2 x16 2 +a 1 x a 0 x16 0 +a -1 x16-1 +a -2 x16-2 +a -3 x16-3 (1AF) 16 =1x x x16 0 =(431) 10 Οκταδικό: Βάση 8 και ψηφία τα 0, 1, 2, 3, 4, 5, 6, 7 (214) 8 =2x8 2 +1x8 1 +4x8 0 =(140) 10 ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 8

9 ΜΕΤΑΤΡΟΠΗ ΔΕΚΑΔΙΚΟΥ ΣΕ ΔΥΑΔΙΚΟ (1/2) Ο ακέραιος δεκαδικός μετατρέπεται σε δυαδικό με διαδοχικές (ακέραιες) διαιρέσεις με το 2 έως να επιτευχθεί πηλίκο 0 Παράδειγμα: Μετατρέψτε τον δεκαδικό αριθμό 41 σε δυαδικό αριθμό 41 2=20 υπόλοιπο 1 (LSB) 20 2=10 υπόλοιπο = 5 υπόλοιπο 0 5 2= 2 υπόλοιπο 1 2 2= 1 υπόλοιπο 0 1 2= 0 υπόλοιπο 1 (MSB) (101001) 9 2

10 ΜΕΤΑΤΡΟΠΗ ΔΕΚΑΔΙΚΟΥ ΣΕ ΔΥΑΔΙΚΟ (2/2) Όταν ένας δεκαδικός αριθμός έχει κλασματικό μέρος τότε εκτελείται πολλαπλασιασμός με 2 στο κλασματικό μέρος και λαμβάνεται υπόψη το ακέραιο μέρος του αποτελέσματος Παράδειγμα: Μετατρέψτε τον δεκαδικό αριθμό 0,6875 σε δυαδικό αριθμό 0,6875 x 2=1,3750 παίρνουμε 1 0,3750 x 2=0,7500 παίρνουμε 0 0,7500 x 2=1,5000 παίρνουμε 1 0,5000 x 2=1 παίρνουμε 1 Άρα η δυαδική μορφή του αριθμού 0,6875 είναι η 0,1011 ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 10

11 ΜΕΤΑΤΡΟΠΗ ΔΥΑΔΙΚΟΥ ΣΕ ΔΕΚΑΕΞΑΔΙΚΟ Ξεκινώντας από δεξιά προς τα αριστερά ο δυαδικός ομαδοποιείται σε τετράδες. Κάθε τετράδα μετατρέπεται στη δεκαεξαδική μορφή του Π.χ. ο δυαδικός αριθμός ομαδοποιείται στις παρακάτω τετράδες Ο αριθμός 0010 αντιστοιχεί στον 2 Ο αριθμός 0101 αντιστοιχεί στον 5 Ο αριθμός 1110 αντιστοιχεί στον Ε Άρα ο δυαδικός αριθμός μετατρέπεται στον 25Ε στο δεκαεξαδικό ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 11

12 ΜΕΤΑΤΡΟΠΗ ΔΕΚΑΕΞΑΔΙΚΟΥ ΣΕ ΔΥΑΔΙΚΟ Κάθε δεκαεξαδικό ψηφίο αντιστοιχεί με μια τετράδα από δυαδικά ψηφία Π.χ. ο δεκαεξαδικός αριθμός FA40C μετατρέπεται ως εξής F = 1111 A = = = 0000 C = 1100 Άρα ο δεκαεξαδικός αριθμός FA40C μετατρέπεται στον

13 ΜΕΤΑΤΡΟΠΗ ΔΥΑΔΙΚΟΥ ΣΕ ΟΚΤΑΔΙΚΟ ΚΑΙ ΑΝΤΙΣΤΡΟΦΑ Δυαδικό σε Οκταδικό: Ισχύει το ίδιο όπως και στο δεκαεξαδικό ΟΜΩΣ ΌΧΙ με τετράδες δυαδικών ψηφίων ΑΛΛΑ με τριάδες Από δεξιά προς τα αριστερά ομαδοποίηση σε τριάδες ( ) 2 =(256) 8 Οκταδικό σε Δυαδικό: Κάθε οκταδικό ψηφίο αντιστοιχεί με μια τριάδα από δυαδικά ψηφία Π.χ. ο οκταδικός αριθμός 173 γίνεται σε δυαδική μορφή ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 13

14 ΣΥΜΠΛΗΡΩΜΑ (1/2) Το συμπλήρωμα χρησιμοποιείται στους ψηφιακούς υπολογιστές για την απλοποίηση της πράξης της αριθμητικής αφαίρεσης και για τις λογικές πράξεις Αριθμητική αφαίρεση: Α Β = Α + (-Β) Για την εύρεση του B απαιτείται το συμπλήρωμα Σε κάθε σύστημα αρίθμησης με βάση r υπάρχουν δύο συμπληρώματα Το συμπλήρωμα ως προς βάση r Το συμπλήρωμα ως προς ελαττωμένη βάση r-1 ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 14

15 ΣΥΜΠΛΗΡΩΜΑ (2/2) Έστω σύστημα με βάση r και n ψηφία, τότε τα συμπληρώματα ενός αριθμού Ν είναι τα παρακάτω Συμπλήρωμα ως προς ελαττωμένη βάση: A = (r n 1) N Άρα, ο αριθμός N και το συμπλήρωμά του έχουν άθροισμα ίσο με r n 1 Συμπλήρωμα ως προς βάση: B = r n N (για Ν 0) Για Ν=0, το συμπλήρωμα είναι το ίδιο το 0 Άρα, ο αριθμός Ν και το συμπλήρωμά του έχουν άθροισμα ίσο με r n Συνεπώς, το συμπλήρωμα ως προς βάση ενός αριθμού N είναι ίσο με το συμπλήρωμα ως προς ελαττωμένη βάση + 1 ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 15

16 ΣΥΠΛΗΡΩΜΑΤΑ ΣΤΟ ΔΥΑΔΙΚΟ ΣΥΣΤΗΜΑ Για τους δυαδικούς αριθμούς ισχύει, r=2 και r-1=1 Άρα το συμπλήρωμα ως προς 1 (ελαττωμένη βάση) του N είναι (2 n -1)-N O 2 n παριστάνεται από έναν δυαδικό αριθμό που αποτελείται από ένα 1 ακολουθούμενο από τόσα μηδενικά όσα ο n O 2 n -1 είναι ένας δυαδικός αριθμός που αποτελείται από n μονάδες Π.χ. αν n=4 τότε 2 4 =(10000) 2 και 2 4-1=(1111) 2 Άρα το συμπλήρωμα ως προς 1 προκύπτει αν αφαιρέσουμε το κάθε ψηφίο του αριθμού από το 1 Όμως 1-0=1 και 1-1=0 Οπότε το ψηφίο αλλάζει από 0 σε 1 ή από 1 σε 0 Οπότε το συμπλήρωμα ως προς 1 ενός δυαδικού αριθμού προκύπτει αν αλλάξουμε τα 1 σε 0 και τα 0 σε 1 Το συμπλήρωμα ως προς 2 προκύπτει από το συμπλήρωμα ως προς 1 με πρόσθεση του 1 16

17 ΠΑΡΑΔΕΙΓΜΑ Έστω ο αριθμός (1001) 2 =9 10 Συμπλήρωμα ως προς 1 (ελαττωμένη βάση) (0110) 2 =6 10 Έχουν άθροισμα (1111) 2 =15 10, δηλαδή Συμπλήρωμα ως προς 2 (βάση) (0111) 2 =7 10 Έχουν άθροισμα (10000) 2 =16 10 δηλαδή 2 4 ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 17

18 ΚΑΝΟΝΕΣ ΕΥΡΕΣΗΣ ΣΥΜΠΛΗΡΩΜΑΤΩΝ Το συμπλήρωμα ως προς 1 ενός δυαδικού αριθμού το υπολογίζουμε Αντιστρέφοντας κάθε ο με 1 και 1 με κλπ Έχουν άθροισμα (n bit) δηλαδή 2 n -1 To συμπλήρωμα ως προς 2 ενός δυαδικού αριθμού το υπολογίζουμε Ξεκινώντας από το δεξί άκρο του αριθμού και κινούμενοι προς τα αριστερά, αφήνουμε όλα τα 0 και το πρώτο 1 αμετάβλητα Στη συνέχεια αντιστρέφουμε όλα τα bit μέχρι το τέλος Έχουν άθροισμα ίσο με (n+1 bit) δηλαδή 2 n Το συμπλήρωμα ως προς 2 προκύπτει από το συμπλήρωμα ως προς 1 με 18 πρόσθεση του 1

19 ΜΗ-ΠΡΟΣΗΜΑΣΜΕΝΟΙ ΑΡΙΘΜΟΙ Μέχρι τώρα κάθε δυαδικός αριθμός των n-bit είχε θετικές τιμές ή μηδέν Ένας δυαδικός αριθμός των n-bit που είναι μηπροσημασμένος (unsigned number) μπορεί να πάρει τιμές από 0 10 =(00..00) 2 μέχρι (2 n - 1) 10 =(11..11) 2 Π.χ για n=2 00=0 01=1 10=2 11=3 ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 19

20 ΠΡΟΣΗΜΑΣΜΕΝΟΙ ΑΡΙΘΜΟΙ Πώς μπορούμε να παραστήσουμε τους αρνητικούς αριθμούς; Δηλαδή πως είναι δυνατόν μια σειρά από δυαδικά ψηφία να ερμηνευτεί σαν προσημασμένος αριθμός (signed number); Υπάρχουν 2 αναπαραστάσεις Πρόσημο και μέγεθος (sign and magnitude) ή προσημασμένο μέγεθος (signed-magnitude) Αναπαραστάσεις συμπληρώματος (complement) Προσημασμένου συμπληρώματος ως προς 1 (1 s complement) Προσημασμένου συμπληρώματος ως προς 2 (2 s complement) (αυτή χρησιμοποιείται κυρίως) Και στις τρεις το αριστερότερο bit είναι το πρόσημο 0 σημαίνει θετικός αριθμός 1 σημαίνει αρνητικός αριθμός 20

21 ΠΡΟΣΗΜΑΣΜΕΝΟ ΜΕΓΕΘΟΣ Η ΣΥΜΠΛΗΡΩΜΑ? Πως υλοποιούνται οι πράξεις της πρόσθεσης και της αφαίρεσης μεταξύ αριθμών προσημασμένου μεγέθους? Για να υπολογιστεί το αντίθετο ενός αριθμού Προσημασμένο μέγεθος Αλλάζει το bit προσήμου Αναπαραστάσεις συμπληρώματος Παίρνουμε το συμπλήρωμα του αριθμού Στις αναπαραστάσεις συμπληρώματος Είναι πιο δύσκολη η διαδικασία υπολογισμού του αντιθέτου Πιο εύκολη όμως η υλοποίηση των πράξεων πρόσθεσης και αφαίρεσης 21

22 ΠΡΟΣΗΜΑΣΜΕΝΟΙ ΑΡΙΘΜΟΙ ΤΩΝ 4-BIT Οι θετικοί αριθμοί είναι ίδιοι και στις τρεις αναπαραστάσεις Υπάρχει +0 και -0 στο συμπλήρωμα ως προς 1 και στο πρόσημο/μέγεθος Στο συμπλήρωμα ως προς 2 υπάρχει ένας παραπάνω αρνητικός από ότι θετικοί. Δεν έχει 22 αντίστοιχο θετικό

23 ΠΑΡΑΔΕΙΓΜΑ Ποιος είναι ο αριθμός (10101) 2 ; Είναι προσημασμένος ή μη-προσημασμένος; Αν είναι προσημασμένος, σε ποια αναπαράσταση από τις τρεις; Έστω ότι είναι προσημασμένος σε συμπλήρωμα ως προς 1 Τότε είναι αρνητικός αφού έχει αριστερότερο ψηφίο 1 Το συμπλήρωμά του ως προς 1 (δηλαδή ο αντίθετός του) είναι ο (01010) 2 δηλαδή Άρα ο αρχικός αριθμός είναι το Έστω τώρα ότι είναι σε συμπλήρωμα ως προς 2 Επίσης είναι αρνητικός αφού έχει αριστερότερο ψηφίο 1 Το συμπλήρωμά του ως προς 2 (αντίθετος) είναι ο (01011) 2 δηλαδή ο Άρα ο αρχικός αριθμός είναι το

24 ΠΕΡΙΟΧΕΣ ΑΚΕΡΑΙΩΝ Σύστημα αναπαράστασης προσημασμένων αριθμών Περιοχή ακεραίων Προσημασμένου μεγέθους -(2 n-1-1)<=a<=2 n <=A<= Συμπλήρωμα ως προς 1 -(2 n-1-1)<=a<=2 n <=A<= Συμπλήρωμα ως προς 2-2 n-1 <=A<=2 n <=A<= ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 24

25 ΠΡΟΣΘΕΣΗ ΣΕ ΣΥΜΠΛΗΡΩΜΑ ΩΣ Παρατηρείστε ότι ΠΡΟΣ 2 Κάθε αριθμός σε συμπλήρωμα ως προς 2 παράγεται με την πρόσθεση του 1 στον προηγούμενο, αγνοώντας τυχόν κρατούμενα πέραν της n-στής θέσης bit (για σύστημα με n δυαδικά ψηφία) Για να προσθέσουμε δύο αριθμούς σε συμπλήρωμα ως προς 2 Εκτελούμε δυαδική πρόσθεση Αγνοούμε κάθε κρατούμενο πέραν του MSB Π.χ. 25

26 ΥΠΕΡΧΕΙΛΙΣΗ Υπερχείλιση (overflow): Αν μια πράξη πρόσθεσης δώσει αποτέλεσμα που υπερβαίνει το πεδίο τιμών Πότε μπορεί να συμβεί; Όταν προσθέτουμε ομόσημους αριθμούς ή αφαιρούμε ετερόσημους Πότε δεν μπορεί να συμβεί; Όταν προσθέτουμε ετερόσημους ή αφαιρούμε ομόσημους δεν μπορεί να εμφανιστεί υπερχείλιση ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 26

27 ΑΝΑΓΝΩΡΙΣΗ ΥΠΕΡΧΕΙΛΙΣΗΣ (1/2) Στους μη-προσημασμένους αριθμούς η υπερχείλιση αναγνωρίζεται με την εμφάνιση κρατουμένου Παράδειγμα: σε μη-προσημασμένους των 4 bit Το κρατούμενο που δημιουργήθηκε δείχνει ότι υπάρχει υπερχείλιση Το σωστό αποτέλεσμα της πράξης είναι το 17, που όμως δεν χωράει σε 4 bit Με 4 bit μπορούμε να παραστήσουμε μηπροσημασμένους αριθμούς από το 0 μέχρι και το 15 ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 27

28 ΑΝΑΓΝΩΡΙΣΗ ΥΠΕΡΧΕΙΛΙΣΗΣ (2/2) Στους προσημασμένους αριθμούς σε συμπλήρωμα η εμφάνιση κρατουμένου δεν σχετίζεται με την υπερχείλιση Κανόνας: Μια πρόσθεση εμφανίζει υπερχείλιση όταν τα πρόσημα των προσθετέων είναι ίδια και το πρόσημο του αθροίσματος διαφορετικό Παραδείγματα (σε συμπλήρωμα ως προς 2) ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 28

29 ΑΦΑΙΡΕΣΗ ΣΕ ΣΥΜΠΛΗΡΩΜΑ ΩΣ ΠΡΟΣ 2 (1/2) Αντί να γίνει αφαίρεση γίνεται πρόσθεση με το συμπλήρωμα Οι αρνητικοί αριθμοί παριστάνονται με συμπληρώματα Να γίνει η αφαίρεση X Y Υπολογίζεται το συμπλήρωμα ως προς 2 του Υ Προστίθεται το Χ με το συμπλήρωμα ως προς 2 του Υ Π.χ. αφαίρεση του Χ=7 (0111) με το Υ=3 (0011) Συμπλήρωμα ως προς 2 του Υ : 1101 Χ συν συμπλήρωμα του Υ : = Το 5 ο bit στις πράξεις συμπληρώματος ως προς 2 αγνοείται ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 29

30 ΑΦΑΙΡΕΣΗ ΣΕ ΣΥΜΠΛΗΡΩΜΑ ΩΣ Υλοποίηση ΠΡΟΣ 2 (2/2) Υπολογίζουμε το συμπλήρωμα ως προς 1 του Υ Προσθέτουμε Χ + συμπλήρωμα ως προς 1 του Υ + 1 (αρχικό κρατούμενο Cin =1) Παραδείγματα: 30

31 ΔΥΑΔΙΚΑ ΚΩΔΙΚΟΠΟΙΗΜΕΝΟΙ ΔΕΚΑΔΙΚΟΙ ΑΡΙΘΜΟΙ (BCD) (1/2) Binary Coded Decimal (BCD): Δυαδικά κωδικοποιημένοι δεκαδικοί αριθμοί Κάθε ψηφίο του κώδικα BCD παριστάνει ένα δεκαδικό ψηφίο, δηλαδή από το 0 μέχρι το , 0001, 0010, 0011, 0100, 0101, 0110, 0111, 1000, 1001 Οι υπόλοιποι 6 συνδυασμοί τεσσάρων δυαδικών ψηφίων είναι άκυροι για τον κώδικα BCD, δηλαδή δεν πρέπει να εμφανίζονται ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 31

32 ΔΥΑΔΙΚΑ ΚΩΔΙΚΟΠΟΙΗΜΕΝΟΙ ΔΕΚΑΔΙΚΟΙ ΑΡΙΘΜΟΙ (BCD) (2/2) Πώς παριστάνονται οι δεκαδικοί αριθμοί πολλών ψηφίων στον BCD; = ( ) BCD = (1010) = ( ) BCD Απαιτούνται περισσότερα bits απ ότι στο δυαδικό σύστημα Π.χ. ο δεκαδικός είναι ( ) BCD (12 bit) ( ) 2 (8 bit) ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 32

33 ΠΡΟΣΘΕΣΗ BCD ; BCD διόρθ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 33

34 Ο κώδικας GRAY είναι ο οικονομικότερος από ενεργειακή άποψη, αφού η αλλαγή από τον ένα συνδυασμό στον αμέσως επόμενο γίνεται με τη μεταβολή ενός μόνο bit Ο ΚΩΔΙΚΑΣ GRAY ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 34

35 Ο ΚΩΔΙΚΑΣ ASCII American Standard Code for Information Interchange Απεικόνιση χαρακτήρων και συμβόλων εσωτερικά σε έναν υπολογιστή Πρέπει να είναι πρότυπος κώδικας ώστε να υπάρχει συμβατότητα μεταξύ διαφορετικών υπολογιστικών συστημάτων Είναι κώδικας των 7 bit αλλά επειδή οι υπολογιστές χειρίζονται byte (8 bit) το 8 ο bit χρησιμοποιείται για να δείξει άλλους χαρακτήρες πέρα από τα γράμματα τους αριθμούς και τα σημεία στίξης Πλέον σήμερα όλοι οι υπολογιστές χρησιμοποιούν τον κώδικα χαρακτήρων Unicode 16 bit κώδικας Περιλαμβάνει όλα τα γνωστά αλφάβητα ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 35

36 ΚΩΔΙΚΑΣ ΙΣΟΤΙΜΙΑΣ Κώδικας Ισοτιμίας (Parity code): Σε μια λέξη των k bit προστίθεται άλλο ένα bit που ονομάζεται bit ισοτιμίας (parity bit) Άρτια ισοτιμία (even parity) σημαίνει ότι τα k+1 bit έχουν συνολικά άρτιο πλήθος άσσων Περιττή ισοτιμία (odd parity) σημαίνει ότι τα k+1 bit έχουν συνολικά περιττό πλήθος άσσων Παράδειγμα (λέξη των 7 bit) με bit άρτιας ισοτιμίας γίνεται με bit περιττής ισοτιμίας γίνεται ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 36

37 ΚΑΤΑΧΩΡΗΤΕΣ-ΔΥΑΔΙΚΗ ΑΠΟΘΗΚΕΥΣΗ Δυαδικό κύτταρο ή κυψελλίδα (binary cell) Όποια συσκευή έχει 2 καταστάσεις και μπορεί να αποθηκεύσει ένα δυαδικό ψηφίο, δηλαδή 0 ή 1 Καταχωρητής (register) Μια ομάδα n δυαδικών κυττάρων που αποθηκεύει n bit ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 37

38 Άλγεβρα Boole και Λογικές Πύλες ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 38

39 ΠΕΡΙΕΧΟΜΕΝΑ Δυαδική λογική Βασικοί ορισμοί Άλγεβρα Boole (θεωρήματα και ιδιότητες) Λογικές συναρτήσεις Ελαχιστόροι και μεγιστόροι Επιπλέον λογικές πράξεις ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 39

40 ΔΥΑΔΙΚΗ ΛΟΓΙΚΗ Λογική με δύο διακριτές τιμές (μεταβλητές) Την 0 και την 1 ή Λογική στάθμη 0 και τη λογική στάθμη 1 ή Ψευδές (false) και Αληθές (true) ή Ανοικτός και κλειστός διακόπτης Κύρια στοιχεία της δυαδικής λογικής είναι οι δυαδικές μεταβλητές και οι λογικές πράξεις Οι βασικές λογικές πράξεις είναι η AND (ΚΑΙ), η OR (Ή) και η NOT (ΌΧΙ) ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 40

41 ΒΑΣΙΚΕΣ ΛΟΓΙΚΕΣ ΠΡΑΞΕΙΣ Λογικό ΚΑΙ (AND) Συμβολίζεται με τελεία δηλαδή x y Δίνει αποτέλεσμα 1 μόνο όταν και το x και το y είναι ίσα με 1. Διαφορετικά το αποτέλεσμα του λογικού ΚΑΙ είναι ίσο με 0. Λογικό Ή (OR) Συμβολίζεται με + δηλαδή x + y Δίνει αποτέλεσμα 1 όταν τουλάχιστον ένα από τα x,y είναι ίσο με 1. Διαφορετικά το αποτέλεσμα του λογικού Ή είναι ίσο με 0. Λογικό ΟΧΙ (NOT) Συμβολίζεται με τόνο (x ) ή με πάνω παύλα x Όταν το x είναι ίσο με 1 τότε το x είναι ίσο με 0 και αντίστροφα ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 41

42 ΠΙΝΑΚΕΣ ΑΛΗΘΕΙΑΣ Είναι ο τρόπος αναπαράστασης και ορισμού των λογικών συναρτήσεων Για κάθε συνδυασμό δυαδικών τιμών των μεταβλητών ποια είναι η τιμή (0 ή 1) της λογικής συνάρτησης Πίνακες αληθείας των 3 συναρτήσεων AND, OR και NOT x y x. y x y x + y x x ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 42

43 ΒΑΣΙΚΟΙ ΟΡΙΣΜΟΙ (1/3) Γενικοί ορισμοί: Σύνολο (set) είναι κάθε συλλογή αντικειμένων που έχουν μία κοινή ιδιότητα x S : το αντικείμενο x είναι μέρος του συνόλου S Ένας δυαδικός τελεστής (binary operator) ορισμένος σε ένα σύνολο S είναι ένας κανόνας που αντιστοιχίζει σε κάθε ζεύγος στοιχείων του S ένα μοναδικό στοιχείο από το S ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 43

44 ΒΑΣΙΚΟΙ ΟΡΙΣΜΟΙ (2/3) Αντιμεταθετικότητα: Ένας δυαδικός τελεστής * στο σύνολο S είναι αντιμεταθετικός όταν: x*y = y*x για όλα x,y S Ύπαρξη ουδέτερου στοιχείου: Ένα σύνολο S έχει ουδέτερο στοιχείο ως προς ένα τελεστή * ένα υπάρχει στοιχείο e με την ιδιότητα: e*x = x*e =x για κάθε x S (Στο σύνολο των ακεραίων Ι={,-3,-2,-1,0,1,2,3, } ουδέτερο στοιχείο είναι το 0 ως προς την πράξη της πρόσθεσης) ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 44

45 ΒΑΣΙΚΟΙ ΟΡΙΣΜΟΙ (3/3) Αντίστροφο: Ένα σύνολο S που έχει το ουδέτερο στοιχείο e ως προς ένα δυαδικό τελεστή * λέμε ότι έχει αντίστροφο όταν για κάθε x S υπάρχει y S τέτοιο ώστε : x * y = e (Στο σύνολο των ακεραίων με τον τελεστή της πρόσθεσης και ουδέτερο το e=0 αντίστροφο του α είναι το (-α) Επιμεριστικότητα: Εάν * και. είναι δύο δυαδικοί τελεστές στο S ο * λέγεται ότι είναι επιμεριστικός ως προς τον. όταν: x * (y.z) = (x*y). (x*z) 45

46 ΑΛΓΕΒΡΑ BOOLE (1/3) Η άλγεβρα Boole είναι μία αλγεβρική δομή ορισμένη πάνω σε ένα σύνολο στοιχείων Β με δύο δυαδικούς τελεστές + και. όπου ικανοποιούνται τα παρακάτω αξιώματα: Κλειστή ως προς τον + Κλειστή ως προς τον. Ύπαρξη ουδετέρου ως προς +, συμβ. με 0: (x+0 = 0+x = x) Ύπαρξη ουδετέρου ως προς. συμβ με 1: (x.1 = 1.x = x) Αντιμεταθετική ως προς + : x + y = y + x Αντιμεταθετική ως προς. : x. y = y. x ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 46

47 ΑΛΓΕΒΡΑ BOOLE (2/3) O. είναι επιμεριστικός ως προς +: x.(y+z) = (x.y) + (x.z) Ο + είναι επιμεριστικός ως προς.: x+(y.z) = (x+y). (x+z) Για κάθε στοιχείο x B υπάρχει x B που ονομάζεται συμπλήρωμα ώστε: x + x = 1, x. x = 0 Υπάρχουν δύο τουλάχιστον x, y B που να είναι x y ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 47

48 ΑΛΓΕΒΡΑ BOOLE (3/3) Διαφορές από τη συνηθισμένη άλγεβρα (πεδίο των πραγματικών αριθμών) Ο επιμεριστικός νόμος του + ως προς τον. ισχύει για την άλγεβρα Boole αλλά όχι για τη συνηθισμένη άλγεβρα Η άλγεβρα Βοοle δεν έχει προσθετικά ή πολλαπλασιαστικά αντίστροφα : επομένως δεν υπάρχουν πράξεις αφαίρεσης ή διαίρεσης To συμπλήρωμα δεν υπάρχει στη συνηθισμένη άλγεβρα Η συνηθισμένη άλγεβρα ασχολείται με τους πραγματικούς αριθμούς, που αποτελούν ένα απειροσύνολο. Η άλγεβρα Boole ασχολείται με ένα σύνολο στοιχείων B που δεν είναι απειροσύνολο αλλά έχει μόνο δύο στοιχεία, τα 0 και 1 ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 48

49 ΔΥΤΙΜΗ ΑΛΓΕΒΡΑ BOOLE (1/3) Ορίζεται πάνω στο σύνολο Β = { 0, 1 } Αξίωμα 2 (a) x+0 = x (b) x.1 = x (ουδέτερο) Αξίωμα 5 (a) x+x = 1 (b) x.x = 0 (αντίστροφο) Αξίωμα 3 (a) x + y = y + x (b) x.y = y.x (αντιμεταθετικότητα) Αξίωμα 4 (a) x.(y+z) = x.y + x.z (b) x + y.z = (x+y).(x+z) (επιμεριστικότητα) Θεώρημα 1(a): x + x = x Απόδειξη: x + x = (x+x). 1 Αξίωμα 2b = (x+x). (x+x ) Αξίωμα 5α = x + x.x Αξίωμα 4b = x + 0 Αξίωμα 5b = x Αξίωμα 2a ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 49

50 ΔΥΤΙΜΗ ΑΛΓΕΒΡΑ BOOLE (2/3) Θεώρημα 1(β): x. x = x Θεώρημα 2(α): x + 1 = 1 Απόδειξη: x + 1 = 1. (x+1) αξίωμα 2(β) Θεώρημα 2(β): x. 0 = 0 = (x + x ). (x+1) αξίωμα 5(α) = x + x. 1 4(β) = x + x 2(β) = 1 5(α) ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 50

51 ΔΥΤΙΜΗ ΑΛΓΕΒΡΑ BOOLE (3/3) Θεώρημα 3: (x ) = x Θεώρημα 4: x + (y+z) = (x + y) + z x(yz) = (xy)z Θεώρημα 5 (Νόμος De Morgan) Θεώρημα 6 (απορρόφηση) (x + y) = x. y (xy) = x + y x + xy = x x.(x+y) = x ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 51

52 ΠΡΟΤΕΡΑΙΟΤΗΤΑ ΤΕΛΕΣΤΩΝ Για τον υπολογισμό της τιμής μιας αλγεβρικής παράστασης Boole ακολουθούμε την εξής σειρά προτεραιότητας Παρενθέσεις Συμπλήρωμα (πράξη NOT) Πράξη AND Πράξη OR Π.χ. x+yz : Εκτελείται με την εξής σειρά πράξεων Συμπλήρωμα του z (δηλαδή z ) Λογικό ΚΑΙ του y και του z (δηλαδή yz ) Λογικό Ή του x και του yz (δηλαδή x+yz ) ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 52

53 ΣΥΝΑΡΤΗΣΕΙΣ BOOLE (1/2) Μία συνάρτηση Boole είναι μία έκφραση που σχηματίζεται από δυαδικές μεταβλητές, τους δυαδικούς τελεστές (ΚΑΙ, Η, ΌΧΙ), παρενθέσεις και ένα ίσον. Π.χ. F = x y z Διαφορετικά, μία συνάρτηση Boole ορίζεται με ένα πίνακα αλήθειας. Π.χ. x y z F F = x y z + x yz + xy z + xy z = x z(y+y ) + xy (z+z )=x z+xy 53

54 ΣΥΝΑΡΤΗΣΕΙΣ BOOLE (2/2) Περιγράφονται από αλγεβρικές εκφράσεις που περιέχουν: Δυαδικές μεταβλητές (που παίρνουν τιμές 0 ή 1) Λογικές πράξεις NOT ( ), AND ( ), OR ( + ) Υπολογισμός της τιμής μιας λογικής συνάρτησης: Παράδειγμα F1 = x + y z : Η F1 είναι ίση με 1 εάν το x είναι ίσο με 1 ή εάν το y είναι ίσο με 1 και το z είναι ίσο με 1 Από την αλγεβρική έκφραση προκύπτει μονοσήμαντα μια υλοποίηση της συνάρτησης με λογικές πύλες : Υλοποίηση της F1 με μια πύλη ΝΟΤ (αντιστροφέας), με μία πύλη AND, μία πύλη OR ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 54

55 ΠΙΝΑΚΕΣ ΑΛΗΘΕΙΑΣ Ο πίνακας αληθείας μπορεί να εξαχθεί από την αλγεβρική έκφραση μιας συνάρτησης Και αντίστροφα, από τον πίνακα αληθείας μπορεί να εξαχθεί η αλγεβρική παράσταση Πίνακες αληθείας των συναρτήσεων F1 και F2 φαίνεται παρακάτω ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 55

56 Η ΣΥΝΑΡΤΗΣΗ F 2 Από τον πίνακα αληθείας της F2 παράγεται η αλγεβρική έκφραση, F2 = x y z + x y z + x y Η υλοποίησή της με λογικές πύλες είναι η ακόλουθη: ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 56

57 ΑΠΛΟΠΟΙΗΣΗ ΤΗΣ ΣΥΝΑΡΤΗΣΗ F 2 Η F2 μπορεί να απλοποιηθεί F2 = x y z + x y z + x y = x z (y + y) + x y = x z + x y Και η νέα υλοποίηση με λογικές πύλες είναι η παρακάτω Η απλοποιημένη αλγεβρική έκφραση οδήγησε σε υλοποίηση με λιγότερες και απλούστερες πύλες Από 4 πύλες και 2 αντιστροφείς πήγαμε στις 3 πύλες και 2 αντιστροφείς Η απλοποίηση λογικών κυκλωμάτων οδηγεί σε κυκλώματα Μικρότερα, ταχύτερα, φθηνότερα και με χαμηλότερη κατανάλωση ενέργειας 57

58 ΣΥΜΠΛΗΡΩΜΑ ΣΥΝΑΡΤΗΣΗΣ Μια λογική συνάρτηση F έχει μια συμπληρωματική συνάρτηση F ή συμπλήρωμα της F η οποία δίνει τιμή 0 εκεί που η F δίνει 1 και αντίστροφα Αλγεβρικά το συμπλήρωμα παράγεται από την F με χρήση του θεωρήματος του DeMorgan Γενίκευση θεωρήματος DeMorgan (Α + Β + C + D + + F) = A B C D F (A B C D F) = A + B + C + D + + F ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 58

59 ΠΑΡΑΔΕΙΓΜΑ Π.χ. Βρείτε το συμπλήρωμα της F 1 = x yz +x y z F1 = (x yz +x y z) = (x yz ) (x y z) = (x+y +z)(x+y+z ) ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 59

60 ΕΛΑΧΙΣΤΟΡΟΙ ΚΑΙ ΜΕΓΙΣΤΟΡΟΙ Έστω μία συνάρτηση με n μεταβλητές και κάθε γινόμενο (πράξη AND) που περιέχει όλες τις n μεταβλητές είτε στην κανονική είτε στην συμπληρωμένη μορφή τους, τότε ονομάζεται πρότυπο γινόμενο ή ελαχιστόρος (minterm) Συνολικά υπάρχουν 2 n ελαχιστόροι Π.χ. για n=2 μεταβλητές x και y, οι ελαχιστόροι είναι x y, x y, xy και xy Για n=3 μεταβλητές x, y και z, οι ελαχιστόροι είναι x y z, x y z, x yz, x yz, xy z, xy z, xyz, και xyz Οι ελαχιστόροι συμβολίζονται με m j, όπου το j προκύπτει από τις μεταβλητές, βάζοντας 0 όταν έχει τόνο η μεταβλητή και 1 όταν δεν έχει Όμοια, ορίζονται τα πρότυπα αθροίσματα ή μεγιστόροι (maxterms) σαν αθροίσματα (πράξη OR) που περιέχουν όλες τις μεταβλητές Οι μεγιστόροι συμβολίζονται με M j, όπου το j προκύπτει από τις μεταβλητές, βάζοντας 1 όταν έχει τόνο η μεταβλητή και 0 όταν δεν έχει 60

61 ΕΛΑΧΙΣΤΟΡΟΙ ΚΑΙ ΜΕΓΙΣΤΟΡΟΙ 3 ΜΕΤΑΒΛΗΤΩΝ Κάθε ελαχιστόρος είναι το συμπλήρωμα του αντίστοιχου μεγιστόρου και αντίστροφα

62 ΚΑΝΟΝΙΚΕΣ ΜΟΡΦΕΣ Έστω οι συναρτήσεις f 1 και f 2 Κάθε συνάρτηση εκφράζεται σαν άθροισμα ελαχιστόρων ή γινόμενο μεγιστόρων Κανονικές Μορφές (Canonical Forms) f1 = x y z+xy z +xyz = m 1 +m 4 +m 7 f2 = x yz+xy z+xyz +xyz = m 3 +m 5 +m 6 +m 7 f1 = (f1 ) = (x y z +x yz +x yz+xy z+xyz ) = (x+y+z)(x+y +z)(x+y +z )(x +y+z )(x +y +z) = M 0 M 2 M 3 M 5 M 6 f2 = (x+y+z)(x+y+z )(x+y +z)(x +y+z) = M 0 M 1 M 2 M 4 ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 62

63 ΑΘΡΟΙΣΜΑ ΕΛΑΧΙΣΤΟΡΩΝ Nα εκφραστεί η συνάρτηση F = A + B C σαν άθροισμα ελαχιστόρων A + B C = A (Β+Β ) + B C = AB + AB + B C = AB(C+C ) + AB (C+C ) + B C = = ABC + ABC + AB C + AB C + B C = = ABC + ABC + AB C + AB C + B C(A+A ) = = ABC + ABC + AB C + AB C + A B C + AB C = = m6+m7+m4+m5+m1 =m1+m4+m5+m6+m7 Παριστάνουμε μια συνάρτηση σαν άθροισμα ελαχιστόρων ως: F(A,B,C) = Σ(1,4,5,6,7) 63

64 ΓΙΝΟΜΕΝΟ ΜΕΓΙΣΤΟΡΩΝ Συμβολίζεται για συντομία F(x,y,z) = Π(0,2,3) = Μ 0 Μ 2 Μ 3 Χρησιμοποιείται λιγότερο συχνά από το άθροισμα ελαχιστόρων ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 64

65 ΜΕΤΑΤΡΟΠΗ ΜΕΤΑΞΥ ΚΑΝΟΝΙΚΩΝ ΜΟΡΦΩΝ Κανόνας m j = M j ή m j = M j Π.χ. F(A,B,C) = Σ(1,4,5,6,7) και F (A,B,C) = Σ(0,2,3) = m0 + m2 + m3 Άρα: F = (F ) = (m 0 + m 2 + m 3 ) = m 0 m 2 m 3 = M 0 M 2 M 3 = Π(0,2,3) ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 65

66 ΠΡΟΤΥΠΕΣ ΜΟΡΦΕΣ Άθροισμα γινομένων (sum of products) είναι η λογική έκφραση που περιέχει όρους ΚΑΙ, που ονομάζονται γινόμενα (product terms) με έναν ή περισσότερους παράγοντες το καθένα Το άθροισμα υποδηλώνει την πράξη Η επί αυτών των όρων Γινόμενο αθροισμάτων (product of sums) είναι η λογική έκφραση που περιέχει όρους Η, που ονομάζονται αθροίσματα (sum terms) Το γινόμενο υποδηλώνει την πράξη KAI αυτών των όρων ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 66

67 ΑΛΛΕΣ ΛΟΓΙΚΕΣ ΠΡΑΞΕΙΣ Πόσες λογικές συναρτήσεις των 2 μεταβλητών υπάρχουν; Γενικά, για n μεταβλητές υπάρχουν συναρτήσεις λογικές Κάποιες λογικές συναρτήσεις αντιστοιχούν σε καινούργιες λογικές πύλες: xy +x y = x y -- Αποκλειστικό Ή (XOR) (x+y) = x y -- ΟΥΤΕ = ΌΧΙ Η (NOR) (xy) = x y -- ΟΧΙ ΚΑΙ (NAND) n 2 2 ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 67

68 ΨΗΦΙΑΚΕΣ ΛΟΓΙΚΕΣ ΠΥΛΕΣ (1/2) ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 68

69 ΨΗΦΙΑΚΕΣ ΛΟΓΙΚΕΣ ΠΥΛΕΣ (2/2) ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 69

70 ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟΔΩΝ Η πράξη NOR δεν είναι προσεταιριστική z ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 70

71 ΠΥΛΗ NOR (OYTE) KAI ΠΥΛΗ NAND (OXI ΚΑΙ) ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 71

72 ΠΥΛΗ XOR (ΑΠΟΚΛΕΙΣΤΙΚΟ Η ) Δύο και τριών εισόδων Γενικά για n εισόδους: δίνει έξοδο 1 αν περιττό πλήθος εισόδων είναι ίσες με 1, αλλιώς δίνει 0 ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 72

73 ΘΕΤΙΚΗ ΚΑΙ ΑΡΝΗΤΙΚΗ ΛΟΓΙΚΗ Είναι ισοδύναμες Είναι θέμα μετάφρασης (αντιστοίχισης) του επιπέδου τάσης (υψηλό και χαμηλό) σε λογικά σήματα 0 και 1 ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 73

74 Ελαχιστοποίηση σε Επίπεδο Πυλών ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 74

75 ΠΕΡΙΕΧΟΜΕΝΑ Τρόποι ελαχιστοποίησης Με άλγεβρα boole Με χάρτες Karnaugh Χάρτες Karnaugh πολλών μεταβλητών Απλοποίηση γινομένου αθροισμάτων Υλοποιήσεις με πύλες NAND και NOR ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 75

76 ΕΛΑΧΙΣΤΟΠΟΙΗΣΗ ΚΑΙ ΒΕΛΤΙΣΤΟΠΟΙΗΣΗ (1/2) Κάθε λογική συνάρτηση που πρόκειται να υλοποιηθεί με πύλες (σε υλικό) πρέπει να ελαχιστοποιηθεί Δηλαδή να εκφραστεί σε μια αλγεβρική μορφή που να περιέχει όσον το δυνατόν λιγότερους όρους αθροίσματος Κάθε όρος να αποτελείται από τις λιγότερες δυνατές μεταβλητές Με την ελαχιστοποίηση, τα λογικά κυκλώματα που παράγονται είναι Μικρότερα δηλαδή φθηνότερα και ταχύτερα και καταναλώνουν λιγότερη ενέργεια ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 76

77 ΕΛΑΧΙΣΤΟΠΟΙΗΣΗ ΚΑΙ ΒΕΛΤΙΣΤΟΠΟΙΗΣΗ (2/2) Η πολυπλοκότητα των ψηφιακών λογικών πυλών που υλοποιούν μια λογική συνάρτηση είναι άμεσα συνδεδεμένη με τη πολυπλοκότητα της αλγεβρικής μορφής της συνάρτησης Η απεικόνιση μια συνάρτησης με πίνακα αλήθειας είναι μοναδική Αλλά η αλγεβρική απεικόνιση μπορεί να έχει πολλές μορφές Η ελαχιστοποίηση και η βελτιστοποίηση μπορεί να γίνει είτε με άλγεβρα Boole Ή με χάρτες Karnaugh 77

78 ΧΑΡΤΕΣ KARNAUGH H μέθοδος αυτή χρησιμοποιεί μια σχηματική μορφή του πίνακα αλήθειας της συνάρτησης Το διάγραμμα του χάρτη σχηματίζεται από τετράγωνα που το καθένα αντιπροσωπεύει έναν ελαχιστόρο της συνάρτησης Οι εκφράσεις που προκύπτουν από τον χάρτη είναι σε μορφή αθροίσματος γινομένων ή γινόμενο αθροισμάτων Σκοπός είναι να βρεθεί η πιο απλή αλγεβρική έκφραση που να περιέχει τον μικρότερο αριθμό όρων και τις λιγότερες δυνατές μεταβλητές σε κάθε όρο Από την απλούστερη έκφραση παράγεται ένα λογικό κύκλωμα με τον ελάχιστο αριθμό πυλών και τον ελάχιστο αριθμό εισόδων σε κάθε πυλή ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 78

79 ΧΑΡΤΗΣ ΔΥΟ ΜΕΤΑΒΛΗΤΩΝ Χάρτης Karnaugh συνάρτησης δύο μεταβλητών x και y Κάθε γραμμή και στήλη αντιστοιχεί σε συγκεκριμένη τιμή κάποιας μεταβλητής Οι θέσεις των 4 ελαχιστόρων (2 2 ) φαίνονται στο σχήμα Τα 0 και 1 που σημειώνονται σε κάθε γραμμή και στήλη προσδιορίζουν τις τιμές των μεταβλητών που οι ελαχιστόροι παίρνουν τιμή 1 ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 79

80 ΠΑΡΑΣΤΑΣΗ ΣΥΝΑΡΤΗΣΕΩΝ Οι δύο συναρτήσεις που φαίνονται παρακάτω αποτελούνται από έναν και τρεις ελαχιστόρους αντίστοιχα Σημειώνουμε έναν «άσσο» (1) στα τετράγωνα που αντιστοιχούν στους ελαχιστόρους που η συνάρτηση είναι ίση με 1 Αριστερά είναι η συνάρτηση AND και δεξιά η συνάρτηση OR ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 80

81 ΧΑΡΤΗΣ ΤΡΙΩΝ ΜΕΤΑΒΛΗΤΩΝ Μία μεταβλητή αντιστοιχεί στις γραμμές και δύο μεταβλητές στις στήλες Η αρίθμηση των γραμμών και στηλών είναι με βάση τον κώδικα Gray Οι θέσεις των 8 ελαχιστόρων (2 3 ) φαίνονται στο σχήμα yz ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 81

82 ΠΑΡΑΔΕΙΓΜΑ 1 Έστω η συνάρτηση F(x,y,z) = Σ(2,3,4,5) = x y + xy Στόχος της μεθόδου είναι να γίνουν οι λιγότερες σε πλήθος και μεγαλύτερες σε μέγεθος (πλήθος τετραγώνων) ομαδοποιήσεις γειτονικών τετραγώνων Γειτονικά είναι τα τετράγωνα μόνο κατακόρυφα και οριζόντια, αλλά όχι διαγώνια Για να βρούμε σε ποιον όρο (γινόμενο μεταβλητών) αντιστοιχεί μια ομαδοποίηση βλέπουμε ποιες μεταβλητές παραμένουν σταθερές και αυτές μόνο χρησιμοποιούμε είτε στη κανονική είτε στη συμπληρωματική μορφή 82

83 ΠΑΡΑΔΕΙΓΜΑ 2 Έστω η συνάρτηση F(x,y,z) = Σ(3,4,6,7) Γειτονικά τετράγωνα ορίζονται και στα άκρα του χάρτη H συνάρτηση F(x,y,z) = Σ(3,4,6,7) έχει εξίσωση τη F(x,y,z) = yz + xz ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 83

84 ΓΕΙΤΟΝΙΚΟΤΗΤΑ ΤΕΤΡΑΓΩΝΩΝ Για λόγους συμβατότητας με τη "γεωμετρική" γειτονικότητα, ο χάρτης Karnaugh θεωρείται ότι αναδιπλώνεται είτε οριζοντίως είτε κατακορύφως (βλέπε σχήμα), ειδικότερα έχει σχήμα «σαμπρέλας» αυτοκινήτου ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 84

85 ΠΑΡΑΔΕΙΓΜΑ 3 (1/2) Έστω η συνάρτηση F(x,y,z) = Σ(0,2,4,5,6) Έστω ότι ο πίνακας αλήθειας της παραπάνω συνάρτησης σχηματίζει τον παρακάτω πίνακα Karnaugh Τετράδα γειτονικών τετραγώνων στις τέσσερις γωνίες Γενικά οι «ομάδες» γειτονικών τετραγώνων μπορούν να αποτελούνται από 2, 4, 8, 16,... τετράγωνα Δηλαδή τις δυνάμεις του 2, γιατί μόνο έτσι απλοποιούνται μεταβλητές 85

86 ΠΑΡΑΔΕΙΓΜΑ 3 (2/2) Η τετράδα των γωνιακών είναι ο όρος z Μόνο η z μένει σταθερή (και ίση με 0) Το ζεύγος που απομένει είναι ο όρος xy Άρα είναι η συνάρτηση F(x,y,z) = Σ(0,2,4,5,6) = z + xy ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 86

87 ΕΞΑΛΕΙΨΗ ΜΕΤΑΒΛΗΤΩΝ Ερώτημα: Πόσες μεταβλητές εξαλείφονται όταν επιλέγουμε ομάδες τετραγώνων?? Όταν σχηματίζεται 2-άδα γειτονικών τετραγώνων τότε φεύγει μία μεταβλητή Π.χ. σαν το: xy zw + xyzw = xzw Όταν σχηματίζεται 4-άδα γειτονικών τετραγώνων τότε φεύγουν δύο μεταβλητές Π.χ. σαν το: xyzw + xyzw + xyz w + xyz w = xy Όταν σχηματίζεται 8-άδα γειτονικών τετραγώνων τότε φεύγουν τρεις μεταβλητές Π.χ. σαν το: x y z w + x y zw + x yz w + x yzw +xy z w + xy zw + xyz w + xyzw = w Άρα, όσο μεγαλύτερες ομάδες κάνουμε τόσο λιγότερες μεταβλητές μας μένουν ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 87

88 ΠΟΤΕ ΣΤΑΜΑΤΑΕΙ Η ΔΙΑΔΙΚΑΣΙΑ?? Όταν «καλύψουμε» όλους τους άσσους (ελαχιστόρους) του χάρτη Δεν πρέπει να αφήσουμε κανέναν άσσο γιατί τότε η συνάρτηση δεν θα σχεδιαστεί σωστά Ένας ελαχιστόρος μπορεί να συμμετέχει σε όσες ομάδες θέλουμε για να βοηθήσει να «μεγαλώσουν» οι ομάδες Αυτό δεν επιδρά στη τελική συνάρτηση ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 88

89 ΧΑΡΤΗΣ ΤΕΣΣΑΡΩΝ ΜΕΤΑΒΛΗΤΩΝ Αριθμούμε τις γραμμές και τις στήλες με κώδικα Gray Σύνολο ελαχιστόρων ίσο με 16 89

90 ΠΑΡΑΔΕΙΓΜΑ 1 Έστω η συνάρτηση F(w,x,y,z) = Σ(0,1,2,4,5,6,8,9,12,13,14) Ο πίνακας αλήθειας σχηματίζει τον παρακάτω χάρτη Karnaugh Σχηματίζεται μια 8άδα (απαλοιφή τριών μεταβλητών) και δύο 4άδες (απαλοιφή δύο μεταβλητών ανά 4άδα) Η συνάρτηση που προκύπτει είναι η F = y +w z +xz ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 90 1

91 ΠΑΡΑΔΕΙΓΜΑ 2 Να απλοποιηθεί η παρακάτω συνάρτηση F(Α,B,C,D) = A B C +B CD +A BCD +AB C Σχηματίζονται δύο 4άδες και μία 2άδα Η συνάρτηση που προκύπτει είναι η F = Β D + B C + A CD ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 91

92 ΑΠΛΟΠΟΙΗΣΗ ΓΙΝΟΜΕΝΟΥ ΑΘΡΟΙΣΜΑΤΩΝ Να απλοποιηθεί η συνάρτηση F(A,B,C,D) = Σ(0,1,2,5,8,9,10) σε μορφή (α) αθροίσματος γινομένων και (β) γινομένου αθροισμάτων Αν ομαδοποιήσουμε τα 1 τότε απλοποιούμε την F σε άθροισμα γινομένων F = B D + B C + A C D Αν ομαδοποιήσουμε τα 0 τότε είναι σαν να απλοποιούμε την αντίστροφη της F, F σαν άθροισμα γινομένων και με εφαρμογή του DeMorgan είναι η F σε γινόμενο αθροισμάτων F = AB+CD+BD =>F= (A +B )(C +D )(B +D) ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 92

93 ΥΛΟΠΟΙΗΣΗ ΣΕ ΠΥΛΕΣ H F σε άθροισμα γινομένων η F σε γινόμενο αθροισμάτων 93

94 ΣΥΝΑΡΤΗΣΗ ΜΕ ΓΙΝΟΜΕΝΑ ΜΕΓΙΣΤΟΡΩΝ Έστω η συνάρτηση F(x,y,z) = Π(0,2,5,7) (είναι ίδια με τη Σ(1,3,4,6) ) Η εργασία γίνεται με ακριβώς τον ίδιο τρόπο Από τον πίνακα αλήθειας προκύπτει ο χάρτης Και επίσης προκύπτει η συνάρτηση F = x z + xz (με τους άσσους) Ή η συνάρτηση F = xz + x z => F = (x +z )(x+z) (με τα μηδενικά) 94

95 ΣΥΝΘΗΚΕΣ ΑΔΙΑΦΟΡΟΥ ΤΙΜΗΣ Σε έναν πίνακα αλήθειας, σε κάποιους συνδυασμούς των μεταβλητών εισόδων μπορεί να μην είναι καθορισμένη η τιμή της συνάρτησης Αδιάφορες τιμές ή όροι (Don t cares) Πρέπει να χρησιμοποιούνται μόνο εάν πρόκειται να βοηθήσουν (μεγαλώσουν) σε κάποιες ομαδοποιήσεις Αλλά δεν είναι υποχρεωτικό να χρησιμοποιούνται σε ομαδοποιήσεις Μπορεί να χρησιμοποιηθεί όσες φορές απαιτείται ένας αδιάφορος όρος Όταν χρησιμοποιείται ένας αδιάφορος όρος είναι σαν να παίρνει τιμή 1 (σε αθροίσματα ελαχιστόρων) Όταν δεν χρησιμοποιείται έχει τιμή 0 ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 95

96 ΠΑΡΑΔΕΙΓΜΑ Να απλοποιηθεί η συνάρτηση F(w,x,y,z)=Σ(1,3,7,11,15) που έχει ελαχιστόρους αδιάφορης τιμής d(w,x,y,z)=σ(0,2,5) Υπάρχουν δύο λύσεις. Δηλαδή δύο πιθανές συναρτήσεις Η F=yz+w x και η F=yz+w z ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 96

97 ΧΡΗΣΗ ΤΗΣ ΠΥΛΗΣ NAND (ΟΧΙ-ΚΑΙ) Οι πύλες NAND και NOR ονομάζονται οικουμενικές Γιατί κάθε λογική συνάρτηση μπορεί να υλοποιηθεί μόνο με πύλη NAND ή πύλη NOR Λογικές πράξεις με πύλες NAND ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 97

98 ΣΥΜΒΟΛΑ ΤΗΣ NAND H NAND μπορεί να έχει δύο σύμβολα KAI-αντιστροφή Αντιστροφή-Ή Που είναι ισοδύναμα λόγω του θεωρήματος DeMorgan ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 98

99 ΥΛΟΠΟΙΗΣΗ ΔΥΟ ΕΠΙΠΕΔΩΝ NAND-NAND Τρεις ισοδύναμοι τρόποι υλοποίηση της συνάρτησης F=AB+CD (άθροισμα γινομένων) ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 99

100 ΠΑΡΑΔΕΙΓΜΑ ΧΡΗΣΗΣ ΜΟΝΟ NAND Υλοποίηση της συνάρτησης F(x,y,z) = Σ(1,2,3,4,5,7) αποκλειστικά με πύλες NAND Αρχικά σχηματίζουμε το χάρτη και εξάγουμε την συνάρτηση α β ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 100 γ

101 ΚΥΚΛΩΜΑΤΑ NAND ΠΟΛΛΑΠΛΩΝ ΕΠΙΠΕΔΩΝ: ΠΑΡΑΔΕΙΓΜΑ 1 Υλοποίηση της συνάρτησης F = A(CD+B)+BC ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 101

102 ΚΥΚΛΩΜΑΤΑ NAND ΠΟΛΛΑΠΛΩΝ ΕΠΙΠΕΔΩΝ: ΠΑΡΑΔΕΙΓΜΑ 2 Υλοποίηση της συνάρτησης F=(AB +A B)(C+D ) 102

103 ΧΡΗΣΗ ΤΗΣ ΠΥΛΗΣ NOR (ΟΥΤΕ) Λογικές λειτουργίες με πύλες NOR ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 103

104 ΣΥΜΒΟΛΑ ΤΗΣ NOR H NOR μπορεί να έχει δύο σύμβολα Ή-αντιστροφή Αντιστροφή-ΚΑΙ Που είναι ισοδύναμα λόγω του θεωρήματος DeMorgan ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 104

105 ΥΛΟΠΟΙΗΣΗ ΜΕ ΠΥΛΗ NOR Υλοποίηση της συνάρτησης F = (Α+Β)(C+D)E ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 105

106 ΠΥΛΗ EXCLUSIVE-OR (XOR) (ΑΠΟΚΛΕΙΣΤΙΚΟ Ή) Υπάρχουν δύο υλοποιήσεις της πύλης XOR ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 106

107 ΧΑΡΤΗΣ XOR ME ΤΡΕΙΣ ΜΕΤΑΒΛΗΤΕΣ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 107

108 ΓΕΝΝΗΤΡΙΑ ΚΑΙ ΕΛΕΓΚΤΗΣ ΙΣΟΤΙΜΙΑΣ Γεννήτρια ισοτιμίας (parity generator) Των 3 bit Άρτια ισοτιμία Ελεγκτής ισοτιμίας (parity checker) Των 4 bit Άρτια ισοτιμία ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 108

109 Συνδυαστική Λογική ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 109

110 ΠΕΡΙΕΧΟΜΕΝΑ Ψηφιακά κυκλώματα Συνδυαστικά κυκλώματα Διαδικασία ανάλυσης και σχεδιασμού Δυαδικός αθροιστής αφαιρέτης Δεκαδικός αθροιστής Δυαδικός πολλαπλασιαστής Συγκριτής Αποκωδικοποιητές και κωδικοποιητές Πολυπλέκτες ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 110

111 ΕΙΣΑΓΩΓΗ: ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ Τα ψηφιακά κυκλώματα μπορεί να είναι είτε ακολουθιακά είτε συνδυαστικά Τα ακολουθιακά χρησιμοποιούν στοιχεία μνήμης σε συνδυασμό με λογικές πύλες Οι έξοδοί τους είναι συνάρτηση των εισόδων και της κατάστασης των στοιχείων μνήμης Η κατάσταση των στοιχείων μνήμης είναι συνάρτηση των προηγούμενων εισόδων Τα συνδυαστικά κυκλώματα αποτελούνται από πύλες και οι έξοδοί τους καθορίζονται από τις παρούσες συνδυασμούς εισόδων Εκτελούν λειτουργίες οι οποίες προσδιορίζονται από ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 111 συναρτήσεις boole

112 ΣΥΝΔΥΑΣΤΙΚΑ ΚΥΚΛΩΜΑΤΑ Ένα συνδυαστικό κύκλωμα περιγράφεται από μεταβλητές εισόδων, λογικές πύλες και μεταβλητές εξόδων Οι λογικές πύλες δέχονται σήματα από τις εισόδους και παράγουν σήματα στις εξόδους Η διαδικασία αυτή μετατρέπει τα δεδομένα εισόδου στα απαι τούμενα δεδομένα εξόδου Ονομάζεται συνδυαστικό, διότι ο συνδυασμός των τιμών των εισόδων περιγράφει μόνος του τη λειτουργία του κυκλώματος ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 112

113 ΔΙΑΔΙΚΑΣΙΑ ΑΝΑΛΥΣΗΣ Η διαδικασία ανάλυσης ενός συνδυαστικού κυκλώματος είναι ουσιαστικά ο προσδιορισμός των συναρτήσεων που υλοποιεί Βήματα διαδικασίας ανάλυσης Βεβαιωνόμαστε ότι το κύκλωμα είναι συνδυαστικό και όχι ακολουθιακό (Πως?) Δεν έχει κυκλώματα ανάδρασης και στοιχεία μνήμης Ονομάζουμε τις εξόδους των πυλών (ότι σύμβολο ή όνομα θέλουμε) που εξαρτώνται μόνο από τις εισόδους Βρίσκουμε τις συναρτήσεις των εξόδων αυτών των πυλών Στη συνέχεια, ονομάζουμε τις εξόδους των πυλών που είναι συναρτήσεις των προηγουμένων πυλών και των εισόδων με νέα σύμβολα/ονόματα Βρίσκουμε τις συναρτήσεις και αυτών των πυλών Επαναλαμβάνουμε το προηγούμενο βήμα μέχρι να οδηγηθούμε στις εξόδους του κυκλώματος Αντικαθιστούμε διαδοχικά τις λογικές συναρτήσεις και υπολογίζουμε τις λογικές συναρτήσεις των εξόδων σαν συνάρτηση των μεταβλητών εισόδου 113

114 ΠΑΡΑΔΕΙΓΜΑ ΑΝΑΛΥΣΗΣ Τ 2 =ΑΒC F 1 =T 3 +T 2 Τ 1 =Α+Β+C Τ 3 =F 2 T 1 F 2 =ΑΒ+AC+BC 114

115 ΠΙΝΑΚΑΣ ΑΛΗΘΕΙΑΣ ΠΑΡΑΔΕΙΓΜΑΤΟΣ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 115

116 ΔΙΑΔΙΚΑΣΙΑ ΣΧΕΔΙΑΣΜΟΥ Δεδομένης μιας προδιαγραφής (specification) να παραχθεί λογικό κύκλωμα που να την ικανοποιεί Βήματα σχεδίασης Από τις προδιαγραφές καθορίζουμε το πλήθος εισόδων και εξόδων και τους δίνουμε ονόματα (αυθαίρετα ή περιγραφικά) Εξάγουμε τον πίνακα αληθείας που καθορίζει τη σχέση εισόδου/εξόδου Αναφερόμαστε σε συνδυαστικά κυκλώματα Βρίσκουμε τις εξόδους ως λογικές συναρτήσεις των εισόδων Τις απλοποιούμε αν απαιτείται Κατασκευάζουμε το λογικό διάγραμμα Επαληθεύουμε την ορθότητα της σχεδίασης του διαγράμματος ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 116

117 ΠΑΡΑΔΕΙΓΜΑ ΣΧΕΔΙΑΣΗΣ Κύκλωμα μετατροπής από το κώδικα BCD (4-bit) σε κώδικα Excess-3 (υπέρβαση κατά 3) Από τον ορισμό των δύο κωδικών σχηματίζουμε τον πίνακα αληθείας «Λείπουν» 6 γραμμές που είναι οι αδιάφοροι όροι του BCD 117

118 ΧΑΡΤΕΣ KARNAUGH ΤΩΝ ΤΕΣΣΑΡΩΝ ΣΥΝΑΡΤΗΣΕΩΝ ΕΞΟΔΟΥ Οι έξι αδιάφοροι όροι (από το 10 έως το 15) τοποθετούνται στα ίδια σημεία των τεσσάρων χαρτών

119 ΟΙ ΛΟΓΙΚΕΣ ΣΥΝΑΡΤΗΣΕΙΣ Μετά την απλοποίηση με τους χάρτες Karnaugh προκύπτουν οι τέσσερις συναρτήσεις για τις εξόδους του κυκλώματος z=d y=cd+c D =CD+(C+D) x=b C+B D+BC D =B (C+D)+BC D =B (C+D)+B(C+D) w=a+bc+bd=a+b(c+d) ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 119

120 ΤΟ ΛΟΓΙΚΟ ΚΥΚΛΩΜΑ 120

121 ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΑΘΡΟΙΣΤΕΣ: ΗΜΙΑΘΡΟΙΣΤΕΣ Ο ημιαθροιστής προσθέτει 2-bit Έχει τον παρακάτω πίνακα αλήθειας Καθώς και δύο πιθανές υλοποιήσεις Με 2 επίπεδα AND-OR ή με χρήση XOR και AND 121

122 ΠΛΗΡΗΣ ΑΘΡΟΙΣΤΗΣ Ο πλήρης αθροιστής προσθέτει 3-bit το οποίο τρίτο είναι το κρατούμενο εισόδου Ο πίνακας αλήθειας φαίνεται παρακάτω ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 122

123 ΧΑΡΤΕΣ KARNAUGH ΓΙΑ ΤΙΣ ΕΞΟΔΟΥΣ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 123

124 ΥΛΟΠΟΙΗΣΗ ΤΩΝ ΕΞΙΣΩΣΕΩΝ Σε μορφή αθροίσματος γινομένων (AND-OR) ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 124

125 ΣΥΝΗΘΙΣΜΕΝΗ ΥΛΟΠΟΙΗΣΗ Ένας πλήρης αθροιστής υλοποιείται και με 2 ημιαθροιστές και μια πύλη OR ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 125

126 ΠΡΟΣΘΕΣΗ ΔΙΑΔΙΚΩΝ ΤΩΝ k-βιτ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 126

127 ΣΧΕΔΙΑΣΜΟΣ ΚΥΚΛΩΜΑΤΟΣ ΑΘΡΟΙΣΤΗ k-βιτ Αν k=4, τότε ένας αθροιστής είναι ένα κύκλωμα με 9 εισόδους 4 εισόδους για τον πρώτο προσθετέο, 4 εισόδους για το δεύτερο και 1 κρατούμενο εισόδου και 5 εξόδους: 4 εξόδους για το άθροισμα και το κρατούμενο εξόδου Για να το υλοποιήσουμε πρέπει να απλοποιήσουμε (με χάρτη Karnaugh ή αλλιώς) συναρτήσεις των 9 εισόδων Κάθε συνάρτηση έχει 2 9 =512 ελαχιστόρους Αρκετά μεγάλος αριθμός ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 127

128 ΣΧΕΔΙΑΣΜΟΣ ΜΕ ΧΡΗΣΗ ΤΥΠΙΚΟΥ ΚΥΤΤΑΡΟΥ ΚΥΚΛΩΜΑΤΟΣ Το τυπικό (ή βασικό) κύτταρο είναι ο πλήρης αθροιστής του 1-bit Δυαδικός αθροιστής κυμάτωσης κρατουμένου (ripple carry adder) των 4-bit ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 128

129 ΔΙΑΔΟΣΗ ΚΡΑΤΟΥΜΕΝΟΥ O αθροιστής κυμάτωσης (ή ριπής) που είδαμε για να υπολογίσει κάθε bit του αθροίσματος πρέπει να είναι γνωστό το κρατούμενο της προηγούμενης βαθμίδας Υπάρχει μεγάλη καθυστέρηση διάδοσης (propagation delay) Κρίσιμο μονοπάτι: Το μονοπάτι με τη μεγαλύτερη καθυστέρηση διάδοσης Είναι δυνατόν να υπάρχουν διαθέσιμα όλα τα bit κρατουμένου ταυτόχρονα; Αυτό προσπαθεί να επιτύχει η επόμενη σχεδίαση αθροιστή που ονομάζεται «Αθροιστής Πρόβλεψης Κρατουμένου» ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 129

130 ΔΙΑΔΟΣΗ ΚΡΑΤΟΥΜΕΝΟΥ Α 4 Β 4 Α 3 Β 3 Α 2 Β 2 Α 1 Β 1 C 5 C 4 C 3 C 2 C 1 S 4 S 3 S 2 S 1 Μέγιστη καθυστέρηση: από το C 1 στο C 5. Ίση με 2x4+1=9 καθυστερήσεις πύλης ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 130

131 ΑΘΡΟΙΣΤΗΣ ΠΡΟΒΛΕΨΗΣ ΚΡΑΤΟΥΜΕΝΟΥ (1/5) Ορίζουμε δύο νέα σήματα Το σήμα διάδοσης (P i -propagation) και το σήμα γέννησης (G i - generation) κρατουμένου P i =A i B i G i =A i B i Τότε ισχύουν οι σχέσεις για το άθροισμα (S i ) και το κρατούμενο (C i+1 ) S i =P i C i και C i+1 =G i + P i C i 131

132 ΑΘΡΟΙΣΤΗΣ ΠΡΟΒΛΕΨΗΣ ΚΡΑΤΟΥΜΕΝΟΥ (2/5) Το σήμα γέννησης (G i - generation) είναι ίσο με 1 όταν μόνα τους τα bit x i, y i μιας βαθμίδας γεννούν κρατούμενο εξόδου ότι τιμή κι αν έχει το κρατούμενο εισόδου Αυτό ισχύει μόνο όταν τα x i, y i είναι και τα δύο ίσα με 1 Δηλαδή ότι κι αν έρθει σαν κρατούμενο εισόδου, το κρατούμενο εξόδου θα είναι ίσο με 1 Το σήμα διάδοσης (P i - propagation) είναι ίσο με 1 όταν τα bit x i, y i μιας βαθμίδας διαδίδουν το κρατούμενο εισόδου σαν κρατούμενο εξόδου Αυτό ισχύει όταν ένα από τα x i, y i είναι ίσο με 1 Οπότε ότι κρατούμενο έρθει στην είσοδο θα διαδοθεί το ίδιο στην έξοδο Όταν και τα δύο x i, y i είναι ίσα με 0, τότε δεν έχουμε ούτε γέννηση ούτε διάδοση Και υποχρεωτικά το κρατούμενο εξόδου είναι ίσο με 0

133 ΑΘΡΟΙΣΤΗΣ ΠΡΟΒΛΕΨΗΣ ΚΡΑΤΟΥΜΕΝΟΥ (3/5) Το κρατούμενο εισόδου σε έναν αθροιστή είναι το C0 C1 = G0 + P0 C0 C2 = G1+P1C1=G1+P1(G0+P0C0)=G1+P1G0+P1P0C0 C3 = G2+P2C2=... = G2+P2G1+P2P1G0+P2P1P0C0 C4=...= G3+ P3G2+ P3P2G1+P3P2P1G0+P3P2P1P0C0 C5=...= G4+ P4G3+ P4P3G2+ P4P3P2G1+P4P3P2P1G0+P4P3P2P1P0C Σχόλια Όλα τα G και P παράγονται μόνο από τα αντίστοιχα x και y Όλα τα C επάνω παράγονται από δύο επίπεδα (AND + OR) Οπότε, όλα τα κρατούμενα μπορούν να παραχθούν παράλληλα Δεν υπάρχει σειριακή εξάρτηση 133

134 ΑΘΡΟΙΣΤΗΣ ΠΡΟΒΛΕΨΗΣ ΚΡΑΤΟΥΜΕΝΟΥ (4/5) Γεννήτρια πρόβλεψης κρατουμένων (Carry Lookahead Generator) Παράγονται όλα τα κρατούμενα ταυτόχρονα με δύο επίπεδα πυλών (AND και OR)

135 ΑΘΡΟΙΣΤΗΣ ΠΡΟΒΛΕΨΗΣ ΚΡΑΤΟΥΜΕΝΟΥ (5/5) Η γεννήτρια πρόβλεψης κρατουμένου είναι από τη προηγούμενη διαφάνεια Παράλληλη λειτουργία

136 ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΑΦΑΙΡΕΤΕΣ: ΗΜΙΑΦΑΙΡΕΤΕΣ (1/2) Ο ημιαφαιρέτης αφαιρεί δύο bit Αν x>=y υπάρχουν τρεις περιπτώσεις 0-0=0, 1-0=1 και 1-1=0 Αν x<y θα πρέπει να δανειστούμε ένα κρατούμενο από την επόμενη βαθμίδα και πραγματοποιείτε η πράξη 10-1=1 Ο ημιαφαιρέτης έχει δύο εξόδους Τη Διαφορά (Difference-D) και το Δανεικό (Borrow-B) που τυχόν δανείζεται από την επόμενη βαθμίδα ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 136

137 ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΑΦΑΙΡΕΤΕΣ: ΗΜΙΑΦΑΙΡΕΤΕΣ (2/2) Ο πίνακας αλήθειας του ημιαφαιρέτη φαίνεται παρακάτω Οι εξισώσεις που προκύπτουν είναι D=x y και Β=x y 137

138 ΠΛΗΡΗΣ ΑΦΑΙΡΕΤΗΣ Ο πλήρης αφαιρέτης σχηματίζει τη διαφορά δύο ψηφίων λαμβάνοντας υπόψη και το προηγούμενο κρατούμενο B n-1 O πίνακας αλήθειας, οι αντίστοιχοι χάρτες και το λογικό κύκλωμα φαίνονται παρακάτω B B 138

139 Μ=0, Πρόσθεση ΑΘΡΟΙΣΤΗΣ/ΑΦΑΙΡΕΤΗΣ Μ=1, Αφαίρεση (Α-Β=Α+(-Β))=(Α+Β +1) ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 139

140 ΔΕΚΑΔΙΚΟΣ ΑΘΡΟΙΣΤΗΣ (1/3) Αν προσθέσουμε 2 BCD ψηφία σε έναν απλό δυαδικό αθροιστή των 4-bit θα πάρουμε το αποτέλεσμα σε 4-bit και ένα κρατούμενο εξόδου Το άθροισμα εξόδου δεν μπορεί να είναι μεγαλύτερο από το 19 (9+9+1=19) 140

141 ΔΕΚΑΔΙΚΟΣ ΑΘΡΟΙΣΤΗΣ (2/3) ; BCD διόρθ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 141

142 ΔΕΚΑΔΙΚΟΣ ΑΘΡΟΙΣΤΗΣ (3/3) Διόρθωση Προστίθεται το 6 (0110)

143 ΔΥΑΔΙΚΟΣ ΠΟΛΛΑΠΛΑΣΙΑΣΤΗΣ (πολλαπλασιαστέος) (πολλαπλασιαστής) Θα κάνουμε το 1x3 Α 1 Α 0 =01 Β 1 Β 0 = ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 143

144 ΔΥΑΔΙΚΟΣ ΠΟΛΛΑΠΛΑΣΙΣΤΗΣ 4-bit x 3-bit 144

145 ΣΥΓΚΡΙΤΗΣ ΜΕΓΕΘΟΥΣ (1/2) Μη προσημασμένοι αριθμοί Α = Α 3 Α 2 Α 1 Α 0 Β = Β 3 Β 2 Β 1 Β 0 Ισότητα Αποκλειστικό-ΟΥΤΕ (ΧNOR) σε κάθε ζεύγος bit x i = A i Β i +A i Β i Άρα (Α=Β) = x 3 x 2 x 1 x 0 Ανισότητα (Α>Β) = Α 3 Β 3 + x 3 A 2 B 2 + x 3 x 2 A 1 B 1 + x 3 x 2 x 1 A 0 B 0 (Α<Β) = Α 3 B 3 + x 3 A 2 B 2 + x 3 x 2 A 1 B 1 + x 3 x 2 x 1 A 0 B 0 ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 145

146 ΣΥΓΚΡΙΤΗΣ ΜΕΓΕΘΟΥΣ (2/2) 146

147 ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΕΣ n είσοδοι 2 n έξοδοι... decoder Αποκωδικοποιητής 3-σε-8 Γενικά k σε 2 k ΕΙΣΟΔΟΙ ΕΞΟΔΟΙ x y z D 0 D 1 D 2 D 3 D 4 D 5 D 6 D

148 ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΗΣ 2 ΣΕ 4 ΜΕ ΕΠΙΤΡΕΨΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 148

149 ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΗΣ 4 ΣΕ 16 ΜΕ ΧΡΗΣΗ ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΩΝ 3 ΣΕ 8 ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 149

150 ΚΩΔΙΚΟΠΟΙΗΤΕΣ Πίνακας αληθείας κωδικοποιητή οκταδικού σε δυαδικό 8-σε-3 (γενικά 2 k -σε-k) 2 n είσοδοι n έξοδοι... κωδικοποιητής ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 150

151 ΚΩΔΙΚΟΠΟΙΗΤΗΣ ΠΡΟΤΕΡΑΙΟΤΗΤΑΣ Τί έξοδο θα δίνει το κύκλωμα του κωδικοποιητή όταν υπάρχουν στις εισόδους περισσότεροι από έναν άσσοι; Χρήση «Κωδικοποιητή προτεραιότητας» Εδώ έχουν «προτεραιότητα» οι είσοδοι με μεγαλύτερο δείκτη ΕΙΣΟΔΟΙ ΕΞΟΔΟΙ D 0 D 1 D 2 D 3 x y V X X X X X X X X ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 151

152 ΠΟΛΥΠΛΕΚΤΕΣ Πολυπλέκτης 2-σε-1 Γενικά 2 n -σε-1 2 n είσοδοι δεδομένων 1 έξοδος δεδομένων n είσοδοι επιλογής Υ=I 0 S +I 1 S ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 152

153 ΠΟΛΥΠΛΕΚΤΗΣ 4 ΣΕ 1 Y=I 0 s 0 's 1 '+I 1 s 0 s 1 '+I 2 s 1 s 2' +I 3 s 1 s 0 153

154 ΠΟΛΥΠΛΕΚΤΗΣ 2 ΣΕ 1 ΤΩΝ 4-ΒΙΤ 2 λέξεις των 4-bit 154

155 ΥΛΟΠΟΙΗΣΗ BOOLEAN ΣΥΝΑΡΤΗΣΕΩΝ y x ΜΕ ΠΟΛΥΠΛΕΚΤΕΣ (1/2) Y=I 0 s 0 's 1 '+I 1 s 0 s 1 '+I 2 s 1 s 0' +I 3 s 1 s 0 F Αν θέλω να υλοποιήσω την F=xy+x y, θέτω s ο =x, s 1 =y, το υποκύκλωμα που τροφοδοτείται από τις γραμμές επιλογής παράγει τους ελαχιστόρους και θέτοντας Ι 0 =1, Ι 1 =0, Ι 2 =0, Ι 3 =1 στις εισόδους δεδομένων, επιλέγουμε τους κατάλληλους από τους ελαχιστόρους ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 155

156 ΥΛΟΠΟΙΗΣΗ BOOLEAN ΣΥΝΑΡΤΗΣΕΩΝ ΜΕ ΠΟΛΥΠΛΕΚΤΕΣ (2/2) F(x,y,z)=Σ(1, 2, 6, 7) I 0 I 1 I 2 I 3 Y=I 0 s 0 's 1 '+I 1 s 0 s 1 '+I 2 s 1 s 2' +I 3 s 1 s 0 ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 156

157 Σύγχρονη Ακολουθιακή Λογική ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 157

158 ΠΕΡΙΕΧΟΜΕΝΑ Ακολουθιακά κυκλώματα Μανδαλωτές Flip-Flop Ανάλυση ακολουθιακών κυκλωμάτων με ρολόι Ελαχιστοποίηση και κωδικοποίηση καταστάσεων ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 158

159 ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ Τα συνδυαστικά κυκλώματα αποτελούνται από πύλες και οι έξοδοί τους καθορίζονται από τις παρούσες συνδυασμούς εισόδων (ότι μελετήσαμε στα προηγούμενα κεφάλαια) Εκτελούν λειτουργίες οι οποίες προσδιορίζονται από συναρτήσεις boole Τα ακολουθιακά χρησιμοποιούν στοιχεία μνήμης σε συνδυασμό με λογικές πύλες Αποτελούν την πλειοψηφία των ψηφιακών κυκλωμάτων Οι έξοδοί τους είναι συνάρτηση των εισόδων και της κατάστασης των στοιχείων μνήμης Η κατάσταση των στοιχείων μνήμης είναι συνάρτηση των προηγούμενων εισόδων Ορίζονται από μια χρονική ακολουθία εισόδων, εξόδων και 159 εσωτερικών καταστάσεων

160 ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ Συνδυαστικό κύκλωμα (Combinational circuit) με το οποίο είναι συνδεδεμένα τα στοιχεία μνήμης και σχηματίζουν έναν βρόχο ανάδρασης Τα στοιχεία μνήμης (Memory elements) είναι διατάξεις που αποθηκεύουν προσωρινά δυαδικές πληροφορίες και ορίζουν την κατάσταση (state) του κυκλώματος Οι έξοδοι και η επόμενη κατάσταση είναι συναρτήσεις των εισόδων και της παρούσας κατάστασης 160

161 ΤΥΠΟΙ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Σύγχρονο ακολουθιακό κύκλωμα (synchronous sequential circuit) Η συμπεριφορά του εξαρτάται από την τιμή των σημάτων του (είσοδοι, εσωτερική κατάσταση) σε διακριτές χρονικές στιγμές Η αλλαγή της εσωτερικής κατάστασης γίνεται σε διακριτές χρονικές στιγμές Ασύγχρονο ακολουθιακό κύκλωμα (asynchronous sequential circuit) Η συμπεριφορά του εξαρτάται από τα σήματα εισόδου τη συγκεκριμένη χρονική στιγμή και τη σειρά με την οποία αυτά τα σήματα αλλάζουν ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 161

162 ΓΕΝΝΗΤΡΙΑ ΡΟΛΟΓΙΟΥ Η γεννήτρια ρολογιού (clock generator) παράγει μια περιοδική σειρά παλμών ρολογιού (clock pulses) Επιτυγχάνει το συγχρονισμό του κυκλώματος Οι παλμοί του ρολογιού μοιράζονται μέσα στο σύστημα Τα στοιχεία μνήμης επηρεάζονται μόνο κατά την άφιξη κάθε παλμού ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 162

163 ΣΥΓΧΡΟΝΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΜΕ ΡΟΛΟΙ Χρησιμοποιούν παλμούς ρολογιού στις εισόδους των στοιχείων μνήμης Χρησιμοποιούνται πιο συχνά Δεν έχουν προβλήματα αστάθειας Τα στοιχεία μνήμης που χρησιμοποιούνται ονομάζονται flipflops To flip-flop είναι μια δυαδική διάταξη αποθήκευσης ενός bit πληροφορίας 163

164 ΜΑΝΔΑΛΩΤΕΣ Υπάρχουν πολλά είδη flip-flop Ανάλογα με τον αριθμό των εισόδων και τον τρόπο που επηρεάζουν τη δυαδική τους κατάσταση Μανδαλωτές (latches) Οι πιο στοιχειώδεις τύποι flip-flop Λειτουργούν με επίπεδα σημάτων Δεν χρησιμοποιούνται ιδιαίτερα στα σύγχρονα ακολουθιακά κυκλώματα ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 164

165 ΜΑΝΔΑΛΩΤΗΣ ΤΥΠΟΥ SR ME NOR Μανδαλωτής SR (Set/Reset) με πύλες NOR (ΟΥΤΕ) Έχει δύο εισόδους, την S (Set=θέση) και τη R (Reset=επαναφορά ή μηδενισμός) Απροσδιόριστη κατάσταση Απροσδιόριστη κατάσταση Παραβιάζεται η συνθήκη ότι οι δύο έξοδοι είναι συμπληρωματικές 165

166 ΜΑΝΔΑΛΩΤΗΣ ΤΥΠΟΥ SR ME NAND Μανδαλωτής SR με πύλες NAND (OXI-KAI) ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 166

167 ΜΑΝΔΑΛΩΤΗΣ ΤΥΠΟΥ SR ME ΣΗΜΑ ΕΛΕΓΧΟΥ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 167

168 ΜΑΝΔΑΛΩΤΗΣ ΤΥΠΟΥ D Για να εξαλείψουμε την απροσδιόριστη κατάσταση στον μανδαλωτή SR πρέπει να εξασφαλίσουμε ότι οι είσοδοι S, R δεν θα πάρουν ταυτόχρονα τιμή 1 Όταν ενεργοποιηθεί η είσοδος ελέγχου, η είσοδος δεδομένων (D) μεταφέρεται στην έξοδο (Q) ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ - Δρ. Π. Κίτσος 168

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Απλοποίηση Συναρτήσεων Boole. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Απλοποίηση Συναρτήσεων Boole. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Απλοποίηση Συναρτήσεων Boole Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Απλοποίηση Συναρτήσεων Boole Η πολυπλοκότητα του κυκλώματος

Διαβάστε περισσότερα

3. Απλοποίηση Συναρτήσεων Boole

3. Απλοποίηση Συναρτήσεων Boole 3. Απλοποίηση Συναρτήσεων Boole 3. Μέθοδος του χάρτη Η πολυπλοκότητα ψηφιακών πυλών που υλοποιούν μια συνάρτηση Boole σχετίζεται άμεσα με την πολύπλοκότητα της αλγεβρικής της έκφρασης. Η αλγεβρική αναπαράσταση

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Συνδυαστική Λογική. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Συνδυαστική Λογική. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Συνδυαστική Λογική Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Ψηφιακά Κυκλώματα Τα ψηφιακά κυκλώματα διακρίνονται σε συνδυαστικά (combinational)

Διαβάστε περισσότερα

Γ2.1 Στοιχεία Αρχιτεκτονικής. Γ Λυκείου Κατεύθυνσης

Γ2.1 Στοιχεία Αρχιτεκτονικής. Γ Λυκείου Κατεύθυνσης Γ2.1 Στοιχεία Αρχιτεκτονικής Γ Λυκείου Κατεύθυνσης Ορισμός άλγεβρας Boole Η άλγεβρα Boole ορίζεται, ως μία αλγεβρική δομή A, όπου: (α) Το Α είναι ένα σύνολο στοιχείων που περιέχει δύο τουλάχιστον στοιχεία

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΣΥΝΔΥΑΣΤΙΚΗ ΛΟΓΙΚΗ 2017, Δρ. Ηρακλής Σπηλιώτης Συνδυαστικά και ακολουθιακά κυκλώματα Τα λογικά κυκλώματα χωρίζονται σε συνδυαστικά (combinatorial) και ακολουθιακά (sequential).

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Άλγεβρα Boole και Λογικές Πύλες 2. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Άλγεβρα Boole και Λογικές Πύλες 2. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Άλγεβρα Boole και Λογικές Πύλες Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Αξιωματικός Ορισμός Άλγεβρας Boole Άλγεβρα Boole: είναι μία

Διαβάστε περισσότερα

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες Πρώτο Κεφάλαιο Εισαγωγή στα Ψηφιακά Συστήματα 1.1 Αναλογικά και Ψηφιακά Σήματα και Συστήματα... 1 1.2 Βασικά Ψηφιακά Κυκλώματα... 3 1.3 Ολοκληρωμένα κυκλώματα... 4 1.4 Τυπωμένα κυκλώματα... 7 1.5 Εργαλεία

Διαβάστε περισσότερα

Κεφάλαιο 4. Λογική Σχεδίαση

Κεφάλαιο 4. Λογική Σχεδίαση Κεφάλαιο 4 Λογική Σχεδίαση 4.1 Εισαγωγή Λογικές συναρτήσεις ονομάζουμε εκείνες για τις οποίες μπορούμε να αποφασίσουμε αν είναι αληθείς ή όχι. Χειριζόμαστε τις λογικές προτάσεις στην συγγραφή λογισμικού

Διαβάστε περισσότερα

9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ

9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ 61 9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ I. Βασική Θεωρία Οι πύλες NAND και NOR ονομάζονται οικουμενικές πύλες (universal gates) γιατί κάθε συνδυαστικό κύκλωμα μπορεί να υλοποιηθεί

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 12: Σύνοψη Θεμάτων Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http://arch.icte.uowm.gr/mdasyg

Διαβάστε περισσότερα

Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα

Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα 1. Για a=1, b=1 και c=0, υπολογίστε τις τιμές των λογικών παραστάσεων ab c, a+b +c, a+b c και ab +c Δώστε τα σύνολα τιμών των δυαδικών μεταβλητών a,

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων

Ψηφιακά Συστήματα. 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων Ψηφιακά Συστήματα 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd

Διαβάστε περισσότερα

2. Άλγεβρα Boole και Λογικές Πύλες

2. Άλγεβρα Boole και Λογικές Πύλες 2. Άλγεβρα Boole και Λογικές Πύλες 2.1 Βασικοί ορισμοί Η άλγεβρα Boole μπορεί να οριστεί με ένα σύνολο στοιχείων, ένα σύνολο τελεστών και ένα σύνολο αξιωμάτων. Δυαδικός τελεστής ορισμένος σε ένα σύνολο

Διαβάστε περισσότερα

Επανάληψη Βασικών Στοιχείων Ψηφιακής Λογικής

Επανάληψη Βασικών Στοιχείων Ψηφιακής Λογικής Επανάληψη Βασικών Στοιχείων Ψηφιακής Λογικής Αριθµοί Διαφόρων Βάσεων Δυαδικά Συστήµατα 2 Υπολογιστική Ακρίβεια Ο αριθµός των δυαδικών ψηφίων αναπαράστασης αριθµών καθορίζει την ακρίβεια των αριθµών σε

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ ΠΕΡΙΕΧΟΜΕΝΑ Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ 1.1 Εισαγωγή...11 1.2 Τα κύρια αριθμητικά Συστήματα...12 1.3 Μετατροπή αριθμών μεταξύ των αριθμητικών συστημάτων...13 1.3.1 Μετατροπή ακέραιων

Διαβάστε περισσότερα

Λογική Σχεδίαση Ψηφιακών Συστημάτων

Λογική Σχεδίαση Ψηφιακών Συστημάτων Πανεπιστήμιο Θεσσαλίας Τμήμα Πληροφορικής Λογική Σχεδίαση Ψηφιακών Συστημάτων Σταμούλης Γεώργιος georges@uth.gr Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Δυαδική Λογική Η δυαδική λογική ασχολείται με μεταβλητές

Διαβάστε περισσότερα

Πράξεις με δυαδικούς αριθμούς

Πράξεις με δυαδικούς αριθμούς Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 25-6 Πράξεις με δυαδικούς αριθμούς (αριθμητικές πράξεις) http://di.ionio.gr/~mistral/tp/csintro/ Μ.Στεφανιδάκης Πράξεις με δυαδικούς

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΑΛΓΕΒΡΑ BOOLE 2017, Δρ. Ηρακλής Σπηλιώτης Γενικοί ορισμοί Αλγεβρική δομή είναι ένα σύνολο στοιχείων και κάποιες συναρτήσεις με πεδίο ορισμού αυτό το σύνολο. Αυτές οι συναρτήσεις

Διαβάστε περισσότερα

! Εάν ο αριθμός διαθέτει περισσότερα bits, χρησιμοποιούμε μεγαλύτερες δυνάμεις του 2. ! Προσοχή στη θέση του περισσότερο σημαντικού bit!

! Εάν ο αριθμός διαθέτει περισσότερα bits, χρησιμοποιούμε μεγαλύτερες δυνάμεις του 2. ! Προσοχή στη θέση του περισσότερο σημαντικού bit! Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 25-6 Πράξεις με δυαδικούς αριθμούς (αριθμητικές ) http://di.ionio.gr/~mistral/tp/csintro/ Αριθμοί Πράξεις με δυαδικούς αριθμούς

Διαβάστε περισσότερα

Εισαγωγή στην Πληροφορική & τον Προγραμματισμό

Εισαγωγή στην Πληροφορική & τον Προγραμματισμό ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Εισαγωγή στην Πληροφορική & τον Προγραμματισμό Ενότητα 3 η : Κωδικοποίηση & Παράσταση Δεδομένων Ι. Ψαρομήλιγκος Χ. Κυτάγιας Τμήμα

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ. ΜΑΘΗΜΑ 2 ο. ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ

ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ. ΜΑΘΗΜΑ 2 ο. ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ ΜΑΘΗΜΑ 2 ο ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ 2009-10 ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ 1 Άλγεβρα Βοοle η θεωρητική βάση των λογικών κυκλωμάτων Η άλγεβρα Βοοle ορίζεται επάνω στο σύνολο

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

ΜΕΡΟΣ 1 ο : Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα

ΜΕΡΟΣ 1 ο : Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα ΜΕΡΟΣ 1 ο : Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα 1. Για a=1, b=1 και c=0, υπολογίστε τις τιμές των λογικών παραστάσεων ab c, a+b +c, a+b c και ab +c Δώστε τα σύνολα τιμών των δυαδικών

Διαβάστε περισσότερα

a -j a 5 a 4 a 3 a 2 a 1 a 0, a -1 a -2 a -3

a -j a 5 a 4 a 3 a 2 a 1 a 0, a -1 a -2 a -3 ΑΣΚΗΣΗ 5 ΑΘΡΟΙΣΤΕΣ - ΑΦΑΙΡΕΤΕΣ 5.1. ΣΚΟΠΟΣ Η πραγματοποίηση της αριθμητικής πρόσθεσης και αφαίρεσης με λογικά κυκλώματα. 5.2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΗΣΗΣ: Κάθε σύστημα αρίθμησης χαρακτηρίζεται

Διαβάστε περισσότερα

3 η Θεµατική Ενότητα : Απλοποίηση Συναρτήσεων Boole. Επιµέλεια διαφανειών: Χρ. Καβουσιανός

3 η Θεµατική Ενότητα : Απλοποίηση Συναρτήσεων Boole. Επιµέλεια διαφανειών: Χρ. Καβουσιανός 3 η Θεµατική Ενότητα : Απλοποίηση Συναρτήσεων oole Επιµέλεια διαφανειών: Χρ. Καβουσιανός Απλοποίηση Συναρτήσεων oole Ø Η πολυπλοκότητα του κυκλώµατος που υλοποιεί µια συνάρτηση oole σχετίζεται άµεσα µε

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 2: Αλγεβρα Boole, Δυαδική Λογική, Ελαχιστόροι, Μεγιστόροι Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

Αθροιστές. Ημιαθροιστής

Αθροιστές. Ημιαθροιστής Αθροιστές Η πιο βασική αριθμητική πράξη είναι η πρόσθεση. Για την πρόσθεση δύο δυαδικών ψηφίων υπάρχουν τέσσερις δυνατές περιπτώσεις: +=, +=, +=, +=. Οι τρεις πρώτες πράξεις δημιουργούν ένα άθροισμα που

Διαβάστε περισσότερα

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A].

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A]. Κανονική μορφή συνάρτησης λογικής 5. Η κανονική μορφή μιας λογικής συνάρτησης (ΛΣ) ως άθροισμα ελαχιστόρων, από τον πίνακα αληθείας προκύπτει ως εξής: ) Παράγουμε ένα [A] όρων από την κάθε σειρά για την

Διαβάστε περισσότερα

Πληροφορική. Ενότητα 4 η : Κωδικοποίηση & Παράσταση Δεδομένων. Ι. Ψαρομήλιγκος Τμήμα Λογιστικής & Χρηματοοικονομικής

Πληροφορική. Ενότητα 4 η : Κωδικοποίηση & Παράσταση Δεδομένων. Ι. Ψαρομήλιγκος Τμήμα Λογιστικής & Χρηματοοικονομικής ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Πληροφορική Ενότητα 4 η : Κωδικοποίηση & Παράσταση Δεδομένων Ι. Ψαρομήλιγκος Τμήμα Λογιστικής & Χρηματοοικονομικής Άδειες Χρήσης

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Αριθμητικά Συστήματα. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Αριθμητικά Συστήματα. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Αριθμητικά Συστήματα Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Αριθμητικά Συστήματα Δεκαδικό Σύστημα: Βάση το 10, ψηφία 10 και συντελεστές

Διαβάστε περισσότερα

ΒΑΣΙΚΕΣ ΕΞEΙΔΙΚΕΥΣΕΙΣ ΣΕ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΚΑΙ ΔΙΚΤΥΑ ΥΠΟΛΟΓΙΣΤΩΝ

ΒΑΣΙΚΕΣ ΕΞEΙΔΙΚΕΥΣΕΙΣ ΣΕ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΚΑΙ ΔΙΚΤΥΑ ΥΠΟΛΟΓΙΣΤΩΝ Μεταπτυχιακή Εξειδίκευση στα Πληροφοριακά Συστήματα Θεματική Ενότητα ΠΛΣ-5 ΒΑΣΙΚΕΣ ΕΞEΙΔΙΚΕΥΣΕΙΣ ΣΕ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΚΑΙ ΔΙΚΤΥΑ ΥΠΟΛΟΓΙΣΤΩΝ - ΣΗΜΕΙΩΣΕΙΣ ΔΙΔΑΣΚΑΛΙΑΣ - Δρ. Λάμπρος Μπισδούνης Σύμβουλος Καθηγητής

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ. ιδάσκων : ρ. Β. ΒΑΛΑΜΟΝΤΕΣ. Πύλες - Άλγεβρα Boole 1

ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ. ιδάσκων : ρ. Β. ΒΑΛΑΜΟΝΤΕΣ. Πύλες - Άλγεβρα Boole 1 ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ ιδάσκων : ρ. Β. ΒΑΛΑΜΟΝΤΕΣ Πύλες - Άλγεβρα Boole 1 ΕΙΣΑΓΩΓΗ Α)Ηλεκτρονικά κυκλώµατα Αναλογικά κυκλώµατα Ψηφιακά κυκλώµατα ( δίτιµα ) V V 2 1 V 1 0 t t Θετική λογική: Ο V 1 µε V 1 =

Διαβάστε περισσότερα

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 1) Να μετατρέψετε τον δεκαδικό αριθμό (60,25) 10, στον αντίστοιχο δυαδικό 11111,11 111001,01 111100,01 100111,1 111100,01 2)

Διαβάστε περισσότερα

Συνδυαστικά Λογικά Κυκλώματα

Συνδυαστικά Λογικά Κυκλώματα Συνδυαστικά Λογικά Κυκλώματα Ένα συνδυαστικό λογικό κύκλωμα συντίθεται από λογικές πύλες, δέχεται εισόδους και παράγει μία ή περισσότερες εξόδους. Στα συνδυαστικά λογικά κυκλώματα οι έξοδοι σε κάθε χρονική

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ. ΑΝΔΡΕΑΣ Δ. ΤΣΙΓΚΟΠΟΥΛΟΣ Δρ. ΗΛΕΚΤΡΟΛΟΓΟΣ ΜΗΧΑΝΙΚΟΣ EΠΙΚΟΥΡΟΣ ΚΑΘΗΓΗΤΗΣ ΣΝΔ

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ. ΑΝΔΡΕΑΣ Δ. ΤΣΙΓΚΟΠΟΥΛΟΣ Δρ. ΗΛΕΚΤΡΟΛΟΓΟΣ ΜΗΧΑΝΙΚΟΣ EΠΙΚΟΥΡΟΣ ΚΑΘΗΓΗΤΗΣ ΣΝΔ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΑΝΔΡΕΑΣ Δ. ΤΣΙΓΚΟΠΟΥΛΟΣ Δρ. ΗΛΕΚΤΡΟΛΟΓΟΣ ΜΗΧΑΝΙΚΟΣ EΠΙΚΟΥΡΟΣ ΚΑΘΗΓΗΤΗΣ ΣΝΔ ΣΧΟΛΗ ΝΑΥΤΙΚΩΝ ΔΟΚΙΜΩΝ ΠΕΙΡΑΙΑΣ 2014 - 2 - - 3 - ΠΕΡΙΕΧΟΜΕΝΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ...5 ΠΡΟΛΟΓΟΣ...5 1. ΕΙΣΑΓΩΓΗ...7

Διαβάστε περισσότερα

1. ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΩΝ. α i. (α i β i ) (1.3) όπου: η= το πλήθος ακεραίων ψηφίων του αριθμού Ν. n-1

1. ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΩΝ. α i. (α i β i ) (1.3) όπου: η= το πλήθος ακεραίων ψηφίων του αριθμού Ν. n-1 1. ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΩΝ 1.1 Εισαγωγή Το δεκαδικό σύστημα (Decimal System) αρίθμησης χρησιμοποιείται από τον άνθρωπο και είναι κατάλληλο βέβαια γι αυτόν, είναι όμως εντελώς ακατάλληλο για τις ηλεκτρονικές

Διαβάστε περισσότερα

e-book ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΕΙΣ

e-book ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΕΙΣ e-book ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΕΙΣ 1. Να μετατρέψετε τον δεκαδικό 16.25 σε δυαδικό. 2. Να μετατρέψετε τον δεκαδικό 18.75 σε δυαδικό και τον δεκαδικό 268 σε δεκαεξαδικό. 3. Να βρεθεί η βάση εκείνου του αριθμητικού

Διαβάστε περισσότερα

Ψηφιακή Σχεδίαση Εργαστήριο Τ.Ε.Ι. ΚΡΗΤΗΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜ. ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΧΕΙΜΕΡΙΝΟ ΕΞΑΜΗΝΟ

Ψηφιακή Σχεδίαση Εργαστήριο Τ.Ε.Ι. ΚΡΗΤΗΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜ. ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΧΕΙΜΕΡΙΝΟ ΕΞΑΜΗΝΟ Ψηφιακή Σχεδίαση Εργαστήριο Τ.Ε.Ι. ΚΡΗΤΗΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜ. ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΧΕΙΜΕΡΙΝΟ ΕΞΑΜΗΝΟ 2015-2016 Άλγεβρα Boole (Boolean Algebra) Βασικοί ορισμοί Η άλγεβρα Boole μπορεί να οριστεί

Διαβάστε περισσότερα

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία ΗΜΥ 100 Εισαγωγή στην Τεχνολογία Στέλιος Τιμοθέου ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΑ ΘΕΜΑΤΑ ΜΑΣ ΣΗΜΕΡΑ Συστήματα αρίθμησης Δυαδικό αριθμητικό

Διαβάστε περισσότερα

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 28 Αριθμητικές Συναρτήσεις και Κυκλώματα Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Πρόσθεση

Διαβάστε περισσότερα

Δυαδικό Σύστημα Αρίθμησης

Δυαδικό Σύστημα Αρίθμησης Δυαδικό Σύστημα Αρίθμησης Το δυαδικό σύστημα αρίθμησης χρησιμοποιεί δύο ψηφία. Το 0 και το 1. Τα ψηφία ενός αριθμού στο δυαδικό σύστημα αρίθμησης αντιστοιχίζονται σε δυνάμεις του 2. Μονάδες, δυάδες, τετράδες,

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τμήμα Εφαρμοσμένης Πληροφορικής & Πολυμέσων. Ψηφιακή Σχεδίαση. Κεφάλαιο 2: Συνδυαστικά Λογικά

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τμήμα Εφαρμοσμένης Πληροφορικής & Πολυμέσων. Ψηφιακή Σχεδίαση. Κεφάλαιο 2: Συνδυαστικά Λογικά ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τμήμα Εφαρμοσμένης Πληροφορικής & Πολυμέσων Ψηφιακή Σχεδίαση Κεφάλαιο 2: Συνδυαστικά Λογικά Κυκλώματα Γ. Κορνάρος Περίγραμμα Μέρος 1 Κυκλώματα Πυλών και

Διαβάστε περισσότερα

ΠΛΗΡΟΦΟΡΙΚΗ I. 4 η ΔΙΑΛΕΞΗ Αριθμητικά Συστήματα

ΠΛΗΡΟΦΟΡΙΚΗ I. 4 η ΔΙΑΛΕΞΗ Αριθμητικά Συστήματα ΣΧΟΛΗ ΔΙΟΙΚΗΣΗΣ ΚΑΙ ΟΙΚΟΝΟΜΙΑΣ - ΤΜΗΜΑ ΔΙΟΙΚΗΣΗΣ ΕΠΙΧΕΙΡΗΣΕΩΝ ΕΙΣΑΓΩΓΙΚΗ ΚΑΤΕΥΘΥΝΣΗ ΤΟΥΡΙΣΤΙΚΩΝ ΕΠΙΧΕΙΡΗΣΕΩΝ ΚΑΙ ΕΠΙΧΕΙΡΗΣΕΩΝ ΦΙΛΟΞΕΝΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗ I 4 η ΔΙΑΛΕΞΗ Αριθμητικά Συστήματα ΧΑΣΑΝΗΣ ΒΑΣΙΛΕΙΟΣ

Διαβάστε περισσότερα

Πρόγραμμα Επικαιροποίησης Γνώσεων Αποφοίτων

Πρόγραμμα Επικαιροποίησης Γνώσεων Αποφοίτων Πρόγραμμα Επικαιροποίησης Γνώσεων Αποφοίτων ΕΝΟΤΗΤΑ Μ1 ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ Εκπαιδευτής: Γ. Π. ΠΑΤΣΗΣ, Επικ. Καθηγητής, Τμήμα Ηλεκτρονικών Μηχανικών, ΤΕΙ Αθήνας ΚΑΘΟΛΙΚΕΣ ΠΥΛΕΣ NND NOR ΑΛΓΕΒΡΑ OOLE ΘΕΩΡΗΜΑ

Διαβάστε περισσότερα

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ Τµήµα Ηλεκτρολόγων Μηχανικών Εργαστήριο Ενσύρµατης Τηλεπικοινωνίας ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ ιδάσκων: Καθηγητής Ν. Φακωτάκης Τµήµα Ηλεκτρολόγων Μηχανικών Εργαστήριο Ενσύρµατης Τηλεπικοινωνίας ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ

Διαβάστε περισσότερα

4.1 Θεωρητική εισαγωγή

4.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 4 ΥΑ ΙΚΟΣ ΑΘΡΟΙΣΤΗΣ-ΑΦΑΙΡΕΤΗΣ Σκοπός: Να µελετηθούν αριθµητικά κυκλώµατα δυαδικής πρόσθεσης και αφαίρεσης. Να σχεδιαστούν τα κυκλώµατα από τους πίνακες αληθείας

Διαβάστε περισσότερα

2 η Θεµατική Ενότητα : Άλγεβρα Boole και Λογικές Πύλες. Βασικοί Ορισµοί

2 η Θεµατική Ενότητα : Άλγεβρα Boole και Λογικές Πύλες. Βασικοί Ορισµοί 2 η Θεµατική Ενότητα : Άλγεβρα Boole και Λογικές Πύλες Βασικοί Ορισµοί υαδικός Τελεστής (Binary Operator): σε κάθε ζεύγος από το S αντιστοιχίζει ένα στοιχείο του S = set, σύνολο Συνηθισµένα Αξιώµατα (α,

Διαβάστε περισσότερα

1 η Θεµατική Ενότητα : Αριθµητικά Κυκλώµατα. Επιµέλεια διαφανειών: Χρ. Καβουσιανός

1 η Θεµατική Ενότητα : Αριθµητικά Κυκλώµατα. Επιµέλεια διαφανειών: Χρ. Καβουσιανός η Θεµατική Ενότητα : Αριθµητικά Κυκλώµατα Επιµέλεια διαφανειών: Χρ. Καβουσιανός Άθροιση + + + + a +b 2c+s + Κρατούµενο προηγούµενης βαθµίδας κρατούµενο άθροισµα Μεταφέρεται στην επόµενη βαθµίδα σηµαντικότητας

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΠΡΟΓΡΑΜΜΑ ΣΠΟΥΔΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΘΕΜΑΤΙΚΗ ΕΝΟΤΗΤΑ: ΠΛΗ-21

ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΠΡΟΓΡΑΜΜΑ ΣΠΟΥΔΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΘΕΜΑΤΙΚΗ ΕΝΟΤΗΤΑ: ΠΛΗ-21 ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΠΡΟΓΡΑΜΜΑ ΣΠΟΥΔΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΘΕΜΑΤΙΚΗ ΕΝΟΤΗΤΑ: ΠΛΗ-2 ΨΗΦΙΑΚΗ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΕΙΙΣ ΓΡΑΠΤΩΝ ΕΡΓΑΣΙΙΩΝ & ΘΕΜΑΤΩΝ ΕΞΕΤΑΣΕΩΝ ΣΥΝΤΕΛΕΣΤΕΣ

Διαβάστε περισσότερα

Αριθμητικά Συστήματα

Αριθμητικά Συστήματα Αριθμητικά Συστήματα Οργάνωση Δεδομένων (1/2) Bits: Η μικρότερη αριθμητική μονάδα ενός υπολογιστικού συστήματος, η οποία δείχνει δύο καταστάσεις, 0 ή 1 (αληθές η ψευδές). Nibbles: Μονάδα 4 bit που παριστά

Διαβάστε περισσότερα

Ψηφιακή Λογική και Σχεδίαση

Ψηφιακή Λογική και Σχεδίαση Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 26-7 Ψηφιακή Λογική και Σχεδίαση (σχεδίαση συνδυαστικών κυκλωμάτων) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Το τρανζίστορ

Διαβάστε περισσότερα

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ Τµήµα Ηλεκτρολόγων Μηχανικών Εργαστήριο Ενσύρµατης Τηλεπικοινωνίας ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ Μάθηµα 4: Συνδυαστική Λογική ιδάσκων: Καθηγητής Ν. Φακωτάκης 4.1 Συνδυαστικά κυκλώµατα Λογικά κυκλώµατα για ψηφιακό

Διαβάστε περισσότερα

Κυκλώµατα µε MSI. υαδικός Αθροιστής & Αφαιρέτης

Κυκλώµατα µε MSI. υαδικός Αθροιστής & Αφαιρέτης 5 η Θεµατική Ενότητα : Συνδυαστικά Κυκλώµατα µε MSI υαδικός Αθροιστής & Αφαιρέτης A i B i FA S i C i C i+1 D Σειριακός Αθροιστής Σειριακός Αθροιστής: απαιτεί 1 πλήρη αθροιστή, 1 στοιχείο µνήµης και παράγει

Διαβάστε περισσότερα

Κεφάλαιο 2 Η έννοια και η παράσταση της πληροφορίας στον ΗΥ. Εφ. Πληροφορικής Κεφ. 2 Καραμαούνας Πολύκαρπος 1

Κεφάλαιο 2 Η έννοια και η παράσταση της πληροφορίας στον ΗΥ. Εφ. Πληροφορικής Κεφ. 2 Καραμαούνας Πολύκαρπος 1 Κεφάλαιο 2 Η έννοια και η παράσταση της πληροφορίας στον ΗΥ Καραμαούνας Πολύκαρπος 1 2.1Η έννοια της πληροφορίας Δεδομένα Πληροφορία Καραμαούνας Πολύκαρπος 2 2.2 ΗΥ Το βασικό εργαλείο επεξεργασίας και

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ Κ. Δεμέστιχας Εργαστήριο Πληροφορικής Γεωπονικό Πανεπιστήμιο Αθηνών Επικοινωνία μέσω e-mail: cdemest@aua.gr, cdemest@cn.ntua.gr 1 4. ΑΛΓΕΒΡΑ BOOLE ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕΡΟΣ Α 2 Άλγεβρα

Διαβάστε περισσότερα

Ύλη Λογικού Σχεδιασµού Ι

Ύλη Λογικού Σχεδιασµού Ι 4 η Θεµατική Ενότητα : Συνδυαστική Λογική Ύλη Λογικού Σχεδιασµού Ι Κεφ 2 Κεφ 3 Κεφ 4 Κεφ 6 Συνδυαστική Λογική 2 Εισαγωγή Λογικά Κυκλώµατα Συνδυαστικά: Οι έξοδοι είναι συνάρτηση των εισόδων Ακολουθιακά:

Διαβάστε περισσότερα

Ηλεκτρονική Μάθημα VIΙ Ψηφιακά Κυκλώματα Ψηφιακή Λογική. Καθηγητής Αντώνιος Γαστεράτος Τμήμα Μηχανικών Παραγωγής και Διοίκησης, Δ.Π.Θ.

Ηλεκτρονική Μάθημα VIΙ Ψηφιακά Κυκλώματα Ψηφιακή Λογική. Καθηγητής Αντώνιος Γαστεράτος Τμήμα Μηχανικών Παραγωγής και Διοίκησης, Δ.Π.Θ. Ηλεκτρονική Μάθημα VIΙ Ψηφιακά Κυκλώματα Ψηφιακή Λογική Καθηγητής Αντώνιος Γαστεράτος Τμήμα Ε.ΔΙ.Π. Μηχανικών Δρ. Αθανάσιος Παραγωγής Ψωμούλης και Διοίκησης, Δ.Π.Θ. Τμήμα Μηχανικών Παραγωγής και Διοίκησης,

Διαβάστε περισσότερα

Τετάρτη 5-12/11/2014. ΣΗΜΕΙΩΣΕΙΣ 3 ου και 4 ου ΜΑΘΗΜΑΤΟΣ ΕΙΔΙΚΟΤΗΤΑ: ΤΕΧΝΙΚΟΣ ΕΦΑΡΜΟΓΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΜΑΘΗΜΑ: ΑΡΧΙΤΕΚΤΟΝΙΚΗ Η/Υ Α ΕΞΑΜΗΝΟ

Τετάρτη 5-12/11/2014. ΣΗΜΕΙΩΣΕΙΣ 3 ου και 4 ου ΜΑΘΗΜΑΤΟΣ ΕΙΔΙΚΟΤΗΤΑ: ΤΕΧΝΙΚΟΣ ΕΦΑΡΜΟΓΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΜΑΘΗΜΑ: ΑΡΧΙΤΕΚΤΟΝΙΚΗ Η/Υ Α ΕΞΑΜΗΝΟ Τετάρτη 5-12/11/2014 ΣΗΜΕΙΩΣΕΙΣ 3 ου και 4 ου ΜΑΘΗΜΑΤΟΣ ΕΙΔΙΚΟΤΗΤΑ: ΤΕΧΝΙΚΟΣ ΕΦΑΡΜΟΓΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΜΑΘΗΜΑ: ΑΡΧΙΤΕΚΤΟΝΙΚΗ Η/Υ Α ΕΞΑΜΗΝΟ ΕΚΠΑΙΔΕΥΤΗΣ: ΤΡΟΧΙΔΗΣ ΠΑΝΑΓΙΩΤΗΣ 1. Παράσταση και οργάνωση δεδομένων

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 1. Συστήματα Αριθμών

Ψηφιακά Συστήματα. 1. Συστήματα Αριθμών Ψηφιακά Συστήματα 1. Συστήματα Αριθμών Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd Thomas L.,

Διαβάστε περισσότερα

σύνθεση και απλοποίησή τους θεωρήµατα της άλγεβρας Boole, αξιώµατα του Huntington, κλπ.

σύνθεση και απλοποίησή τους θεωρήµατα της άλγεβρας Boole, αξιώµατα του Huntington, κλπ. Εισαγωγή Εργαστήριο 2 ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ Σκοπός του εργαστηρίου είναι να κατανοήσουµε τον τρόπο µε τον οποίο εκφράζεται η ψηφιακή λογική υλοποιώντας ασκήσεις απλά και σύνθετα λογικά κυκλώµατα (χρήση του

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 4. Άλγεβρα Boole & Τεχνικές Σχεδίασης Λογικών Κυκλωμάτων

Ψηφιακά Συστήματα. 4. Άλγεβρα Boole & Τεχνικές Σχεδίασης Λογικών Κυκλωμάτων Ψηφιακά Συστήματα 4. Άλγεβρα Boole & Τεχνικές Σχεδίασης Λογικών Κυκλωμάτων Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016.

Διαβάστε περισσότερα

Υπολογιστές και Πληροφορία 1

Υπολογιστές και Πληροφορία 1 ΗΜΥ-20: Σχεδιασμός Ψηφιακών Συστημάτων Σκοπός του μαθήματος Λογικός Σχεδιασμός και Σχεδιασμός Η/Υ Εισαγωγή, Υπολογιστές και Πληροφορία Διδάσκουσα: Μαρία Κ. Μιχαήλ Βασικές έννοιες & εργαλεία που χρησιμοποιούνται

Διαβάστε περισσότερα

Παράσταση αριθμών «κινητής υποδιαστολής» floating point

Παράσταση αριθμών «κινητής υποδιαστολής» floating point Παράσταση αριθμών «κινητής υποδιαστολής» floating point Με n bits μπορούμε να παραστήσουμε 2 n διαφορετικούς αριθμούς π.χ. με n=32 μπορούμε να παραστήσουμε τους αριθμούς από έως 2 32 -= 4,294,967,295 4

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Άλλες Αριθμητικές Συναρτήσεις/Κυκλώματα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Άλλες Αριθμητικές Συναρτήσεις/Κυκλώματα ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Αριθμητικές Συναρτήσεις και Κυκλώματα Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Πρόσθεση υαδική Πρόσθεση

Διαβάστε περισσότερα

Εισαγωγή στην πληροφορική

Εισαγωγή στην πληροφορική Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Εισαγωγή στην πληροφορική Ενότητα 4: Ψηφιακή Λογική, Άλγεβρα Boole, Πίνακες Αλήθειας (Μέρος B) Αγγελίδης Παντελής Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών

Διαβάστε περισσότερα

Ψηφιακή Σχεδίαση Εργαστηριο 1. Τμήμα: Μηχανικών Πληροφορικής κ Τηλεπικοινωνιών Διδάσκων: Δρ. Σωτήριος Κοντογιαννης Μάθημα 2 ου εξαμήνου

Ψηφιακή Σχεδίαση Εργαστηριο 1. Τμήμα: Μηχανικών Πληροφορικής κ Τηλεπικοινωνιών Διδάσκων: Δρ. Σωτήριος Κοντογιαννης Μάθημα 2 ου εξαμήνου Ψηφιακή Σχεδίαση Εργαστηριο 1 Τμήμα: Μηχανικών Πληροφορικής κ Τηλεπικοινωνιών Διδάσκων: Δρ. Σωτήριος Κοντογιαννης Μάθημα 2 ου εξαμήνου ΛΟΓΙΚΕΣ ΠΥΛΕΣ ΕΡΓΑΛΕΙΑ ΕΡΓΑΣΤΗΡΙΟ Το εργαλείο που θα χρησιμοποιηθεί

Διαβάστε περισσότερα

2 η Θεµατική Ενότητα : Άλγεβρα Boole και Λογικές Πύλες

2 η Θεµατική Ενότητα : Άλγεβρα Boole και Λογικές Πύλες 2 η Θεµατική Ενότητα : Άλγεβρα Boole και Λογικές Πύλες Βασικοί Ορισµοί υαδικός Τελεστής (Binary Operator): σε κάθε ζεύγος από το S αντιστοιχίζει ένα στοιχείο του S. Συνηθισµένα Αξιώµατα (α, β, γ, 0) Σ,,

Διαβάστε περισσότερα

Κεφάλαιο 2. Συστήματα Αρίθμησης και Αναπαράσταση Πληροφορίας. Περιεχόμενα. 2.1 Αριθμητικά Συστήματα. Εισαγωγή

Κεφάλαιο 2. Συστήματα Αρίθμησης και Αναπαράσταση Πληροφορίας. Περιεχόμενα. 2.1 Αριθμητικά Συστήματα. Εισαγωγή Κεφάλαιο. Συστήματα Αρίθμησης και Αναπαράσταση Πληροφορίας Περιεχόμενα. Αριθμητικά συστήματα. Μετατροπή αριθμών από ένα σύστημα σε άλλο.3 Πράξεις στο δυαδικό σύστημα.4 Πράξεις στο δεκαεξαδικό σύστημα.5

Διαβάστε περισσότερα

Λογική Σχεδίαση Ψηφιακών Συστημάτων

Λογική Σχεδίαση Ψηφιακών Συστημάτων Πανεπιστήμιο Θεσσαλίας Τμήμα Πληροφορικής Λογική Σχεδίαση Ψηφιακών Συστημάτων Σταμούλης Γεώργιος georges@uth.gr Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Δυαδικοί Αριθμοί Η γενική αναπαράσταση ενός οποιουδήποτε

Διαβάστε περισσότερα

Συνδυαστικά Κυκλώματα

Συνδυαστικά Κυκλώματα 3 Συνδυαστικά Κυκλώματα 3.1. ΣΥΝΔΥΑΣΤΙΚΗ Λ ΟΓΙΚΗ Συνδυαστικά κυκλώματα ονομάζονται τα ψηφιακά κυκλώματα των οποίων οι τιμές της εξόδου ή των εξόδων τους διαμορφώνονται αποκλειστικά, οποιαδήποτε στιγμή,

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 3: Ελαχιστοποίηση σε επίπεδο τιμών, Χάρτες Karnaugh, Πρωτεύοντες όροι Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων

Διαβάστε περισσότερα

ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ. Κεφάλαιο 3

ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ. Κεφάλαιο 3 ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ Κεφάλαιο 3 Κεντρική Μονάδα Επεξεργασίας Κεντρική Μονάδα Επεξεργασίας Μονάδα επεξεργασίας δεδομένων Μονάδα ελέγχου Μονάδα επεξεργασίας δεδομένων Δομή Αριθμητικής Λογικής Μονάδας

Διαβάστε περισσότερα

ΠΛΗΡΟΦΟΡΙΚΗ I Ενότητα 6

ΠΛΗΡΟΦΟΡΙΚΗ I Ενότητα 6 ΠΛΗΡΟΦΟΡΙΚΗ I Ενότητα 6 ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ Bits & Bytes Bit: η μικρότερη μονάδα πληροφορίας μία από δύο πιθανές καταστάσεις (ναι / όχι, αληθές / ψευδές, n / ff) κωδικοποίηση σε 0 ή 1 δυαδικό σύστημα

Διαβάστε περισσότερα

Τμήμα Οικιακής Οικονομίας και Οικολογίας. Αναπαράσταση Αριθμών

Τμήμα Οικιακής Οικονομίας και Οικολογίας. Αναπαράσταση Αριθμών Αναπαράσταση Αριθμών Δεκαδικό και Δυαδικό Δεκαδικό σύστημα Δεκαδικό και Δυαδικό Μετατροπή Για τη μετατροπή ενός αριθμού από το δυαδικό σύστημα στο δεκαδικό, πολλαπλασιάζουμε κάθε δυαδικό ψηφίο του αριθμού

Διαβάστε περισσότερα

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Θεµατική Ενότητα ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Ακαδηµαϊκό Έτος 2006 2007 Γραπτή Εργασία #2 Ηµεροµηνία Παράδοσης 28-0 - 2007 ΠΛΗ 2: Ψηφιακά Συστήµατα ΠΡΟΤΕΙΝΟΜΕΝΕΣ ΛΥΣΕΙΣ Άσκηση : [5 µονάδες] Έχετε στη

Διαβάστε περισσότερα

Ψηφιακά Κυκλώματα (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική

Ψηφιακά Κυκλώματα (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική Ψηφιακά Κυκλώματα ( ο μέρος) ΜΥΥ-6 Εισαγωγή στους Η/Υ και στην Πληροφορική Ψηφιακά κυκλώματα Οι δύο λογικές τιμές, αντιστοιχούν σε ηλεκτρικές τάσεις Υλοποιούνται με τρανζίστορ ή διόδους: ελεγχόμενοι διακόπτες

Διαβάστε περισσότερα

Ενότητα 4 ΛΟΓΙΚΕΣ ΣΥΝΑΡΤΗΣΕΙΣ ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ ΔΥΟ ΕΠΙΠΕΔΩΝ

Ενότητα 4 ΛΟΓΙΚΕΣ ΣΥΝΑΡΤΗΣΕΙΣ ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ ΔΥΟ ΕΠΙΠΕΔΩΝ Ενότητα 4 ΛΟΓΙΚΕΣ ΣΥΝΑΡΤΗΣΕΙΣ ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ ΔΥΟ ΕΠΙΠΕΔΩΝ Γενικές Γραμμές Λογικές Συναρτήσεις 2 Επιπέδων Συμπλήρωμα Λογικής Συνάρτησης Πίνακας Αλήθειας Κανονική Μορφή Αθροίσματος Γινομένων Λίστα Ελαχιστόρων

Διαβάστε περισσότερα

Εισαγωγή στην επιστήμη των υπολογιστών

Εισαγωγή στην επιστήμη των υπολογιστών Εισαγωγή στην επιστήμη των υπολογιστών Υπολογιστές και Δεδομένα Κεφάλαιο 3ο Αναπαράσταση Αριθμών www.di.uoa.gr/~organosi 1 Δεκαδικό και Δυαδικό Δεκαδικό σύστημα 2 3 Δεκαδικό και Δυαδικό Δυαδικό Σύστημα

Διαβάστε περισσότερα

Αριθµητική υπολογιστών

Αριθµητική υπολογιστών Αριθµητική υπολογιστών Μιχάλης ρακόπουλος Υπολογιστική Επιστήµη & Τεχνολογία, #03 1 εκαδικό σύστηµα αρίθµησης Βάση το 10. 10 ψηφία: 0 1 2 3 4 5 6 7 8 9 1 δεκαδικό ψηφίο εκφράζει 1 από 10 πιθανές επιλογές

Διαβάστε περισσότερα

2 η Θεµατική Ενότητα : Άλγεβρα Boole και Λογικές Πύλες. Επιµέλεια διαφανειών: Χρ. Καβουσιανός

2 η Θεµατική Ενότητα : Άλγεβρα Boole και Λογικές Πύλες. Επιµέλεια διαφανειών: Χρ. Καβουσιανός 2 η Θεµατική Ενότητα : Άλγεβρα Boole και Λογικές Πύλες Επιµέλεια διαφανειών: Χρ. Καβουσιανός Βασικοί Ορισµοί Δυαδικός Τελεστής (Binary Operator): σε κάθε ζεύγος από το Σ αντιστοιχίζει ένα στοιχείο του

Διαβάστε περισσότερα

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Συνδυαστική Λογική / Κυκλώματα (Μέρος B) Διδάσκουσα: Μαρία Κ Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Βελτιστοποίηση

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 9: Ελαχιστοποίηση και Κωδικοποίηση Καταστάσεων, Σχεδίαση με D flip-flop, Σχεδίαση με JK flip-flop, Σχεδίαση με T flip-flop Δρ. Μηνάς

Διαβάστε περισσότερα

Κ15 Ψηφιακή Λογική Σχεδίαση 2: Δυαδικό Σύστημα / Αναπαραστάσεις

Κ15 Ψηφιακή Λογική Σχεδίαση 2: Δυαδικό Σύστημα / Αναπαραστάσεις Κ15 Ψηφιακή Λογική Σχεδίαση 2: Δυαδικό Σύστημα / Αναπαραστάσεις Γιάννης Λιαπέρδος TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ Δυαδικό Σύστημα Αρίθμησης Περιεχόμενα 1 Δυαδικό

Διαβάστε περισσότερα

1 η Θεµατική Ενότητα : Δυαδικά Συστήµατα

1 η Θεµατική Ενότητα : Δυαδικά Συστήµατα 1 η Θεµατική Ενότητα : Δυαδικά Συστήµατα Δεκαδικοί Αριθµοί Βάση : 10 Ψηφία : 0, 1, 2, 3, 4, 5, 6, 7, 8, 9 Αριθµοί: Συντελεστές Χ δυνάµεις του 10 7392.25 = 7x10 3 + 3x10 2 + 9x10 1 + 2x10 0 + 2x10-1 + 5x10-2

Διαβάστε περισσότερα

Πρόγραμμα Επικαιροποίησης Γνώσεων Αποφοίτων

Πρόγραμμα Επικαιροποίησης Γνώσεων Αποφοίτων Πρόγραμμα Επικαιροποίησης Γνώσεων Αποφοίτων ΕΝΟΤΗΤΑ Μ ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ Εκπαιδευτής: Γ. Π. ΠΑΤΣΗΣ, Επικ. Καθηγητής, Τμήμα Ηλεκτρονικών Μηχανικών, ΤΕΙ Αθήνας ΜΕΘΟΔΟΣ ΑΠΛΟΠΟΙΗΣΗΣ ΛΟΓΙΚΗΣ ΣΥΝΑΡΤΗΣΗΣ ΜΕ

Διαβάστε περισσότερα

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM).

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM). Μνήμες Ένα από τα βασικά πλεονεκτήματα των ψηφιακών συστημάτων σε σχέση με τα αναλογικά, είναι η ευκολία αποθήκευσης μεγάλων ποσοτήτων πληροφοριών, είτε προσωρινά είτε μόνιμα Οι πληροφορίες αποθηκεύονται

Διαβάστε περισσότερα

ΑΣΠΑΙΤΕ Εργαστήριο Ψηφιακών Συστημάτων & Μικροϋπολογιστών Εργαστηριακές Ασκήσεις για το μάθημα «Λογική Σχεδίαση» ΑΣΚΗΣΗ 3 ΠΙΝΑΚΕΣ KARNAUGH

ΑΣΠΑΙΤΕ Εργαστήριο Ψηφιακών Συστημάτων & Μικροϋπολογιστών Εργαστηριακές Ασκήσεις για το μάθημα «Λογική Σχεδίαση» ΑΣΚΗΣΗ 3 ΠΙΝΑΚΕΣ KARNAUGH ΑΣΚΗΣΗ 3 ΠΙΝΑΚΕΣ KARNAUGH 3.1 ΣΚΟΠΟΣ Η κατανόηση της απλοποίησης λογικών συναρτήσεων με χρήση της Άλγεβρας Boole και με χρήση των Πινάκων Karnaugh (Karnaugh maps). 3.2 ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ 3.2.1 ΑΠΛΟΠΟΙΗΣΗ

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 3

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 3 ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 3 ΑΠΛΟΠΟΙΗΣΗ και ΥΛΟΠΟΙΗΣΗ ΛΟΓΙΚΩΝ ΣΥΝΑΡΤΗΣΕΩΝ Σκοπός: Η κατανόηση της σχέσης µιας λογικής συνάρτησης µε το αντίστοιχο κύκλωµα. Η απλοποίηση λογικών συναρτήσεων

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Αρχιτεκτονική-Ι. Ενότητα 1: Εισαγωγή στην Αρχιτεκτονική -Ι

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Αρχιτεκτονική-Ι. Ενότητα 1: Εισαγωγή στην Αρχιτεκτονική -Ι ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Αρχιτεκτονική-Ι Ενότητα 1: Εισαγωγή στην Αρχιτεκτονική -Ι Ιωάννης Έλληνας Τμήμα Η/ΥΣ Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9. Tα Flip-Flop

ΑΣΚΗΣΗ 9. Tα Flip-Flop ΑΣΚΗΣΗ 9 Tα Flip-Flop 9.1. ΣΚΟΠΟΣ Η κατανόηση της λειτουργίας των στοιχείων μνήμης των ψηφιακών κυκλωμάτων. Τα δομικά στοιχεία μνήμης είναι οι μανδαλωτές (latches) και τα Flip-Flop. 9.2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ

Διαβάστε περισσότερα

Εισαγωγή στην Επιστήμη των Υπολογιστών

Εισαγωγή στην Επιστήμη των Υπολογιστών Εισαγωγή στην Επιστήμη των Υπολογιστών Ενότητα 2: Αποθήκευση Δεδομένων, 2ΔΩ Τμήμα: Αγροτικής Οικονομίας & Ανάπτυξης Διδάσκων: Θεόδωρος Τσιλιγκιρίδης Μαθησιακοί Στόχοι Η Ενότητα 2 διαπραγματεύεται θέματα

Διαβάστε περισσότερα

Εισαγωγή. Συνδυαστικά: Οι έξοδοι είναι συνάρτηση των εισόδων

Εισαγωγή. Συνδυαστικά: Οι έξοδοι είναι συνάρτηση των εισόδων 4 η Θεµατική Ενότητα : Συνδυαστική Λογική Εισαγωγή Λογικά Κυκλώµατα Συνδυαστικά: Οι έξοδοι είναι συνάρτηση των εισόδων Ακολουθιακά: Οι έξοδοι είναι συνάρτηση των εισόδων και της κατάστασης των στοιχείων

Διαβάστε περισσότερα

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία ΗΜΥ 00 Εισαγωγή στην Τεχνολογία Στέλιος Τιμοθέου ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΑ ΘΕΜΑΤΑ ΜΑΣ ΣΗΜΕΡΑ Δυαδική λογική Πύλες AND, OR, NOT, NAND,

Διαβάστε περισσότερα

Μετατροπή δυαδικών αριθμών

Μετατροπή δυαδικών αριθμών Κεφάλαιο 2o Συνδυαστικά κυκλώματα 2.1 Το δυαδικό σύστημα μέτρησης και η δυαδική λογική 2.1.1 Θεωρητικό Υπόβαθρο Οποιοσδήποτε αριθμός μπορεί να εκφραστεί σε σύστημα μέτρησης με βάση τον αριθμό β, με μια

Διαβάστε περισσότερα

Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 2013 Διάρκεια εξέτασης : 160 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών:

Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 2013 Διάρκεια εξέτασης : 160 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών: Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 23 Διάρκεια εξέτασης : 6 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών: Θέμα (,5 μονάδες) Στις εισόδους του ακόλουθου κυκλώματος c b a εφαρμόζονται οι κάτωθι κυματομορφές.

Διαβάστε περισσότερα

5.1 Θεωρητική εισαγωγή

5.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 5 ΚΩ ΙΚΟΠΟΙΗΣΗ BCD Σκοπός: Η κατανόηση της µετατροπής ενός τύπου δυαδικής πληροφορίας σε άλλον (κωδικοποίηση/αποκωδικοποίηση) µε τη µελέτη της κωδικοποίησης BCD

Διαβάστε περισσότερα

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ Τµήµα Ηλεκτρολόγων Μηχανικών Εργαστήριο Ενσύρµατης Τηλεπικοινωνίας ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ ιδάσκων: Καθηγητής Ν. Φακωτάκης Τµήµα Ηλεκτρολόγων Μηχανικών Εργαστήριο Ενσύρµατης Τηλεπικοινωνίας ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ

Διαβάστε περισσότερα

11. Ποιες είναι οι άμεσες συνέπειες της διαίρεσης;

11. Ποιες είναι οι άμεσες συνέπειες της διαίρεσης; 10. Τι ονομάζουμε Ευκλείδεια διαίρεση και τέλεια διαίρεση; Όταν δοθούν δύο φυσικοί αριθμοί Δ και δ, τότε υπάρχουν δύο άλλοι φυσικοί αριθμοί π και υ, έτσι ώστε να ισχύει: Δ = δ π + υ. Ο αριθμός Δ λέγεται

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 5. Απλοποίηση με χάρτες Karnaugh

Ψηφιακά Συστήματα. 5. Απλοποίηση με χάρτες Karnaugh Ψηφιακά Συστήματα 5. Απλοποίηση με χάρτες Karnaugh Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd

Διαβάστε περισσότερα