Σηµειώσεις 11: Ένας απλός Υπολογιστής και περί Ταχύτητας και Κατανάλωσης Ενέργειας των Κυκλωµάτων CMOS

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Σηµειώσεις 11: Ένας απλός Υπολογιστής και περί Ταχύτητας και Κατανάλωσης Ενέργειας των Κυκλωµάτων CMOS"

Transcript

1 /12/ :06 µµ 1 of 8 ΗΥ-120: Ψηφιακή Σχεδίαση Φθινόπωρο 2004 Τµ. Επ. Υπολογιστών Πανεπιστήµιο Κρήτης Σηµειώσεις 11: Ένας απλός Υπολογιστής και περί Ταχύτητας και Κατανάλωσης Ενέργειας των Κυκλωµάτων CMOS Στο τελευταίο αυτό µέρος του µαθήµατος θα δούµε πώς µπορούµε να φτιάξουµε έναν απλό υπολογιστή χρησιµοποιώντας µόνο λίγους βασικούς δοµικούς λίθους αυτού του µαθήµατος: καταχωρητές, µνήµες, αθροιστές, πολυπλέκτες, και ένα απλό συνδυαστικό κύκλωµα ή µιά απλή FSM γιά να ελέγχει τα παραπάνω. Παρ' ότι πολύ απλός (απλοϊκός) --και εξαιρετικά αργός!-- θα είναι ένας κανονικός υπολογιστής, ικανός να εκτελεί (σχεδόν) το κάθε πρόγραµµα τα µόνα που θα του λείπουν θα είναι σχετικά δευτερεύουσες λεπτοµέρειες, και όχι κάτι εννοιολογικά κεντρικό. Ο υπολογιστής µας θα είναι δεκαεξάµπιτος (16-bit), δηλαδή θα δουλεύει µε λέξεις των 16 bits δεν θα ασχοληθούµε καθόλου µε bytes (8 bits) Μία απλή Αριθµητική/Λογική Μονάδα (ALU): Στην καρδιά ενός υπολογιστή είναι µιά µονάδα που εκτελεί αριθµητικές και λογικές πράξεις. Από αριθµητικές πράξεις, θα έχουµε µόνο πρόσθεση και αφαίρεση ακεραίων: γιά λόγους απλότητας δεν θα έχουµε πολλαπλασιασµό (µοιάζει µε πολλές προσθέσεις), διαίρεση (µοιάζει µε επανειληµµένες αφαιρέσεις), ή αριθµούς κινητής υποδιαστολής (οι σχετικές πράξεις ανάγονται τελικά σε πράξεις ακεραίων). Θα χρησιµοποιήσουµε σαν "Αριθµητική/Λογική Μονάδα" (Arithmetic/Logic Unit - ALU) το κύκλωµα του σχήµατος, που χρησιµοποιεί έναν αθροιστή (adder). Όπως είδαµε στην 6.7, η αφαίρεση A-B γίνεται µέσω της πρόσθεσης A+(B')+1, όπου A και B είναι προσηµασµένοι ακέραιοι αριθµοί σε κωδικοποίηση συµπληρώµατος ως προς 2, και (B') είναι ο αριθµός που προκύπτει από τον B αν αντιστρέψουµε το κάθε bit του (συµπλήρωµα ως προς 1, δηλ. λογικό-όχι). Την ιδιότητα αυτή εκµεταλλευόµαστε, µε τον αριστερό-κάτω πολυπλέκτη, γιά να κάνει η ALU µας και αφαίρεση A-B το "+1" προκύπτει φροντίζοντας να είναι 1 το κρατούµενο εισόδου, Cin, του αθροιστή όποτε ο πολυπλέκτης επιλέγει το (B'), δηλαδή όποτε κάνουµε αφαίρεση. Εκτός από τον δεκαεξάµπιτο αθροιστή, η ALU θα έχει και 16 πύλες ΚΑΙ και 16 πύλες Ή γιά να µπορεί να κάνει τις αντίστοιχες λογικές πράξεις πάνω στις λέξεις εισόδου πρόκειται γιά λογικές πράξεις bit-προς-bit (bitwise operations), δηλαδή το bit i της εξόδου θα είναι το λογικό ΚΑΙ ή το λογικό Ή του bit i της εισόδου A και του bit i της εισόδου B. Κάθε τέτοια δεκαεξάδα πυλών φαίνεται σχεδιασµένη σαν µία πύλη κάτω από τον αθροιστή. Ο πολυπλέκτης δεξιά επιλέγει αν επιθυµούµε η έξοδος της ALU να είναι το αποτέλεσµα της αριθµητικής πράξης που κάνει ο αθροιστής, ή το αποτέλεσµα της λογικής πράξης που κάνουν οι πύλες ο πολυπλέκτης κάτω επιλέγει αν το αποτέλεσµα της λογικής πράξης θα είναι το λογικό ΚΑΙ ή το λογικό Ή. Τέλος, ο αριστερός επάνω πολυπλέκτης επιλέγει αν η πράξη θα γίνει µε την πρώτη είσοδο της ALU, A, ή µε τον αριθµό µηδέν εάν επιλέξουµε τον αριθµό 0, ο αθροιστής θα δώσει έξοδο 0+B = B ή 0+(B')+1 = -B, οι πύλες ΚΑΙ θα δώσουν έξοδο 0, και οι πύλες Ή θα δώσουν έξοδο B ή B' (ΌΧΙ B). Έτσι, συνολικά, διαπιστώνουµε ότι η ALU µπορεί να κάνει τις παρακάτω πράξεις, ανάλογα µε την εκάστοτε τιµή των τεσσάρων σηµάτων ελέγχου της, mode. Η ένδειξη "x" στο mode σηµαίνει ότι η ALU κάνει την ίδια πράξη όποια τιµή και να έχει το αντίστοιχο bit του mode (συνθήκη αδιαφορίας, που συγγενεύει µε όσα είδαµε στην 4.4). Οι πράξεις που µας ενδιαφέρουν να χρησιµοποιήσουµε στον υπολογιστή µας σηµειώνονται µε παχειά γράµµατα. mode: Πράξη: (όνοµα) 0x01 ALUout = ALUout = B 00x0 ALUout = B (passb) 0111 ALUout = NOT B (not) 01x0 ALUout = -B 1001 ALUout = A AND B (and) 10x0 ALUout = A+B (add) 1011 ALUout = A OR B (or) 11x0 ALUout = A-B (sub) 1101 ALUout = A AND (NOT B) 1111 ALUout = A OR (NOT B)

2 /12/ :06 µµ 2 of Πράξεις ALU σ' έναν Επεξεργαστή Τύπου Συσσωρευτή (Accumulator): Τώρα που έχουµε την ALU όπου θα εκτελούνται οι πράξεις, το επόµενο θέµα είναι πάνω σε ποιούς αριθµούς θα γίνονται αυτές οι πράξεις, πού θα βρίσκονται αυτοί οι αριθµοί, και πώς θα τους επιλέγουµε. εδοµένου ότι οι υπολογιστές προορίζονται γιά την επεξεργασία µεγάλου όγκου δεδοµένων, προφανώς θα χρειαστούµε µία (µεγάλη) µνήµη ( 9.3) όπου θα κρατιούνται αυτά τα δεδοµένα. Αυτή τη λέµε "Μνήµη εδοµένων" (Data Memory), και φαίνεται στο παρακάτω σχήµα. Γιά να γίνει µία πράξη (π.χ. πρόσθεση), χρειαζόµαστε δύο αριθµούς πάνω στους οποίους θα γίνει η πράξη, και χρειάζεται να τοποθετηθεί κάπου και το αποτέλεσµα της πράξης. Υπάρχουν υπολογιστές που γιά να γίνει αυτό διαβάζουν δύο αριθµούς από τη µνήµη δεδοµένων, και γράφουν το αποτέλεσµα επίσης σε κάποια θέση της µνήµης δεδοµένων. Γιά µας όµως, κάτι τέτοιο θα ήταν πολύπλοκο, διότι θα απαιτούσε τρείς χωριστές προσπελάσεις στη µνήµη --δύο αναγνώσεις και µία εγγραφή. Εµείς θα ακολουθήσουµε µιάν άλλη "αρχιτεκτονική" υπολογιστή --την απλούστερη που έχει υπάρξει ιστορικά: την αρχιτεκτονική συσσωρευτή (accumulator architecture). Στην αρχιτεκτονική αυτή, υπάρχει ένας ειδικός καταχωρητής, έξω από τη µνήµη δεδοµένων, ο οποίος κρατάει έναν αριθµό --το αποτέλεσµα της πιό πρόσφατης πράξης. Κάθε καινούργια πράξη γίνεται ανάµεσα σε αυτό το πιό πρόσφατο αποτέλεσµα και σ' ένα καινούργιο αριθµό που διαβάζουµε από τη µνήµη, και αφήνει το αποτέλεσµά της πάλι σε αυτόν τον ειδικό καταχωρητή. Έτσι, π.χ., αν κάνουµε συνεχείς προσθέσεις, συσσωρεύεται σε αυτόν τον καταχωρητή το άθροισµα όλων των αριθµών που διαβάσαµε από τη µνήµη και προσθέσαµε, και γι' αυτό ο καταχωρητής αυτός ονοµάστηκε "συσσωρευτής" (accumulator). Οι σηµερινοί υπολογιστές έχουν αρκετούς τέτοιους καταχωρητές --συνήθως 32 τους ονοµάζουµε "καταχωρητές γενικού σκοπού" (general-purpose registers), και όχι συσσωρευτές. Στο σχήµα φαίνεται η απαιτούµενη συνδεσµολογιά γιά να γίνονται οι πράξεις όπως τις περιγράψαµε. Ο συσσωρευτής είναι ένας ακµοπυροδότητος καταχωρητής ( 8.5), που σηµειώνεται σαν "ACC". Ένα εξωτερικό κύκλωµα, που θα δούµε σε λίγο, τροφοδοτεί τη διεύθυνση Addr στη µνήµη δεδοµένων, καθώς και τα σήµατα ελέγχου ανάγνωσης (dm_re - read enable) και εγγραφής (dm_we - write enable), προκαλώντας την ανάγνωση µιάς λέξης (δηλ. ενός αριθµού) από τη θέση µνήµης Addr. Η ALU παίρνει το περιεχόµενο του συσσωρευτή ACC στη µιά της είσοδο, και τον αριθµό που διαβάσαµε από τη µνήµη στην άλλη το εξωτερικό κύκλωµα ελέγχου προσδιορίζει, µέσω του alu_md, το είδος της πράξης που πρέπει να γίνει, και το αποτέλεσµα της πράξης δίδεται σαν είσοδος στο συσσωρευτή. Όταν έλθει η ενεργή ακµή του ρολογιού, το αποτέλεσµα αυτής της πράξης αντικατθιστά το παλαιό περιεχόµενο του συσσωρευτή. Κατά καιρούς, πρέπει το αποτέλεσµα των πράξεων να αποθηκεύεται (γράφεται) σε µιά επιθυµητή θέση (λέξη) µνήµης, προκειµένου µετά να ξεκινήσει κάποια νέα σειρά πράξεων στο συσσωρευτή. Γιά να γίνεται η αποθήκευση αυτή προβλέφτηκε ένας τρικατάστατος οδηγητής, δεξιά κάτω, ο οποίος τοποθετεί το περιεχόµενο του ACC πάνω στη λεωφόρο (bus), απ' όπου και το παραλαµβάνει η µνήµη γιά να γίνει η εγγραφή. Τα σήµατα ελέγχου που πρέπει να ενεργοποιηθούν είναι τα acc2bus (ACC προς bus - ACC to bus, όπου το "2" είναι οµόηχο µε το "to") και dm_we Πρόγραµµα και Εντολές: οι Οδηγίες γιά τις Πράξεις Γιά να λειτουργήσει το παραπάνω κύκλωµα και να γίνουν οι επιθυµητές πράξεις, πρέπει κάποιος να τροφοδοτεί τις διευθύνσεις, Addr, και τα σήµατα ελέγχου των πράξεων, dm_re, alu_md, acc2bus, και dm_we. Τη δουλειά αυτή αναλαµβάνει ένα άλλο κύκλωµα, που θα δούµε παρακάτω, το οποίο ακολουθεί πιστά τις σχετικές οδηγίες που έχει γράψει ένας άνθρωπος (µε τη βοήθεια κάποιου υπολογιστή) και οι οποίες είναι αποθηκευµένες σε µιά µνήµη. Κάθε οδηγία γιά µιά συγκεκριµένη πράξη ή ενέργεια λέγεται εντολή (instruction), και το σύνολο των εντολών που έχουν δοθεί σ' έναν υπολογιστή (έχουν γραφτεί στη µνήµη του) και τις οποίες αυτός ακολουθεί σε δεδοµένη στιγµή λέµε ότι αποτελούν το πρόγραµµα (program) που αυτός "εκτελεί" (executes) ή "τρέχει" (runs) τη δεδοµένη στιγµή. Τα κυκλώµατα αποτελούν το υλικό (hardware) του υπολογιστή, και τα προγράµµατα που τρέχουν ή µπορούν να τρέξουν σε αυτόν αποτελούν το λογισµικό του (software). Ο κάθε υπολογιστής "καταλαβαίνει", δηλαδή µπορεί να αναγνωρίσει και να εκτελέσει, ορισµένες µόνο, συγκεκριµένες εντολές ή τύπους εντολών αυτές τις ονοµάζουµε σύνολο ή ρεπερτόριο εντολών (instruction set) του υπολογιστή. Οι εντολές του δικού µας υπολογιστή θα αποτελούνται από δύο κοµάτια καθεµία: έναν "κώδικα πράξης" (operation code, ή opcode εν συντοµία), και µιά διεύθυνση Addr. Κάθε

3 /12/ :06 µµ 3 of 8 εντολή µας θα είναι 16 bits, από τα οποία τα 4 MS bits θα είναι ο opcode και τα 12 LS bits θα είναι η διεύθυνση. Γιά να µπορεί ο υπολογιστής µας να εκτελεί τις αριθµητικές και λογικές πράξεις που περιγράψαµε παραπάνω, το ρεπερτόριό του πρέπει να περιλαµβάνει τις εξής εντολές: load Addr ACC <- DM[Addr] not Addr ACC <- NOT DM[Addr] add Addr ACC <- ACC + DM[Addr] and Addr ACC <- ACC AND DM[Addr] sub Addr ACC <- ACC - DM[Addr] or Addr ACC <- ACC OR DM[Addr] store Addr DM[Addr] <- ACC Οι δύο λέξεις αριστερά, µε τα πλάγια γράµµατα, δείχνουν τη συµβολική γραφή της εντολής: η πρώτη λέξη είναι το σύµβολο του opcode, ενώ το Addr αντικαθίσταται κάθε φορά από τη συγκεκριµένη διεύθυνση που επιθυµούµε να χρησιµοποιήσουµε --έναν αριθµό από 0 µέχρι 4095, αφού οι διευθύνσεις είναι δωδεκάµπιτες στον υπολογιστή µας. Ένα πρόγραµµα µε τις εντολές του γραµµένες µε αυτό το συµβολισµό λέµε ότι είναι γραµµένο σε "Γλώσσα Assembly". Στη µνήµη του υπολογιστή, φυσικά, το µόνο που υπάρχει είναι άσσοι και µηδενικά, άρα γιά να εκτελεστεί ένα πρόγραµµα Assembly πρέπει πρώτα να µετατραπεί στην δυαδική του αναπαράσταση, που λέγεται "Γλώσσα Μηχανής (machine language, ή object code, ή binary code). Η µετατροπή αυτή είναι πολύ απλή: κάθε συµβολικός opcode αντικαθίσταται µε τον αντίστοιχο δυαδικό του κώδικα (βλ. παρακάτω), και κάθε διεύθυνση µετατρέπεται από το δεκαδικό στο δυαδικό. Τη µετατροπή αυτή (και µερικές άλλες σχετικές βοηθητικές εργασίες) την κάνει συνήθως ένα µικρό πρόγραµµα υπολογιστή που ονοµάζεται Assembler. ίπλα στο συµβολισµό Assembly της κάθε εντολής, στο παραπάνω πινακάκι, υπάρχει µία "εξίσωση µεταφοράς καταχωρητών" (register transfer equation), η οποία περιγράφει τις ενέργειες που πρέπει να γίνουν προκειµένου ο υπολογιστής να εκτελέσει την εντολή. Σε αυτές τις εξισώσεις, το αριστερό βέλος υποδεικνύει µεταφορά και εγγραφή πληροφορίας (εκχώρηση - assignment). Ο συµβολισµός "DM[Addr]" σηµαίνει τη θέση (λέξη) της µνήµης δεδοµένων στη διεύθυνση Addr. Όταν ο συσσωρευτής, ACC, εµφανίζεται και δεξιά και αριστερά από το βέλος, τότε δεξιά µεν σηµαίνει το παλαιό περιεχόµενό του (πριν την ακµή ρολογιού), αριστερά δε σηµαίνει τη νέα τιµή του (µετά την ακµή ρολογιού). Έτσι, η εντολή load Addr (φόρτωσε) διαβάζει τον αριθµό που περιέχεται στη διεύθυνση Addr της µνήµης, δηλαδή διαβάζει το DM[Addr], και το αντιγράφει στο συσσωρευτή. Η εντολή add Addr προσθέτει το παλαιό περιεχόµενο του ACC µε τον αριθµό που περιέχεται στη διεύθυνση Addr της µνήµης, και γράφει το αποτέλεσµα στον ACC. Αντίστοιχα, οι εντολές sub, not, and, or κάνουν άλλες παρόµοιες πράξεις. Τέλος, η εντολή store Addr (αποθήκευσε) αντιγράφει το περιεχόµενο του ACC στη θέση (λέξη) µνήµης µε διεύθυνση Addr. Γιά παράδειγµα, λοιπόν, αν η µνήµη δεδοµένων περιέχει τους αριθµούς που φαίνονται στο παραπάνω σχήµα, τότε το πρόγραµµα: "load 11; add 12; add 13; store 14;" θα προκαλέσει τις εξής ενέργειες. Πρώτα θα διαβαστεί ο αριθµός 110 από τη θέση 11 και θα γραφτεί στο συσσωρευτή µετά, θα διαβαστεί ο αριθµός 120 και θα προστεθεί στον 110, και το αποτέλεσµα 230 θα γραφτεί στο συσσωρευτή εν συνεχεία, θα διαβαστεί το 130 από τη θέση 13, θα προστεθεί στο 230, και το αποτέλεσµα 360 θα µείνει στο συσσωρευτή και τέλος, το περιεχόµενο 360 του συσσωρευτή θα γραφτεί στη θέση µνήµης Ανάγνωση & Εκτέλεση Εντολών: Γιά να µπορέσει ο υπολογιστής να εκτελέσει τις εντολές του προγράµµατος, πρέπει αυτές να είναι κάπου γραµµένες, και από εκεί να τις διαβάζει µία-µία και να τις εκτελεί. Αυτός είναι ο ρόλος των κυκλωµάτων που θα προσθέσουµε εδώ στο κύκλωµα της 11.2, και τα οποία φαίνονται στο επόµενο σχήµα - αριστερό ήµισυ. Το πρόγραµµα είναι αποθηκευµένο στη "Μνήµη Εντολών" (Instruction Memory). Κανονικά, οι υπολογιστές χρησιµοποιούν την ίδια µνήµη γιά να αποθηκεύουν τόσο τα δεδοµένα όσο και το πρόγραµµα (σε χωριστές διευθύνσεις το καθένα) µε τον τρόπο αυτό, αν έχουµε ένα µικρό πρόγραµµα υπάρχει χώρος γιά πολλά δεδοµένα, και αντιστρόφως, αν έχουµε λίγα δεδοµένα µπορεί το πρόγραµµα να είναι µεγάλο. Εµείς εδώ χρησιµοποιούµε δύο χωριστές µνήµες, µιά γιά δεδοµένα και µιά γιά εντολές, γιά να απλοποιηθεί το κύκλωµα και η λειτουργία του. Γιά να µπορέσει το κύκλωµά µας να εκτελέσει µιάν εντολή, πρέπει να την διαβάσει από τη µνήµη εντολών, και γιά το σκοπό αυτό χρειάζεται τη διεύθυνση της µνήµης αυτής όπου βρίσκεται η επιθυµητή εντολή. Αυτός είναι ο ρόλος του καταχωρητή PC: να θυµάται τη διεύθυνση αυτή. Στο παράδειγµα του σχήµατος, ο PC περιέχει τον αριθµό 3, ο οποίος δίδεται σα διεύθυνση στη µνήµη εντολών η µνήµη εντολών διαβάζει και µας δίνει το περιεχόµενο της θέσης 3, το οποίο εδώ τυχαίνει να είναι η εντολή load 11 --κωδικοποιηµένη σε γλώσσα µηχανής φυσικά, δηλαδή " " όπως θα δούµε σε λίγο. Κάθε λέξη της µνήµης εντολών είναι 16 bits, και περιέχει µιάν εντολή. Τα 16 σύρµατα ανάγνωσης που βγαίνουν από τη µνήµη αυτή, τα χωρίζουµε σε 4 αριστερά (MS) σύρµατα που πηγαίνουν στο κύκλωµα

4 /12/ :06 µµ 4 of 8 ελέγχου, και 12 δεξιά (LS) σύρµατα που πηγαίνουν σαν διεύθυνση στη µνήµη δεδοµένων. Αφού όλες οι εντολές του υπολογιστή µας αποτελούνται από έναν opcode στα 4 MS bits και µία διεύθυνση στα 12 LS bits, µε τη συνδεσµολογία αυτή πηγαίνει ο opcode στο κύκλωµα ελέγχου και η διεύθυνση στη µνήµη δεδοµένων. Στο παράδειγµά µας, ο opcode είναι 0000 (που σηµαίνει load), και η διεύθυνση είναι (δηλ. 11 δεκαδικό). Το κύκλωµα ελέγχου, βλέποντας την εντολή load, θα ζητήσει ανάγνωση από τη µνήµη δεδοµένων (dm_re=1, dm_we=0, acc2bus=0) και θα θέσει την ALU σε λειτουργία passb (alu_md=0000 ή 0010). Η µνήµη δεδοµένων, βλέποντας τη διεύθυνση 11 και ότι της ζητείται ανάγνωση, θα τοποθετήσει τον αριθµό 110 στη λεωφόρο η ALU, εκτελώντας λειτουργία passb, θα περάσει τον αριθµό 110 στην έξοδό της στην ενεργή ακµή του ρολογιού, ο αριθµός αυτός θα γραφτεί στο συσσωρευτή ACC, ολοκληρώνοντας έτσι την εκτέλεση της εντολής load 11. Μετά την εκτέλεση της εντολής load 11 από τη θέση 3 της µνήµης εντολών, πρέπει να εκτελεστεί η επόµενη εντολή. Κατά πάγια σύµβαση, εκτός ειδικών εξαιρέσεων που θα δούµε σε λίγο, η επόµενη εντολή βρίσκεται γραµµένη στην ακριβώς επόµενη θέση µνήµης --εδώ, στη διεύθυνση 4. Γιά να προκύψει η επόµενη αυτή διεύθυνση γιά τη µνήµη εντολών, χρησιµοποιούµε τον αυξητή (incrementor) που φαίνεται αριστερά στο σχήµα, δηλαδή έναν αθροιστή µε δεύτερη είσοδο το +1. Έτσι, στην ίδια παραπάνω ενεργή ακµή του ρολογιού που γράφεται ο αριθµός 110 στο συσσωρευτή ACC, γράφεται και το αποτέλεσµα της πρόσθεσης 3+1=4 στον καταχωρητή PC. Το αποτέλεσµα είναι ότι στον επόµενο κύκλο ρολογιού ο PC θα περιέχει 4 η µνήµη εντολών θα διαβάσει και θα µας δώσει το περιεχόµενο " " της θέσης 4, δηλαδή την εντολή add 12 το κύκλωµα ελέγχου, βλέποντας opcode=0010 (add), θα δώσει dm_re=1, dm_we=0, acc2bus=0, και alu_md=10x0 (δηλ. add) η µνήµη δεδοµένων, βλέποντας διεύθυνση 12 και dm_re=1, θα διαβάσει και θα δώσει στη λεωφόρο τον αριθµό 120 η ALU, βλέποντας ACC=110, στη λεωφόρο το 120, και alu_md=add, θα προσθέσει και θα δώσει στην έξοδό της 230 και ο αθροιστής/αυξητής αριστερά, βλέποντας PC=4, θα δώσει στην έξοδό του 4+1=5. Μόλις έλθει η επόµενη ενεργή ακµή ρολογιού, το 230 θα µπεί στον ACC, και το 5 θα µπεί στον PC, ολοκληρώνοντας έτσι την εκτέλεση της εντολής add 12, και προετοιµάζοντάς µας γιά την επόµενη εντολή, add 13, από τη θέση 5. Ο καταχωρητής PC ονοµάζεται "Μετρητής Προγράµµατος" (Program Counter - PC), επειδή είναι κατά βάση ένας µετρητής που αυξάνεται κατά 1 στο τέλος της εκτέλεσης κάθε εντολής γιά να µας δώσει τη διεύθυνση της επόµενης εντολής ο πολυπλέκτης που υπάρχει στην είσοδό του προορίζεται γιά την αρχικοποίησή του, όταν δίδεται σήµα reset. Το κύκλωµα ελέγχου (control) είναι υπεύθυνο γιά τη δηµιουργία όλων των σηµάτων ελέγχου που λένε σε κάθε µονάδα τι να κάνει κάθε φορά. Όλες οι εντολές που είδαµε µέχρι στιγµής διαβάζονται και εκτελούνται σε έναν κύκλο ρολογιού η καθεµία, και γι' αυτό το κύκλωµα ελέγχου, µέχρι στιγµής, είναι ένα απλό συνδυαστικό κύκλωµα. Ο πίνακας αληθείας του προκύπτει αν σκεφτούµε τι εργασίες πρέπει να γίνουν γιά την εκτέλεση κάθε εντολής: reset: opcode: md_re: md_we: alu_md: acc2bus: pc_md: 1 xxxx 0 0 0x01 (zero) (load) x0 (passb) (store) x0 (passb) (add) x0 (add) (sub) x0 (sub) (and) (and) (or) (or) (not) (not) 0 1 Το σήµα reset επαναφέρει τον υπολογιστή στην αρχική κατάσταση εκκίνησης, ό,τι κι αν έκανε αυτός πριν (opcode=xxxx): αρχικοποιεί τον PC στο 0, γιά να αρχίσει να εκτελεί εντολές από την αρχή της µνήµης εντολών. Οι εντολές load και add εκτελούνται όπως περιγράψαµε παραπάνω. Οι εντολές sub, and, or, και not εκτελούνται κατά εντελώς ανάλογο τρόπο --απλώς αλλάζει το mode της ALU. Η εντολή store διαφέρει λίγο: ανάβοντας το acc2bus=1 (µε md_re=0, φυσικά), τοποθετεί την τιµή του συσσωρευτή στο bus ενεργοποιώντας το md_we=1, η τιµή αυτή από το bus εγγράφεται στη µνήµη δεδοµένων επίσης, θέτοντας την ALU σε mode passb, η τιµή από το bus επανεγγράφεται στον ACC, άρα διατηρείται εκεί αµετάβλητη. Υπάρχει µιά λεπτοµέρεια που δεν είναι σωστή σε αυτό το συνδυαστικό

5 /12/ :06 µµ 5 of 8 τρόπο γέννησης του σήµατος md_we: δεν υπάρχει εγγύηση ότι το σήµα αυτό θα ανάψει µετά τη σταθεροποίηση της διεύθυνσης της µνήµης δεδοµένων, όπως πρέπει να γίνει το πρόβληµα αυτό δεν µπορεί να διορθωθεί παρά µόνο αν αλλάξει το κύκλωµα ελέγχου και γίνει ακολουθιακό (FSM) Επανεκτέλεση Εντολών: ιακλαδώσεις υπό Συνθήκη, Άλµατα Γιά όλες τις εντολές που είδαµε µέχρι στιγµής, η επόµενή τους προς εκτέλεση εντολή ήταν αυτή που είναι γραµµένη στην αµέσως "από κάτω" θέση µνήµης. Αν ήταν έτσι όλες οι εντολές ενός προγράµµατος, οι εντολές αυτές θα εκτελούντο ακριβώς µία φορά η καθεµιά, από την πρώτη µέχρι την τελευταία, και το πρόγραµµα θα τελείωνε πολύ γρήγορα. Όπως ξέρουµε, όµως, οι υπολογιστές αντλούν τη δύναµη και την ευελιξία τους από τη δυνατότητά τους να επανεκτελούν πολλαπλές φορές την ίδια σειρά εντολών (την ίδια δουλειά - τον ίδιο αλγόριθµο) πάνω σε διαφορετικά κάθε φορά δεδοµένα, ούτως ώστε τελικά να επιτυγχάνουν µακρές και πολύπλοκες επεξεργασίες πληροφοριών. Τη δυνατότητα αυτή την αποκτούν µε τις εντολές διακλάδωσης (branch) ή άλµατος (jump). Μιά εντολή άλµατος κάνει ώστε η επόµενη εντολή που θα εκτελεστεί να είναι η εντολή που βρίσκεται σε ορισµένη θέση (διεύθυνση) διαφορετική από την "από κάτω" θέση. Μιά εντολή διακλάδωσης κάνει το ίδιο, αλλά υπό συνθήκη, δηλαδή µερικές φορές η επόµενη εντολή είναι η "άλλη", και µερικές φορές θα είναι η "από κάτω", ανάλογα αν ισχύει ή όχι µιά δοσµένη συνθήκη κάθε φορά. Στο σχήµα βλέπουµε ένα παράδειγµα προγράµµατος που υπολογίζει το άθροισµα και το γράφει στη θέση 14 της µνήµης δεδοµένων. Ο υπολογισµός γίνεται χρησιµοποιώντας κυρίως τις θέσεις 12 και 13 της µνήµης δεδοµένων (κάτω µέρος σχήµατος), οι οποίες έχουν αρχική τιµή 10 (η µεταβλητή "n") και 0 (η µεταβλητή "s") αντίστοιχα. Οι τρείς πρώτες εντολές του προγράµµατος (θέσεις 3, 4, και 5) διαβάζουν την τρέχουσα τιµή της µεταβλητής s, της προσθέτουν την τρέχουσα τιµή της µεταβλητής n, και γράφουν το αποτέλεσµα πίσω στην s. Την πρώτη φορά που εκτελούνται αυτές οι εντολές, αυξάνουν το s από 0 σε 10. Οι τρείς επόµενες εντολές (θέσεις 6, 7, και 8) ελάττώνουν τη µεταβλητή n κατά 1 την πρώτη φορά που εκτελούνται, αλλάζουν το n από 10 σε 9. Στη συνέχεια εκτελείται η εντολή bne 3 από τη θέση 9 η εντολή αυτή σηµαίνει εάν ο συσσωρευτής δεν ισούται µε µηδέν, διακλαδώσου (πήγαινε) στην εντολή 3 (branch if ACC not equal to zero - brach not equal - bne). Επειδή εκείνη την ώρα ο συσσωρευτής περιέχει το n=9, που είναι διάφορο του µηδενός, η συνθήκη της διακλάδωσης είναι αληθής και η διακλάδωση επιτυγχάνει (πραγµατοποιείται). Έτσι, επόµενες εντολές εκτελούνται οι εντολές 3, 4, και 5, αυξάνοντας το s από 10 σε 19, και µετά οι 6, 7, και 8, µειώνοντας το n από 9 σε 8. Μετά, ξαναεκτελείται η bne 3 επειδή ο συσσωρευτής περιέχει το 8, η διακλάδωση επιτυγχάνει και πάλι. Έτσι, οι εντολές 3 έως και 9 θα ξαναεκτελεστούν κάµποσες φορές ακόµα, αυξάνοντας διαδοχικά το s κατά 8, 7,..., 2, και 1, και µειώνοντας το n διαδοχικά σε 7, 6,..., 1, και 0. Την τελευταία φορά, στο συσσωρευτή θα έχει µείνει n=0. Τότε, η διακλάδωση bne 3 θα αποτύχει, διότι ο συσσωρευτής δεν είναι πλέον διάφορος του µηδενός έτσι, η επόµενη εντολή δεν θα διαβαστεί από τη θέση 3 όπως πρίν, αλλά από τη θέση 10, δηλαδή από την "από κάτω" θέση, όπως κάνουν και όλες οι άλλες εντολές που δεν είναι διακλαδώσεις. Τώρα, οι εντολές 10 και 11 θα αντιγράψουν το τελικό αποτέλεσµα = 55 από τη θέση 13 (s) στη θέση 14 (S) και ο στόχος του προγράµµατος θα έχει επιτευχθεί. Γιά να µπορέσει ο υπολογιστής µας να εκτελεί εντολές διακλάδωσης (υπό συνθήκη) και άλµατος (χωρίς συνθήκη), απαιτείται µιά προσθήκη στο κύκλωµα του PC, η οποία φαίνεται στο σχήµα δίπλα, και µιά αλλαγή στο κύκλωµα ελέγχου. Στον PC, µεγαλώσαµε τον πολυπλέκτη εισόδου του απο 2-σε-1 σε 4-σε-1, ούτως ώστε να προσφέρουµε περισσότερες επιλογές γιά τον τρόπο προσδιορισµού της επόµενης τιµής του PC, δηλαδή του ποιά θα είναι (από ποιά διεύθυνση) η επόµενη εντολή. Η νέα επιλογή που προσθέτουµε είναι το πεδίο Addr της παρούσας εντολής έτσι, όταν εκτελούµε µιάν εντολή διακλάδωσης ή άλµατος, όπως bne 3, το πεδίο διεύθυνσής της (εδώ το "3") µπορεί να αποτελέσει την επόµενη τιµή του PC όταν η εντολή είναι άλµα ή επιτυχηµένη διακλάδωση. Η είσοδος

6 /12/ :06 µµ 6 of 8 "10" του πολυπλέκτη προορίζεται γιά τις προσθήκες της εποµένης παραγράφου. Όσον αφορά το κύκλωµα ελέγχου, αυτό χρειάζεται περισσότερες εισόδους τώρα, γιά να ξέρει να ελέγξει σωστά τη λειτουργία του υπολογιστή: δεν αρκεί πλέον να ξέρει µόνο τον opcode της παρούσας εντολής (και το αν κάνουµε reset ή όχι), αλλά πρέπει να ξέρει και το αν ο συσσωρευτής είναι µηδέν ή όχι, δεδοµένου ότι από αυτό εξαρτάται η έκβαση της εντολής bne. Αυτός είναι ο ρόλος της προσθήκης "sign, zero" (πρόσηµο, µηδέν) που κοιτάζει το περιεχόµενο του συσσωρευτή, ACC. Ο υπολογιστής µας θα έχει τέσσερεις εντολές διακλάδωσης υπό συνθήκη: (α) bne (branch not equal): διακλαδώσου εάν ACC διάφορος του µηδενός (β) beq (branch equal): διακλαδώσου εάν ACC ίσος µε το µηδέν (γ) bge (branch greater or equal): διακλαδώσου εάν ACC µεγαλύτερος ή ίσος του µηδενός και (δ) blt (branch less than): διακλαδώσου εάν ACC µικρότερος του µηδενός επίσης θα έχει µιάν εντολή άλµατος χωρίς συνθήκη, jump, η οποία αλλάζει πάντοτε την επόµενη εντολή. Γιά να εκτελεστούν οι εντολές διακλάδωσης πρέπει να ξέρουµε το πρόσηµο του συσσωρευτή καθώς και αν αυτός είναι µηδέν ή όχι. Όπως είδαµε στην 6.3, το πρόσηµο ενός αριθµού κωδικοποιηµένου σε συµπλήρωµα ως προς 2 είναι το περισσότερο σηµαντικό (MS) bit του (το αριστερότερο bit): όταν αυτό είναι 1 τότε ο αριθµός είναι αρνητικός, ενώ όταν το MS bit είναι 0 ο αριθµός είναι θετικός ή µηδέν. Η ανίχνευση του εάν ο αριθµός είναι µηδέν ή διάφορος του µηδενός απαιτεί µιά πύλη NOR µε τόσες εισόδους όσα τα bits του αριθµού. Αφού η έξοδος µιάς πύλης NOR είναι 1 όταν και µόνον όταν όλες οι είσοδοί της είναι µηδέν, συνδέοντας κάθε bit του συσσωρευτή σε µίαν είσοδο της NOR έχουµε την έξοδό της να ανάβει όταν και µόνον όταν όλα τα bits του συσσωρευτή είναι µηδέν, δηλαδή όταν ο ACC περιέχει τον αριθµό µηδέν. Ονοµάζουµε accsign το MS bit του ACC, ονοµάζουµε acczero την έξοδο της πύλης NOR 16 εισόδων, και παρέχουµε στο κύκλωµα ελέγχου αυτά τα δύο σήµατα σαν εισόδους του. Τώρα, ο πίνακας αληθείας του κυκλώµατος ελέγχου πρέπει να µετατραπεί ως εξής, σε σχέση µε αυτόν που είδαµε στο τέλος της 11.4: reset: opcode: acczero: accsign: md_re,we: alu_md: acc2bus: pc_md: 1 xxxx x x 0 0 zero (load) x x 1 0 passb (store) x x 0 1 passb (add,...) x x 1 0 add, (bne) 0 x 0 0 passb (bne) 1 x 0 0 passb (beq) 0 x 0 0 passb (beq) 1 x 0 0 passb (bge) x passb (bge) x passb (blt) x passb (blt) x passb (jump) x x 0 0 passb 1 11 Γιά τις εντολές που προϋπήρχαν, τα σήµατα ελέγχου δεν εξαρτώνται από τις νέες εισόδους, acczero και accsign, όπως υποδεικνύουν τα "x" στις αντίστοιχες γραµµές και στήλες το ίδιο ισχύει και γιά την εντολή jump. Γιά τις εντολές διακλάδωσης, όµως, το σήµα pc_md που ελέγχει το ποιά θα είναι η επόµενη εντολή καθορίζεται από τον τύπο της διακλάδωσης και από τις εισόδους aluzero και alusign. Κατά την εκτέλεση των εντολών διακλάδωσης και άλµατος, οδηγούµε το συσσωρευτή ACC στη λεωφόρο bus (acc2bus=1, md_re=0), και θέτουµε την ALU σε λειτουργία passb προκειµένου η τιµή που υπήρχε στο συσσωρευτή να ανακυκλώνεται εκεί, και εποµένως να διατηρείται αµετάβλητη οµές εδοµένων και ιαδικασίες: Μεταβλητές ιευθύνσεις Μνήµης Σε όλες τις εντολές που είδαµε µέχρι τώρα, οι διευθύνσεις των δεδοµένων καθορίζονταν οριστικά και αµετάκλητα από το πρόγραµµα: ήταν γραµµένες µέσα του. Μιά τέτοια εντολή κάνει πάντα την πράξη της στην ίδια µεταβλητή --στην ίδια θέση (διεύθυνση) της µνήµης δεδοµένων. Με τέτοιες εντολές και µόνο δεν µπορούµε π.χ. να βρούµε το άθροισµα κάµποσων αριθµών που είναι γραµµένοι στη µνήµη, όπως ας πούµε των 10 αριθµών A 10 +A 9 +A A 2 +A 1 στις θέσεις 30, 29, 28,..., 22, και 21 στο διπλανό σχήµα, µε ένα πρόγραµµα παρόµοιο µε αυτό της Γιά να πετύχουµε ένα τέτοιο στόχο, χρειαζόµαστε µιά παραλλαγή της εντολής add η οποία να προσθέτει στο συσσωρευτή όχι το περιεχόµενο µιάς σταθερής --πάντα της ίδιας-- θέσης (διεύθυνσης) µνήµης, αλλά το περιεχόµενο µιάς µεταβλητής θέσης µνήµης --µιάς θέσης που τη διεύθυνσή της να µπορεί να την υπολογίζει και να την αλλάζει το ίδιο το πρόγραµµα την ώρα που τρέχει! Ας ονοµάσουµε αυτή την εντολή addx (add indexed - πρόσθεση µε δείκτη), και ας θεωρήσουµε ότι το πρόγραµµά µας τώρα αρχίζει µε τις εντολές: load 13; addx 14; store 13. Η πρώτη εντολή φέρνει στο συσσωρευτή την προηγούµενη τιµή του s η εντολή addx

7 /12/ :06 µµ 7 of 8 14 πηγαίνει στη θέση 14, διαβάζει το περιεχόµενό της, και στη συνέχεια χρησιµοποιεί αυτό το περιεχόµενο σαν νέα διεύθυνση της µνήµης δεδοµένων και πηγαίνει εκεί να διαβάσει έναν αριθµό και να τον προσθέσει στο συσσωρευτή. Την πρώτη φορά που θα εκτελεστεί η εντολή addx, βρίσκει στη θέση 14 τον αριθµό 30, και εποµένως διαβάζει από τη θέση 30 τον αριθµό A 10 και τον προσθέτει στο συσσωρευτή. Τη δεύτερη φορά που θα γυρίσουµε να ξαναεκτελέσουµε την εντολή addx, φροντίζουµε να έχουµε ήδη µειώσει κατά 1 το περιεχόµενο της θέσης 14, µε τον ίδιο τρόπο που µειώσαµε κατά 1 και το n στη θέση 12. Έτσι, τώρα, η εντολή addx θα βρεί στη θέση 14 τον αριθµό 29 και θα προσθέσει τον A 9 από τη θέση 29 στο συσσωρευτή, κ.ο.κ. Γιά να µπορεί να εκτελεί εντολές όπως η παραπάνω addx, ο υπολογιστής µας χρειάζεται τις προσθήκες που φαίνονται στο επόµενο σχήµα: ένα πολυπλέκτη στην είσοδο διευθύνσεων της µνήµης δεδοµένων, προκειµένου η διεύθυνση αυτή να µπορεί να προέρχεται είτε από την εντολή, είτε από ένα δεδοµένο που διαβάσαµε από την ίδια τη µνήµη δεδοµένων. Επειδή πρέπει να γίνουν δύο αναγνώσεις από τη µνήµη δεδοµένων, η µία µετά την άλλη, χρειαζόµαστε και έναν καταχωρητή, tmp, που να κρατάει το αποτέλεσµα της πρώτης ανάγνωσης διαθέσιµο γιά τη δεύτερη. Το κύκλωµα ελέγχου, τώρα, δεν µπορεί πλέον να είναι συνδυαστικό: επειδή η εντολή addx χρειάζεται δύο κύκλους ρολογιού, το κύκλωµα ελέγχου πρέπει να περιέχει και 1 bit κατάστασης που να µας πληροφορεί αν τώρα βρισκόµαστε στον πρώτο ή στο δεύτερο κύκλο της εκτέλεσης εποµένως, το κύκλωµα ελέγχου τώρα θα είναι µιά µικρή FSM δύο καταστάσεων. Επίσης, χρειαζόµαστε µιά µέθοδο ώστε οι καταχωρητές ACC και PC να µπορούν να διατηρούν την τιµή τους αµετάβλητη τον πρώτο από τους δύο αυτούς κύκλους ρολογιού. Η λύση είναι η χρήση καταχωρητών µε ένα µικρό εσωτερικό πολυπλέκτη ανακύκλωσης αυτοί ονοµάζονται καταχωρητές µε είσοδο ελέγχου επίτρεψης φόρτωσης (load enable). Παρόµοια µε την εντολή addx, θα χρειαστούµε σίγουρα και µιάν εντολή storex, και βολική θα είναι και µία loadx. Μιά άλλη δυνατότητα που δεν είχε η προηγούµενη µορφή του υπολογιστή µας, και που προστέθηκε εδώ, είναι η δυνατότητα εντολής άλµατος jumpx Addr µε µεταβλητή διεύθυνση προορισµού: η εντολή αυτή διαβάζει έναν αριθµό από τη θέση Addr της µνήµης δεδοµένων και τον γράφει στον PC. Με τον τρόπο αυτό, η επόµενη εντολή που θα εκτελεστεί θα είναι µιά εντολή που µπορεί να την επιλέξει και να τη µεταβάλει το ίδιο το πρόγραµµα την ώρα που τρέχει! Όπως θα δούµε στο µάθηµα "Οργάνωση Υπολογιστών" (ΗΥ-225), µε την εντολή αυτή µπορούµε να υλοποιούµε διαδικασίες (procedures) και άλλες προηγµένες δυνατότητες των οντοκεντρικών γλωσσών προγραµµατισµού Ταχύτητα και Κατανάλωση Ενέργειας των Κυκλωµάτων CMOS: Η κυριότερη πηγή καθυστερήσεων στα µικροηλεκτρονικά chips είναι ο χρόνος t που ένα ρεύµα I χρειάζεται γιά να φορτίσει ή να εκφορτίσει µιά παρασιτική χωρητικότητα C αλλάζοντας την τάση της κατά V: t = Q / I = C V / I (όπου Q είναι το ηλεκτρικό φορτίο που δίνουµε ή παίρνουµε από τη χωρητικότητα). Από την εξίσωση αυτή προκύπτει ότι γιά να έχουµε γρηγορότερα κυκλώµατα, δηλαδή γιά να ελαττώσουµε την καθυστέρηση t της κάθε πύλης, πρέπει: Να ελαττώσουµε την παρασιτική χωρητικότητα "φορτίου" C που είναι συνδεδεµένη στην έξοδο της πύλης, και που εποµένως η πύλη αυτή πρέπει να την φορτίζει και να την εκφορτίζει κάθε φορά που χρειάζεται να αλλάξει την τάση (λογική τιµή) της εξόδου της. Η χωρητικότητα φορτίου µιάς πύλης καθορίζεται από το πόσο πολλά και πόσο µεγάλα πράγµατα συνδέονται στην έξοδό της. Όσο περισσότερες άλλες πύλες έχουµε συνδέσει στην έξοδό της, τόσο µεγαλώνει αυτή η χωρητικότητα φορτίου. Επίσης, όσο µεγαλύτερα transistors έχουν αυτές οι άλλες πύλες, πάλι τόσο µεγαλώνει η χωρητικότητα φορτίου. Ακόµα, εάν υπάρχουν µακρυά καλώδια συνδεδεµένα στην έξοδό µας, και αυτά προσθέτουν χωρητικότητα. Άρα, γιά γρήγορα κυκλώµατα, πρέπει κάθε πύλη να οδηγεί λίγες άλλες πύλες, σε κοντινές αποστάσεις: όσο µικρότερο και απλούστερο είναι ένα κύκλωµα, τόσο γρηγορότερα δουλεύει! Να ελαττώσουµε τις αλλαγές τάσης V της χωρητικότητας φορτίου της πύλης. Συνήθως, αυτές είναι αλλαγές µεταξύ των δύο λογικών επιπέδων, "0" και "1", δηλ. µεταξύ 0 Volt και της τάσης

8 /12/ :06 µµ 8 of 8 τροφοδοσίας. Έτσι, νεότερες γενιές chips έχουν χαµηλότερη τάση τροφοδοσίας (π.χ. 2.5 V, 1.8 V, 1.2 V) από τις παλαιότερες. Ένα µειονέκτηµα της µείωσης αυτής είναι ότι τα κυκλώµατα γίνονται πιό ευαίσθητα στο θόρυβο, π.χ. θόρυβος 1.5 Volt είναι αδιάφορος σε κυκλώµατα των 5 Volt, ενώ είναι καταστροφικός σε κυκλώµατα µε τροφοδοσία 1.2 Volt. Να αυξήσουµε το ρεύµα I που η πύλη µπορεί να δώσει στην έξοδό της προκειµένου να κάνει τη χωρητικότητα φορτίου να αλλάξει τάση (λογική τιµή). Το ρεύµα αυτό καθορίζεται από τρείς παράγοντες: Όσο λιγότερα transistors εν σειρά έχει το κύκλωµα µιάς πύλης, τόσο µεγαλύτερο ρεύµα µπορεί να περάσει µέσα από αυτά. Αν θυµηθούµε ότι µιά πύλη έχει τόσα transistors εν σειρά όσες και οι είσοδοί της, προκύπτει ότι γιά γρήγορα κυκλώµατα πρέπει οι πύλες να έχουν λίγες εισόδους η κάθε µία, άρα και πάλι όσο απλούστερο είναι ένα κύκλωµα, τόσο γρηγορότερα δουλεύει! Όσο µεγαλύτερο είναι ένα transistor (όσο φαρδύτερο είναι το κανάλι του), τόσο µεγαλύτερο ρεύµα δίνει. Το κακό µε κάθε µεγάλο transistor είναι ότι αυξάνει ανάλογα και η παρασιτική του χωρητικότητα, εποµένως η προηγούµενη πύλη που οδηγεί αυτό το transistor βλέπει µεγαλύτερη χωρητικότητα φορτίου και γίνεται πιό αργή! Όσο µεγαλύτερη είναι η τάση οδήγησης ενός transistor τόσο µεγαλύτερο ρεύµα δίνει αυτό. Το κακό µε το µεγάλωµα της τάσης οδήγησης των transistors, δηλαδή της τάσης τροφοδοσίας, είναι ότι αυτή αυξάνει και το V της εξόδου που λέγαµε παραπάνω, άρα είναι "δώρον άδωρο". Εκτός από την ταχύτητα ενός κυκλώµατος, το άλλο σηµαντικό χαρακτηριστικό που µας απασχολεί είναι η κατανάλωση ενέργειας ανά µονάδα χρόνου (ηλεκτρική ισχύς): πόσο γρήγορα ξοδεύει την µπαταρία, ή πόσο πολύ ζεσταίνεται (πόσους ανεµιστήρες ή κλιµατιστικά χρειάζεται). Η µεγαλύτερη συνηθισµένη πηγή κατανάλωσης ισχύος των chips CMOS είναι η ενέργεια φόρτισης και εκφόρτισης των παρασιτικών χωρητικοτήτων που αυτά έχουν µέσα τους. Κάθε φορά που η λογική τιµή ενός ηλεκτρικού κόµβου ανεβαίνει από το 0 στο 1 και µετά ξαναπέφτει στο 0, χάνεται (µετατρέπεται σε θερµότητα) ποσότητα ενέργειας ίση πρός C V 2, όπου C η παρασιτική χωρητικότητα του κόµβου και V η τάση τροφοδοσίας. Αυτός είναι ο κύριος λόγος γιά τον οποίο οι νεότερες γενιές chips έχουν χαµηλότερη τάση τροφοδοσίας (π.χ. 2.5 V, 1.8 V, 1.2 V) από τις παλαιότερες. Γιά δοσµένη τάση τροφοδοσίας, όσο περισσότεροι, και µεγαλύτερης χωρητικότητας, ηλεκτρικοι κόµβοι ανεβοκατεβαίνουν (αναβοσβήνουν) µέσα σε ένα chip, και όσο περισσότερες φορές ανά δευτερόλεπτο το κάνουν αυτό, τόσο µεγαλύτερη ισχύ καταναλώνει το chip αυτό. Άρα, γιά µικρή κατανάλωση, πρέπει ένα κύκλωµα να είναι µικρό (λίγες πύλες, µικρή χωρητικότητα), ή να δουλεύει αργά (λιγότερα ανεβοκατεβάσµατα ανά δευτερόλεπτο), ή να δουλεύει γιά λίγο και µετά να κάθεται (να µην αλλάζει κατάσταση), ή τις περισσότερες φορές να εργάζεται ένα µικρό µόνο µέρος του κυκλώµατος και το υπόλοιπο να κάθεται. Up to the Home Page of CS-120 copyright University of Crete, Greece. last updated: 08 Dec. 2004, by M. Katevenis.

Εργαστήριο 11: Ένας απλός Υπολογιστής: Datapath & Εντολές Πράξεων

Εργαστήριο 11: Ένας απλός Υπολογιστής: Datapath & Εντολές Πράξεων 7/12/2005 2:06 µµ 1 of 9 ΗΥ-120: Ψηφιακή Σχεδίαση Φθινόπωρο 2005 Τµ. Επ. Υπολογιστών Πανεπιστήµιο Κρήτης Εργαστήριο 11: Ένας απλός Υπολογιστής: Datapath & Εντολές Πράξεων 12-16 εκεµβρίου 2005 Στο τελευταίο

Διαβάστε περισσότερα

Εργαστήριο 11: Ένας απλός Υπολογιστής: Datapath & Εντολές Πράξεων

Εργαστήριο 11: Ένας απλός Υπολογιστής: Datapath & Εντολές Πράξεων 1 of 10 ΗΥ-120: Ψηφιακή Σχεδίαση Φθινόπωρο 2006 Τµ. Επ. Υπολογιστών Πανεπιστήµιο Κρήτης Εργαστήριο 11: Ένας απλός Υπολογιστής: Datapath & Εντολές Πράξεων 29 Ιανουαρίου - 1 Φεβρουαρίου 2007 Το φυλλάδιο

Διαβάστε περισσότερα

Εργαστήριο 11: Ένας απλός Υπολογιστής: Datapath & Εντολές Πράξεων

Εργαστήριο 11: Ένας απλός Υπολογιστής: Datapath & Εντολές Πράξεων 1 of 10 ΗΥ-120: Ψηφιακή Σχεδίαση Φθινόπωρο 2007 Τμ. Επ. Υπολογιστών Πανεπιστήμιο Κρήτης Εργαστήριο 11: Ένας απλός Υπολογιστής: Datapath & Εντολές Πράξεων 17-20 Δεκεμβρίου 2007 Στο τελευταίο αυτό μέρος

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΡΗΤΗΣ. Εργαστήριο 11: Ένας απλός Υπολογιστής: Datapath & Εντολές Πράξεων

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΡΗΤΗΣ. Εργαστήριο 11: Ένας απλός Υπολογιστής: Datapath & Εντολές Πράξεων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΡΗΤΗΣ Ψηφιακή Σχεδίαση Εργαστήριο : Ένας απλός Υπολογιστής: Datapath & Εντολές Πράξεων Μανόλης Γ.Η. Κατεβαίνης Τμήμα Επιστήμης Υπολογιστών Άδειες Χρήσης Το παρόν εκπαιδευτικό

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΡΗΤΗΣ

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΡΗΤΗΣ ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΡΗΤΗΣ Ψηφιακή Σχεδίαση Εργαστήριο 12: Διακλαδώσεις, Έμμεσες Προσπελάσεις (Pointers), και περί Ταχύτητας και Κατανάλωσης Ενέργειας των Κυκλωμάτων CMOS Μανόλης Γ.Η. Κατεβαίνης

Διαβάστε περισσότερα

Οργάνωση επεξεργαστή (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική

Οργάνωση επεξεργαστή (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική Οργάνωση επεξεργαστή (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική Κώδικας μηχανής (E) Ο επεξεργαστής μπορεί να εκτελέσει το αρχιτεκτονικό σύνολο εντολών (instruction set architecture) Οι

Διαβάστε περισσότερα

Κεφάλαιο 3 Αρχιτεκτονική Ηλεκτρονικού Τμήματος (hardware) των Υπολογιστικών Συστημάτων ΕΡΩΤΗΣΕΙΣ ΑΣΚΗΣΕΙΣ

Κεφάλαιο 3 Αρχιτεκτονική Ηλεκτρονικού Τμήματος (hardware) των Υπολογιστικών Συστημάτων ΕΡΩΤΗΣΕΙΣ ΑΣΚΗΣΕΙΣ Κεφάλαιο 3 Αρχιτεκτονική Ηλεκτρονικού Τμήματος (hardware) των Υπολογιστικών Συστημάτων ΕΡΩΤΗΣΕΙΣ ΑΣΚΗΣΕΙΣ 1. Τι εννοούμε με τον όρο υπολογιστικό σύστημα και τι με τον όρο μικροϋπολογιστικό σύστημα; Υπολογιστικό

Διαβάστε περισσότερα

Μάθημα 3.2: Κεντρική Μονάδα Επεξεργασίας

Μάθημα 3.2: Κεντρική Μονάδα Επεξεργασίας Κεφάλαιο 3 ο Αρχιτεκτονική Υπολογιστών Μάθημα 3.: Κεντρική Μονάδα Επεξεργασίας Όταν ολοκληρώσεις το κεφάλαιο θα μπορείς: Να σχεδιάζεις την εσωτερική δομή της ΚΜΕ και να εξηγείς τη λειτουργία των επιμέρους

Διαβάστε περισσότερα

Εργαστήριο 12: Διακλαδώσεις, Έμμεσες Προσπελάσεις (Pointers), και περί Ταχύτητας και Κατανάλωσης Ενέργειας των Κυκλωμάτων CMOS

Εργαστήριο 12: Διακλαδώσεις, Έμμεσες Προσπελάσεις (Pointers), και περί Ταχύτητας και Κατανάλωσης Ενέργειας των Κυκλωμάτων CMOS ΗΥ-120: Ψηφιακή Σχεδίαση Φθινόπωρο 2009 Τμ. Επ. Υπολογιστών Πανεπιστήμιο Κρήτης Εργαστήριο 12: Διακλαδώσεις, Έμμεσες Προσπελάσεις (Pointers), και περί Ταχύτητας και Κατανάλωσης Ενέργειας των Κυκλωμάτων

Διαβάστε περισσότερα

ΕΙΔΙΚΟΤΗΤΑ: ΤΕΧΝΙΚΟΣ ΕΦΑΡΜΟΓΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΜΑΘΗΜΑ: ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

ΕΙΔΙΚΟΤΗΤΑ: ΤΕΧΝΙΚΟΣ ΕΦΑΡΜΟΓΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΜΑΘΗΜΑ: ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ ΕΙΔΙΚΟΤΗΤΑ: ΤΕΧΝΙΚΟΣ ΕΦΑΡΜΟΓΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΜΑΘΗΜΑ: ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ (Τμήματα Υπολογιστή) ΕΚΠΑΙΔΕΥΤΗΣ:ΠΟΖΟΥΚΙΔΗΣ ΚΩΝΣΤΑΝΤΙΝΟΣ ΤΜΗΜΑΤΑ ΗΛΕΚΤΡΟΝΙΚΟΥ ΥΠΟΛΟΓΙΣΤΗ Κάθε ηλεκτρονικός υπολογιστής αποτελείται

Διαβάστε περισσότερα

Μάθημα 4: Κεντρική Μονάδα Επεξεργασίας

Μάθημα 4: Κεντρική Μονάδα Επεξεργασίας Μάθημα 4: Κεντρική Μονάδα Επεξεργασίας 4.1 Γενικά Ο υπολογιστής επεξεργάζεται δεδομένα ακολουθώντας βήμα βήμα, τις εντολές ενός προγράμματος. Το τμήμα του υπολογιστή, που εκτελεί τις εντολές και συντονίζει

Διαβάστε περισσότερα

Chapter 2. Εντολές : Η γλώσσα του υπολογιστή. (συνέχεια) Η διασύνδεση Υλικού και λογισμικού David A. Patterson και John L.

Chapter 2. Εντολές : Η γλώσσα του υπολογιστή. (συνέχεια) Η διασύνδεση Υλικού και λογισμικού David A. Patterson και John L. Η διασύνδεση Υλικού και λογισμικού David A. Patterson και John L. Hennessy Chapter 2 Εντολές : Η γλώσσα του υπολογιστή (συνέχεια) Διαφάνειες διδασκαλίας από το πρωτότυπο αγγλικό βιβλίο (4 η έκδοση), μετάφραση:

Διαβάστε περισσότερα

ΑΡΧΙΤΕΚΤΟΝΙΚΗ HARDWARE ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΑΡΧΙΤΕΚΤΟΝΙΚΗ HARDWARE ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΕΦΑΛΑΙΟ 7ο ΑΡΧΙΤΕΚΤΟΝΙΚΗ HARDWARE ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Γενικό διάγραμμα υπολογιστικού συστήματος Γενικό διάγραμμα υπολογιστικού συστήματος - Κεντρική Μονάδα Επεξεργασίας ονομάζουμε

Διαβάστε περισσότερα

Αρχιτεκτονικη υπολογιστων

Αρχιτεκτονικη υπολογιστων ΤΕΙ Κρήτης Τμ. Μηχανικών Πληροφορικής Αρχιτεκτονικη υπολογιστων Τζαγκαράκης Χαράλαμπος hatzagarak@cs.teicrete.gr Εισαγωγη: ο επεξεργαστης και η γλωσσα του Eπεξεργαστής: MIPS Microprocessor Without Interlocked

Διαβάστε περισσότερα

και η µονάδα ελέγχου (control) O επεξεργαστής: Η δίοδος δεδοµένων (datapath) Εντολές διακλάδωσης (branch beq, bne) I Type Σχεδίαση datapath

και η µονάδα ελέγχου (control) O επεξεργαστής: Η δίοδος δεδοµένων (datapath) Εντολές διακλάδωσης (branch beq, bne) I Type Σχεδίαση datapath O επεξεργαστής: Η δίοδος δεδοµένων (path) και η µονάδα ελέγχου (control) Σχεδίαση path 4 κατηγορίες εντολών: Αριθµητικές-λογικές εντολές (add, sub, slt κλπ) R Type Εντολές αναφοράς στη µνήµη (lw, sw) I

Διαβάστε περισσότερα

Γενική οργάνωση υπολογιστή «ΑΒΑΚΑ»

Γενική οργάνωση υπολογιστή «ΑΒΑΚΑ» Περιεχόμενα Γενική οργάνωση υπολογιστή «ΑΒΑΚΑ»... 2 Καταχωρητές... 3 Αριθμητική-λογική μονάδα... 3 Μονάδα μνήμης... 4 Μονάδα Εισόδου - Εξόδου... 5 Μονάδα ελέγχου... 5 Ρεπερτόριο Εντολών «ΑΒΑΚΑ»... 6 Φάση

Διαβάστε περισσότερα

O επεξεργαστής: Η δίοδος δεδομένων (datapath) και η μονάδα ελέγχου (control)

O επεξεργαστής: Η δίοδος δεδομένων (datapath) και η μονάδα ελέγχου (control) O επεξεργαστής: Η δίοδος δεδομένων (datapath) και η μονάδα ελέγχου (control) 4 κατηγορίες εντολών: Σχεδίαση datapath Αριθμητικές-λογικές εντολές (add, sub, slt κλπ) R Type Εντολές αναφοράς στη μνήμη (lw,

Διαβάστε περισσότερα

Μηχανοτρονική. Τμήμα Μηχανικών Παραγωγής και Διοίκησης 7 ο Εξάμηνο,

Μηχανοτρονική. Τμήμα Μηχανικών Παραγωγής και Διοίκησης 7 ο Εξάμηνο, Τμήμα Μηχανικών Παραγωγής και Διοίκησης 7 ο Εξάμηνο, 2016-2017 ΜΙΚΡΟΕΠΕΞΕΡΓΑΣΤΕΣ Μικροϋπολογιστής Υπολογιστής που χρησιμοποιείται για την είσοδο, επεξεργασία και έξοδο πληροφοριών. Είδη μικροϋπολογιστών:

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2012

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2012 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2012 ΤΕΧΝΟΛΟΓΙΑ (Ι) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Μικροϋπολογιστές

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 2: Χειρισµός εδοµένων

ΚΕΦΑΛΑΙΟ 2: Χειρισµός εδοµένων ΚΕΦΑΛΑΙΟ 2: Χειρισµός εδοµένων 2.1 Αρχιτεκτονική Υπολογιστών 2.1 Αρχιτεκτονική Υπολογιστών 2.2 Γλώσσα Μηχανής 2.3 Εκτέλεση προγράµµατος 2.4 Αριθµητικές και λογικές εντολές 2.5 Επικοινωνία µε άλλες συσκευές

Διαβάστε περισσότερα

Στοιχεία από την αρχιτεκτονική των μικροϋπολογιστών

Στοιχεία από την αρχιτεκτονική των μικροϋπολογιστών Στοιχεία από την αρχιτεκτονική των μικροϋπολογιστών Η επεξεργασία των δεδομένων ακολουθεί μια στερεότυπη διαδρομή: τα δεδομένα εισάγονται στο υπολογιστικό σύστημα, υφίστανται μια ορισμένη επεξεργασία και

Διαβάστε περισσότερα

Σελίδα 1 από 12. Απαντήσεις στο φυλλάδιο 55. Ερώτηση 1 η : Ένα υπολογιστικό σύστηµα αποτελείται από:

Σελίδα 1 από 12. Απαντήσεις στο φυλλάδιο 55. Ερώτηση 1 η : Ένα υπολογιστικό σύστηµα αποτελείται από: Σελίδα 1 από 12 Απαντήσεις στο φυλλάδιο 55 Ερώτηση 1 η : Ένα υπολογιστικό σύστηµα αποτελείται από: (α) Κεντρική Μονάδα Επεξεργασίας. (β) Κύρια Μνήµη. (γ) Μονάδες εισόδου. (δ) Μονάδες εξόδου. (ε) Βοηθητική

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2015

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2015 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2015 ΤΕΧΝΟΛΟΓΙΑ (Ι) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Μικροϋπολογιστές

Διαβάστε περισσότερα

Αρχιτεκτονική Eckert-von Neumann. Πως λειτουργεί η ΚΜΕ; Κεντρική μονάδα επεξεργασίας [3] ΕΠΛ 031: ΕΙΣΑΓΩΓΗ ΣΤΟΝ ΠΡΟΓΡΑΜΜΑΤΙΣΜΟ

Αρχιτεκτονική Eckert-von Neumann. Πως λειτουργεί η ΚΜΕ; Κεντρική μονάδα επεξεργασίας [3] ΕΠΛ 031: ΕΙΣΑΓΩΓΗ ΣΤΟΝ ΠΡΟΓΡΑΜΜΑΤΙΣΜΟ Αρχιτεκτονική Eckert-von Neumann εισόδου μεταφορά δεδομένων από έξω προς τον Η/Υ εξόδου μεταφορά δεδομένων από τον Η/Υ προς τα έξω ΕΠΛ 031: ΕΙΣΑΓΩΓΗ ΣΤΟΝ ΠΡΟΓΡΑΜΜΑΤΙΣΜΟ Κύκλος Μηχανής κεντρικός έλεγχος/πράξεις

Διαβάστε περισσότερα

Βασική δοµή και Λειτουργία Υπολογιστή

Βασική δοµή και Λειτουργία Υπολογιστή Βασική δοµή και Λειτουργία Υπολογιστή Η τεχνολογία των Η/Υ έχει βασιστεί στη λειτουργία του ανθρώπινου οργανισµού. Οπως ο άνθρωπος πέρνει εξωτερικά ερεθίσµατα από το περιβάλλον τα επεξεργάζεται και αντιδρά

Διαβάστε περισσότερα

O επεξεργαστής: Η δίοδος δεδομένων (datapath) και η μονάδα ελέγχου (control)

O επεξεργαστής: Η δίοδος δεδομένων (datapath) και η μονάδα ελέγχου (control) O επεξεργαστής: Η δίοδος δεδομένων (datapath) και η μονάδα ελέγχου (control) 4 κατηγορίες εντολών: Σχεδίαση datapath Αριθμητικές-λογικές εντολές (add, sub, slt κλπ) R Type Εντολές αναφοράς στη μνήμη (lw,

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΠΛ 121 ΕΡΓΑΣΤΗΡΙΑ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΜΝΗΜΗ ΚΑΙ ΠΡΟΓΡΑΜΜΑΤΙΖΟΜΕΝΗ ΛΟΓΙΚΗ ΥΠΕΥΘΥΝΟΣ ΕΡΓΑΣΤΗΡΙΩΝ: ΧΡΥΣΟΣΤΟΜΟΣ ΧΡΥΣΟΣΤΟΜΟΥ ΕΑΡΙΝΟ ΕΞΑΜΗΝΟ 2001 ΕΠΛ 121 ΕΡΓΑΣΤΗΡΙΑ ΨΗΦΙΑΚΩΝ

Διαβάστε περισσότερα

7.1 Θεωρητική εισαγωγή

7.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 7 ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΜΑΝ ΑΛΩΤΕΣ FLIP FLOP Σκοπός: Η κατανόηση της λειτουργίας των βασικών ακολουθιακών κυκλωµάτων. Θα µελετηθούν συγκεκριµένα: ο µανδαλωτής (latch)

Διαβάστε περισσότερα

Είναι το «μυαλό» του υπολογιστή μας. Αυτός κάνει όλους τους υπολογισμούς και τις πράξεις. Έχει δική του ενσωματωμένη μνήμη, τη λεγόμενη κρυφή

Είναι το «μυαλό» του υπολογιστή μας. Αυτός κάνει όλους τους υπολογισμούς και τις πράξεις. Έχει δική του ενσωματωμένη μνήμη, τη λεγόμενη κρυφή 1 Είναι το «μυαλό» του υπολογιστή μας. Αυτός κάνει όλους τους υπολογισμούς και τις πράξεις. Έχει δική του ενσωματωμένη μνήμη, τη λεγόμενη κρυφή μνήμη(cache). Η cache είναι πολύ σημαντική, πολύ γρήγορη,

Διαβάστε περισσότερα

ΠΛΗ10 Κεφάλαιο 2. ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών

ΠΛΗ10 Κεφάλαιο 2. ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: 2 2.3 : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών Στόχοι Μαθήματος: Να γνωρίσετε τις βασικές αρχές αριθμητικής των Η/Υ. Ποια είναι τα κυκλώματα

Διαβάστε περισσότερα

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή 6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή Εισαγωγή Η σχεδίαση ενός ψηφιακού συστήµατος ως ακολουθιακή µηχανή είναι εξαιρετικά δύσκολη Τµηµατοποίηση σε υποσυστήµατα µε δοµικές µονάδες:

Διαβάστε περισσότερα

ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ. Κεφάλαιο 3

ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ. Κεφάλαιο 3 ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ Κεφάλαιο 3 Κεντρική Μονάδα Επεξεργασίας Κεντρική Μονάδα Επεξεργασίας Μονάδα επεξεργασίας δεδομένων Μονάδα ελέγχου Μονάδα επεξεργασίας δεδομένων Δομή Αριθμητικής Λογικής Μονάδας

Διαβάστε περισσότερα

Η διασύνδεση Υλικού και λογισμικού David A. Patterson και John L. Hennessy. Chapter 5. Ο επεξεργαστής: διαδρομή δεδομένων και μονάδα ελέγχου

Η διασύνδεση Υλικού και λογισμικού David A. Patterson και John L. Hennessy. Chapter 5. Ο επεξεργαστής: διαδρομή δεδομένων και μονάδα ελέγχου Η διασύνδεση Υλικού και λογισμικού David A. Patterson και John L. Hennessy Chapter 5 Ο επεξεργαστής: διαδρομή δεδομένων και μονάδα ελέγχου Ενδέκατη (11 η ) δίωρη διάλεξη. Διαφάνειες διδασκαλίας από το

Διαβάστε περισσότερα

ΦΟΙΤΗΤΡΙΑ : ΒΟΥΛΓΑΡΙ ΟΥ ΜΑΡΙΑ, ΑΕΜ: 2109 ΕΠΙΒΛΕΠΩΝ : ΚΑΛΟΜΟΙΡΟΣ ΙΩΑΝΝΗΣ, ΕΠΙΚΟΥΡΟΣ ΚΑΘΗΓΗΤΗΣ

ΦΟΙΤΗΤΡΙΑ : ΒΟΥΛΓΑΡΙ ΟΥ ΜΑΡΙΑ, ΑΕΜ: 2109 ΕΠΙΒΛΕΠΩΝ : ΚΑΛΟΜΟΙΡΟΣ ΙΩΑΝΝΗΣ, ΕΠΙΚΟΥΡΟΣ ΚΑΘΗΓΗΤΗΣ Τίτλος: «Σχεδίαση και προσοµοίωση παράλληλης αριθµητικής λογικής µονάδας (ALU) για την επεξεργασία δυαδικών αριθµών εύρους 4-bit, µε το πρόγραµµα Multisim» ΦΟΙΤΗΤΡΙΑ : ΒΟΥΛΓΑΡΙ ΟΥ ΜΑΡΙΑ, ΑΕΜ: 2109 ΕΠΙΒΛΕΠΩΝ

Διαβάστε περισσότερα

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM).

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM). Μνήμες Ένα από τα βασικά πλεονεκτήματα των ψηφιακών συστημάτων σε σχέση με τα αναλογικά, είναι η ευκολία αποθήκευσης μεγάλων ποσοτήτων πληροφοριών, είτε προσωρινά είτε μόνιμα Οι πληροφορίες αποθηκεύονται

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 ΑΠΑΝΤΗΣΕΙΣ

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 ΑΠΑΝΤΗΣΕΙΣ ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 Μάθημα : Μικροϋπολογιστές Τεχνολογία Τ.Σ. Ι, Θεωρητικής κατεύθυνσης Ημερομηνία

Διαβάστε περισσότερα

ΠΛΕ- 027 Μικροεπεξεργαστές 6ο μάθημα: Αρχιτεκτονική πυρήνα: υλοποίηση με διοχέτευση

ΠΛΕ- 027 Μικροεπεξεργαστές 6ο μάθημα: Αρχιτεκτονική πυρήνα: υλοποίηση με διοχέτευση ΠΛΕ- 027 Μικροεπεξεργαστές 6ο μάθημα: Αρχιτεκτονική πυρήνα: υλοποίηση με διοχέτευση Αρης Ευθυμίου Απόδοση απλής υλοποίησης Υλοποίηση ενός κύκλου είναι πολύ αργή κάθε κύκλος είναι τόσο μεγάλος όσο χρειάζεται

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2013

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2013 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2013 ΤΕΧΝΟΛΟΓΙΑ (Ι) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Μικροϋπολογιστές

Διαβάστε περισσότερα

Διάλεξη 12 Καθυστερήσεις (Stalls) Εκκενώσεις Εντολών (Flushing)

Διάλεξη 12 Καθυστερήσεις (Stalls) Εκκενώσεις Εντολών (Flushing) ΗΥ 232 Οργάνωση και Σχεδίαση Υπολογιστών Διάλεξη 2 Καθυστερήσεις (Stalls) Εκκενώσεις Εντολών (Flushing) Νίκος Μπέλλας Τμήμα Μηχανικών Η/Υ, Τηλεπικοινωνιών και Δικτύων Καθυστερήσεις και Εκκενώσεις Εντολών

Διαβάστε περισσότερα

Εργαστήριο 3 ΟΡΓΑΝΩΣΗ ΤΗΣ ΚΜΕ. Εισαγωγή

Εργαστήριο 3 ΟΡΓΑΝΩΣΗ ΤΗΣ ΚΜΕ. Εισαγωγή Εισαγωγή Εργαστήριο 3 ΟΡΓΑΝΩΣΗ ΤΗΣ ΚΜΕ Σκοπός του εργαστηρίου είναι να γνωρίσουµε την εσωτερική δοµή και αρχιτεκτονική της κεντρικής µονάδας επεξεργασίας, να κατανοήσουµε τον τρόπο µε τον οποίο λειτουργεί

Διαβάστε περισσότερα

Το ολοκληρωμένο κύκλωμα μιας ΚΜΕ. «Φέτα» ημιαγωγών (wafer) από τη διαδικασία παραγωγής ΚΜΕ

Το ολοκληρωμένο κύκλωμα μιας ΚΜΕ. «Φέτα» ημιαγωγών (wafer) από τη διαδικασία παραγωγής ΚΜΕ Το ολοκληρωμένο κύκλωμα μιας ΚΜΕ Η Κεντρική Μονάδα Επεξεργασίας (Central Processing Unit -CPU) ή απλούστερα επεξεργαστής αποτελεί το μέρος του υλικού που εκτελεί τις εντολές ενός προγράμματος υπολογιστή

Διαβάστε περισσότερα

Κεφάλαιο Το υπολογιστικό σύστημα Η εξέλιξη του ανθρώπου πραγματοποιήθηκε χάρη στην ικανότητά στον χειρισμό εργαλείων.

Κεφάλαιο Το υπολογιστικό σύστημα Η εξέλιξη του ανθρώπου πραγματοποιήθηκε χάρη στην ικανότητά στον χειρισμό εργαλείων. Α Γενικού Λυκείου Κεφάλαιο 1 1.1 Το υπολογιστικό σύστημα Η εξέλιξη του ανθρώπου πραγματοποιήθηκε χάρη στην ικανότητά στον χειρισμό εργαλείων. Ιδιαίτερη θέση έχουν οι Υπολογιστικές συσκευές. Μηχανισμός

Διαβάστε περισσότερα

Αρχιτεκτονική Μικροεπεξεργαστών MIPS-32. Αρχείο καταχωρητών και Χάρτης Μνήµης

Αρχιτεκτονική Μικροεπεξεργαστών MIPS-32. Αρχείο καταχωρητών και Χάρτης Μνήµης ΗΜΥ 213 Εργαστήριο Οργάνωσης Υπολογιστών και Μικροεπεξεργαστών Εισαγωγή στους Μικροεπεξεργαστές MIPS-32 ( ιάλεξη 1) ιδάσκων: Γιώργος Ζάγγουλος Email: zaggoulos.george@ucy.ac.cy Περίληψη Αρχιτεκτονική Μικροεπεξεργαστών

Διαβάστε περισσότερα

Chapter 2. Εντολές : Η γλώσσα του υπολογιστή. Τρίτη (3 η ) δίωρη διάλεξη. Η διασύνδεση Υλικού και λογισμικού David A. Patterson και John L.

Chapter 2. Εντολές : Η γλώσσα του υπολογιστή. Τρίτη (3 η ) δίωρη διάλεξη. Η διασύνδεση Υλικού και λογισμικού David A. Patterson και John L. Η διασύνδεση Υλικού και λογισμικού David A. Patterson και John L. Hennessy Chapter 2 Εντολές : Η γλώσσα του υπολογιστή Τρίτη (3 η ) δίωρη διάλεξη. Διαφάνειες διδασκαλίας από το πρωτότυπο αγγλικό βιβλίο

Διαβάστε περισσότερα

Πανεπιστήµιο Θεσσαλίας Τµήµα Μηχανικών Η/Υ, Τηλεπικοινωνιών και ικτύων

Πανεπιστήµιο Θεσσαλίας Τµήµα Μηχανικών Η/Υ, Τηλεπικοινωνιών και ικτύων Πανεπιστήµιο Θεσσαλίας Τµήµα Μηχανικών Η/Υ, Τηλεπικοινωνιών και ικτύων Αρχιτεκτονική Υπολογιστών Εργασία Εξαµήνου: Προσοµοίωση ARM σε επίπεδο VHDL/Verilog 1. Μελέτη συνόλου εντολών και αρχιτεκτονικής ARM

Διαβάστε περισσότερα

Κεντρική Μονάδα Επεξεργασίας

Κεντρική Μονάδα Επεξεργασίας Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2016-17 Κεντρική Μονάδα Επεξεργασίας (Σχεδιασμός και λειτουργία μιας απλής ΚΜΕ) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

5.1 Θεωρητική εισαγωγή

5.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 5 ΚΩ ΙΚΟΠΟΙΗΣΗ BCD Σκοπός: Η κατανόηση της µετατροπής ενός τύπου δυαδικής πληροφορίας σε άλλον (κωδικοποίηση/αποκωδικοποίηση) µε τη µελέτη της κωδικοποίησης BCD

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ ΤΕΙ ΙΟΝΙΩΝ ΝΗΣΩΝ ΤΜΗΜΑ ΕΦΑΡΜΟΓΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΣΤΗ ΔΙΟΙΚΗΣΗ ΚΑΙ ΣΤΗΝ ΟΙΚΟΝΟΜΙΑ 7 Ο ΜΑΘΗΜΑ ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ ΑΠΟΣΤΟΛΙΑ ΠΑΓΓΕ Περιεχόμενα 2 Δυαδικό Σύστημα Προσημασμένοι δυαδικοί αριθμοί Αφαίρεση

Διαβάστε περισσότερα

Σχεδίαση μονάδας ελέγχου επεξεργαστή

Σχεδίαση μονάδας ελέγχου επεξεργαστή Σχεδίαση μονάδας ελέγχου επεξεργαστή Αρχιτεκτονική Υπολογιστών 5ο εξάμηνο ΣΗΜΜΥ ακ. έτος: 29-2 Νεκτάριος Κοζύρης nkoziris@cslab.ece.ntua.gr http://www.cslab.ece.ntua.gr/courses/comparch/ Datapath ενός

Διαβάστε περισσότερα

(Ιούνιος 2001 ΤΕΕ Ηµερήσιο) Σε κάθε µία από τις παρακάτω περιπτώσεις, να

(Ιούνιος 2001 ΤΕΕ Ηµερήσιο) Σε κάθε µία από τις παρακάτω περιπτώσεις, να Κεεφάάλλααι ιοο:: 3Β ο Τίττλλοοςς Κεεφααλλααί ίοουυ: : Αρχιτεκτονική Ηλ/κου Τµήµατος των Υπολ. Συστηµάτων (Ιούνιος 2001 ΤΕΕ Ηµερήσιο) Σε κάθε µία από τις παρακάτω περιπτώσεις, να αναφέρετε τις τιµές των

Διαβάστε περισσότερα

1. Οργάνωση της CPU 2. Εκτέλεση εντολών 3. Παραλληλία στο επίπεδο των εντολών 4. Γραμμές διοχέτευσης 5. Παραλληλία στο επίπεδο των επεξεργαστών

1. Οργάνωση της CPU 2. Εκτέλεση εντολών 3. Παραλληλία στο επίπεδο των εντολών 4. Γραμμές διοχέτευσης 5. Παραλληλία στο επίπεδο των επεξεργαστών ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ ΟΡΓΑΝΩΣΗ ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Ι Γ. Τσιατούχας 2 ο Κεφάλαιο ιάρθρωση 1. Οργάνωση της 2. εντολών 3. Παραλληλία στο επίπεδο των εντολών 4. Γραμμές διοχέτευσης 5. Παραλληλία στο

Διαβάστε περισσότερα

Εργαστήριο ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ. Εισαγωγή

Εργαστήριο ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ. Εισαγωγή Εισαγωγή Εργαστήριο ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ Ξεκινάµε την εργαστηριακή µελέτη της Ψηφιακής Λογικής των Η/Υ εξετάζοντας αρχικά τη µορφή των δεδοµένων που αποθηκεύουν και επεξεργάζονται οι υπολογιστές και προχωρώντας

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2014

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2014 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 201 ΤΕΧΝΟΛΟΓΙΑ (Ι) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Μικροϋπολογιστές

Διαβάστε περισσότερα

Αρχιτεκτονική Επεξεργαστών Ψ.Ε.Σ

Αρχιτεκτονική Επεξεργαστών Ψ.Ε.Σ ΕΣ 08 Επεξεργαστές Ψηφιακών Σηµάτων Αρχιτεκτονική Επεξεργαστών Ψ.Ε.Σ Βιβλιογραφία Ενότητας Kehtarnavaz [2005]: Chapter 3 Kuo [2005]: Chapters 1 & 4-5 Lapsley [2002]: Chapter 4 Hayes [2000]: Κεφάλαιo 8

Διαβάστε περισσότερα

Ολοκληρωμένα Κυκλώματα - Φθινόπωρο 2014 Γ. Δημητρακόπουλος. Εργαστηριακή άσκηση 2

Ολοκληρωμένα Κυκλώματα - Φθινόπωρο 2014 Γ. Δημητρακόπουλος. Εργαστηριακή άσκηση 2 Ολοκληρωμένα Κυκλώματα - Φθινόπωρο 2014 Γ. Δημητρακόπουλος Εργαστηριακή άσκηση 2 Σκοπός αυτής της εργαστηριακής άσκησης είναι να σας θυμίσει (ή να σας δείξει ανάλογα με το βαθμό εξοικίωσης σας) τον τρόπο

Διαβάστε περισσότερα

Single Cycle Datapath. Αρχιτεκτονική Υπολογιστών. 5ο εξάμηνο ΣΗΜΜΥ ακ. έτος: Νεκ. Κοζύρης

Single Cycle Datapath. Αρχιτεκτονική Υπολογιστών. 5ο εξάμηνο ΣΗΜΜΥ ακ. έτος: Νεκ. Κοζύρης Αρχιτεκτονική Υπολογιστών 5ο εξάμηνο ΣΗΜΜΥ ακ. έτος: 2014-2015 Νεκ. Κοζύρης nkoziris@cslab.ece.ntua.gr Single Cycle Datapath http://www.cslab.ece.ntua.gr/courses/comparch/ Άδεια Χρήσης Το παρόν εκπαιδευτικό

Διαβάστε περισσότερα

i Όλες οι σύγχρονες ΚΜΕ είναι πολυπλοκότερες!

i Όλες οι σύγχρονες ΚΜΕ είναι πολυπλοκότερες! Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 2016-17 Κεντρική Επεξεργασίας (Σχεδιασμός και λειτουργία μιας απλής ΚΜΕ) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Η υπολογιστική

Διαβάστε περισσότερα

Μικροεπεξεργαστές. Σημειώσεις Μαθήματος Υπεύθυνος: Δρ Άρης Παπακώστας,

Μικροεπεξεργαστές. Σημειώσεις Μαθήματος Υπεύθυνος: Δρ Άρης Παπακώστας, Μικροεπεξεργαστές Σημειώσεις Μαθήματος 2013-14 Υπεύθυνος: Δρ Άρης Παπακώστας, Η γλώσσα assembly είναι μια γλώσσα προγραμματισμού χαμηλού επιπέδου για συγκεκριμένους υπολογιστές ή άλλη προγραμματιζόμενη

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΑΠΑΝΤΗΣΕΙΣ ΘΕΜΑΤΩΝ ΕΞΕΤΑΣΕΩΝ

ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΑΠΑΝΤΗΣΕΙΣ ΘΕΜΑΤΩΝ ΕΞΕΤΑΣΕΩΝ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Θεµατική Ενότητα ΠΛΗ 2: Ψηφιακά Συστήµατα Ακαδηµαϊκό Έτος 24 25 Ηµεροµηνία Εξέτασης 29.6.25 Χρόνος Εξέτασης

Διαβάστε περισσότερα

Ενότητα 4. Εισαγωγή στην Πληροφορική. Αναπαράσταση δεδοµένων. Αναπαράσταση πληροφορίας. υαδικοί αριθµοί. Χειµερινό Εξάµηνο 2006-07

Ενότητα 4. Εισαγωγή στην Πληροφορική. Αναπαράσταση δεδοµένων. Αναπαράσταση πληροφορίας. υαδικοί αριθµοί. Χειµερινό Εξάµηνο 2006-07 Ενότητα 4 Εισαγωγή στην Πληροφορική Κεφάλαιο 4Α: Αναπαράσταση πληροφορίας Κεφάλαιο 4Β: Επεξεργαστές που χρησιµοποιούνται σε PCs Χειµερινό Εξάµηνο 2006-07 ρ. Παναγιώτης Χατζηδούκας (Π..407/80) Εισαγωγή

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΙΑΣ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΔΕΥΤΕΡΗ ΠΡΟΟΔΟΣ ΣΤΗΝ ΟΡΓΑΝΩΣΗ ΣΤΟΥΣ Η/Y (ΗΥ232)

ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΙΑΣ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΔΕΥΤΕΡΗ ΠΡΟΟΔΟΣ ΣΤΗΝ ΟΡΓΑΝΩΣΗ ΣΤΟΥΣ Η/Y (ΗΥ232) ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΙΑΣ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ Η/Υ ΔΕΥΤΕΡΗ ΠΡΟΟΔΟΣ ΣΤΗΝ ΟΡΓΑΝΩΣΗ ΣΤΟΥΣ Η/Y (ΗΥ232) Δευτέρα, 3 Νοεμβρίου 25 ΔΙΑΡΚΕΙΑ ΔΙΑΓΩΝΙΣΜΑΤΟΣ 3 ΛΕΠΤΑ Για πλήρη

Διαβάστε περισσότερα

Chapter 5. Ο επεξεργαστής: διαδρομή δεδομένων και μονάδα ελέγχου. Ενδέκατη (11 η ) δίωρη διάλεξη.

Chapter 5. Ο επεξεργαστής: διαδρομή δεδομένων και μονάδα ελέγχου. Ενδέκατη (11 η ) δίωρη διάλεξη. Chapter 5 Ο επεξεργαστής: διαδρομή δεδομένων και μονάδα ελέγχου Ενδέκατη (11 η ) δίωρη διάλεξη. Διαφάνειες διδασκαλίας από το πρωτότυπο αγγλικό βιβλίο (4 η έκδοση), μετάφραση: Καθ. Εφαρμογών Νικόλαος Πετράκης,

Διαβάστε περισσότερα

Μάθημα 5: Χαρακτηριστικά της Κ.Μ.Ε.

Μάθημα 5: Χαρακτηριστικά της Κ.Μ.Ε. Μάθημα 5: Χαρακτηριστικά της Κ.Μ.Ε. 5.1 Το ρολόι Κάθε μία από αυτές τις λειτουργίες της Κ.Μ.Ε. διαρκεί ένα μικρό χρονικό διάστημα. Για το συγχρονισμό των λειτουργιών αυτών, είναι απαραίτητο κάποιο ρολόι.

Διαβάστε περισσότερα

Πανεπιστήμιο Θεσσαλίας Τμήμα Μηχανικών Η/Υ, Τηλεπικοινωνιών και Δικτύων

Πανεπιστήμιο Θεσσαλίας Τμήμα Μηχανικών Η/Υ, Τηλεπικοινωνιών και Δικτύων Πανεπιστήμιο Θεσσαλίας Τμήμα Μηχανικών Η/Υ, Τηλεπικοινωνιών και Δικτύων Οργάνωση Η/Υ Ενότητα 1η: Εισαγωγή στην Οργάνωση Η/Υ Άσκηση 1: Αναλύστε τη διαδοχική εκτέλεση των παρακάτω εντολών MIPS με βάση τις

Διαβάστε περισσότερα

Οργάνωση και Σχεδίαση Υπολογιστών Η ιασύνδεση Υλικού και Λογισµικού, 4 η έκδοση. Κεφάλαιο 3. Αριθµητική για υπολογιστές

Οργάνωση και Σχεδίαση Υπολογιστών Η ιασύνδεση Υλικού και Λογισµικού, 4 η έκδοση. Κεφάλαιο 3. Αριθµητική για υπολογιστές Οργάνωση και Σχεδίαση Υπολογιστών Η ιασύνδεση Υλικού και Λογισµικού, 4 η έκδοση Κεφάλαιο 3 Αριθµητική για υπολογιστές Ασκήσεις Η αρίθµηση των ασκήσεων είναι από την 4 η έκδοση του «Οργάνωση και Σχεδίαση

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ. ΜΑΘΗΜΑ 2 ο. ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ

ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ. ΜΑΘΗΜΑ 2 ο. ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ ΜΑΘΗΜΑ 2 ο ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ 2009-10 ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ 1 Άλγεβρα Βοοle η θεωρητική βάση των λογικών κυκλωμάτων Η άλγεβρα Βοοle ορίζεται επάνω στο σύνολο

Διαβάστε περισσότερα

Πράξεις με δυαδικούς αριθμούς

Πράξεις με δυαδικούς αριθμούς Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 25-6 Πράξεις με δυαδικούς αριθμούς (αριθμητικές πράξεις) http://di.ionio.gr/~mistral/tp/csintro/ Μ.Στεφανιδάκης Πράξεις με δυαδικούς

Διαβάστε περισσότερα

Τεχνολογία Υπολογιστικών Συστηµάτων & Λειτουργικά Συστήµατα Κεφάλαιο 3

Τεχνολογία Υπολογιστικών Συστηµάτων & Λειτουργικά Συστήµατα Κεφάλαιο 3 Τεχνολογία Υπολογιστικών Συστηµάτων & Λειτουργικά Συστήµατα Κεφάλαιο 3 Κεφάλαιο 3 Οργάνωση και Λειτουργία Επεξεργαστών Σκοπός του κεφαλαίου αυτού είναι να περιγράψει την εσωτερική οργάνωση των υπολογιστών,

Διαβάστε περισσότερα

Εισαγωγή στην επιστήµη των υπολογιστών ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ

Εισαγωγή στην επιστήµη των υπολογιστών ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ Εισαγωγή στην επιστήµη των υπολογιστών ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ 1 Αριθµητικό Σύστηµα! Ορίζει τον τρόπο αναπαράστασης ενός αριθµού µε διακεκριµένα σύµβολα! Ένας αριθµός αναπαρίσταται διαφορετικά σε κάθε σύστηµα,

Διαβάστε περισσότερα

Δυαδικό Σύστημα Αρίθμησης

Δυαδικό Σύστημα Αρίθμησης Δυαδικό Σύστημα Αρίθμησης Το δυαδικό σύστημα αρίθμησης χρησιμοποιεί δύο ψηφία. Το 0 και το 1. Τα ψηφία ενός αριθμού στο δυαδικό σύστημα αρίθμησης αντιστοιχίζονται σε δυνάμεις του 2. Μονάδες, δυάδες, τετράδες,

Διαβάστε περισσότερα

Εισαγωγή στην πληροφορική -4

Εισαγωγή στην πληροφορική -4 Εισαγωγή στην πληροφορική 6 (, 64) bits Μνήµη Θέση (κύτταρο cell) µνήµης, χωράει λέξεις (words) εντολές (πρόγραµµα), αριθµοί (δεδοµένα) Αριθµοί: δυαδική (binary) αναπαράσταση = = = 4 = 4 = 5 = 7 Εισαγωγή

Διαβάστε περισσότερα

Οργάνωση και Σχεδίαση Υπολογιστών Η ιασύνδεση Υλικού και Λογισµικού, 4 η έκδοση. Κεφάλαιο 2. Εντολές: η γλώσσα του υπολογιστή

Οργάνωση και Σχεδίαση Υπολογιστών Η ιασύνδεση Υλικού και Λογισµικού, 4 η έκδοση. Κεφάλαιο 2. Εντολές: η γλώσσα του υπολογιστή Οργάνωση και Σχεδίαση Υπολογιστών Η ιασύνδεση Υλικού και Λογισµικού, 4 η έκδοση Κεφάλαιο 2 Εντολές: η γλώσσα του υπολογιστή Ασκήσεις Η αρίθµηση των ασκήσεων είναι από την 4 η έκδοση του «Οργάνωση και Σχεδίαση

Διαβάστε περισσότερα

ΠΛΕ- 027 Μικροεπεξεργαστές 5ο μάθημα: Αρχιτεκτονική πυρήνα: υλοποίηση ενός κύκλου

ΠΛΕ- 027 Μικροεπεξεργαστές 5ο μάθημα: Αρχιτεκτονική πυρήνα: υλοποίηση ενός κύκλου ΠΛΕ- 27 Μικροεπεξεργαστές 5ο μάθημα: Αρχιτεκτονική πυρήνα: υλοποίηση ενός κύκλου Αρης Ευθυμίου Δομή σύγχρονων υπολογιστών Κώδικας μηχανής Αρχιτεκτονικό συνόλο εντολών (InstrucDon Set Architecture ISA)

Διαβάστε περισσότερα

Ψηφιακοί Υπολογιστές

Ψηφιακοί Υπολογιστές 1 η Θεµατική Ενότητα : υαδικά Συστήµατα Ψηφιακοί Υπολογιστές Παλαιότερα οι υπολογιστές χρησιµοποιούνταν για αριθµητικούς υπολογισµούς Ψηφίο (digit) Ψηφιακοί Υπολογιστές Σήµατα (signals) : διακριτά στοιχεία

Διαβάστε περισσότερα

ΣΥΣΚΕΥΕΣ ΑΠΟΘΗΚΕΥΣΗΣ (ΜΝΗΜΗ)

ΣΥΣΚΕΥΕΣ ΑΠΟΘΗΚΕΥΣΗΣ (ΜΝΗΜΗ) ΣΥΣΚΕΥΕΣ ΑΠΟΘΗΚΕΥΣΗΣ (ΜΝΗΜΗ) Συσκευές αποθήκευσης Ένας υπολογιστής προκειµένου να αποθηκεύσει δεδοµένα χρησιµοποιεί δύο τρόπους αποθήκευσης: Την Κύρια Μνήµη Τις συσκευές µόνιµης αποθήκευσης (δευτερεύουσα

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 ΑΠΑΝΤΗΣΕΙΣ

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 ΑΠΑΝΤΗΣΕΙΣ ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 Μάθημα : Μικροϋπολογιστές Τεχνολογία Τ.Σ. Ι, Θεωρητικής κατεύθυνσης Ημερομηνία

Διαβάστε περισσότερα

7 η διάλεξη Ακολουθιακά Κυκλώματα

7 η διάλεξη Ακολουθιακά Κυκλώματα 7 η διάλεξη Ακολουθιακά Κυκλώματα 1 2 3 4 5 6 7 Παραπάνω βλέπουμε ακολουθιακό κύκλωμα σχεδιασμένο με μανταλωτές διαφορετικής φάσης. Παρατηρούμε ότι συνδυαστική λογική μπορεί να προστεθεί μεταξύ και των

Διαβάστε περισσότερα

1 η Θεµατική Ενότητα : Δυαδικά Συστήµατα

1 η Θεµατική Ενότητα : Δυαδικά Συστήµατα 1 η Θεµατική Ενότητα : Δυαδικά Συστήµατα Δεκαδικοί Αριθµοί Βάση : 10 Ψηφία : 0, 1, 2, 3, 4, 5, 6, 7, 8, 9 Αριθµοί: Συντελεστές Χ δυνάµεις του 10 7392.25 = 7x10 3 + 3x10 2 + 9x10 1 + 2x10 0 + 2x10-1 + 5x10-2

Διαβάστε περισσότερα

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ. ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ, 5 ο εξάµηνο

ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ. ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ, 5 ο εξάµηνο ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ και ΥΠΟΛΟΓΙΣΤΩΝ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ, 5 ο εξάµηνο ΦΕΒΡΟΥΑΡΙΟΣ 2006 ΘΕΜΑΤΑ ΚΑΙ ΛΥΣΕΙΣ

Διαβάστε περισσότερα

σύνθεση και απλοποίησή τους θεωρήµατα της άλγεβρας Boole, αξιώµατα του Huntington, κλπ.

σύνθεση και απλοποίησή τους θεωρήµατα της άλγεβρας Boole, αξιώµατα του Huntington, κλπ. Εισαγωγή Εργαστήριο 2 ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ Σκοπός του εργαστηρίου είναι να κατανοήσουµε τον τρόπο µε τον οποίο εκφράζεται η ψηφιακή λογική υλοποιώντας ασκήσεις απλά και σύνθετα λογικά κυκλώµατα (χρήση του

Διαβάστε περισσότερα

4.1 Θεωρητική εισαγωγή

4.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 4 ΥΑ ΙΚΟΣ ΑΘΡΟΙΣΤΗΣ-ΑΦΑΙΡΕΤΗΣ Σκοπός: Να µελετηθούν αριθµητικά κυκλώµατα δυαδικής πρόσθεσης και αφαίρεσης. Να σχεδιαστούν τα κυκλώµατα από τους πίνακες αληθείας

Διαβάστε περισσότερα

Σύγχρονες Αρχιτεκτονικές Υπολογιστών

Σύγχρονες Αρχιτεκτονικές Υπολογιστών ΧΑΡΟΚΟΠΕΙΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ & ΤΗΛΕΜΑΤΙΚΗΣ Σύγχρονες Αρχιτεκτονικές Υπολογιστών ΑΚΑ ΗΜΑΪΚΟ ΕΤΟΣ 2014-2015 Αρχιτεκτονική Συνόλου Εντολών (Instruction Set Architecture-ISA) 1 Ένας υπολογιστής

Διαβάστε περισσότερα

Λογικός Σχεδιασµός και Σχεδιασµός Η/Υ. ΗΜΥ-210: Εαρινό Εξάµηνο Σκοπός του µαθήµατος. Ψηφιακά Συστήµατα. Περίληψη. Εύρος Τάσης (Voltage(

Λογικός Σχεδιασµός και Σχεδιασµός Η/Υ. ΗΜΥ-210: Εαρινό Εξάµηνο Σκοπός του µαθήµατος. Ψηφιακά Συστήµατα. Περίληψη. Εύρος Τάσης (Voltage( ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο 2005 Σκοπός του µαθήµατος Λογικός Σχεδιασµός και Σχεδιασµός Η/Υ Κεφάλαιο 1: Υπολογιστές και Πληροφορία (1.1-1.2) Βασικές έννοιες & εργαλεία που χρησιµοποιούνται

Διαβάστε περισσότερα

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ Τµήµα Ηλεκτρολόγων Μηχανικών Εργαστήριο Ενσύρµατης Τηλεπικοινωνίας ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ ιδάσκων: Καθηγητής Ν. Φακωτάκης Τµήµα Ηλεκτρολόγων Μηχανικών Εργαστήριο Ενσύρµατης Τηλεπικοινωνίας ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ

Διαβάστε περισσότερα

ΜΥΥ- 402 Αρχιτεκτονική Υπολογιστών Φροντιστήριο: MIPS assembly

ΜΥΥ- 402 Αρχιτεκτονική Υπολογιστών Φροντιστήριο: MIPS assembly ΜΥΥ- 402 Αρχιτεκτονική Υπολογιστών Φροντιστήριο: MIPS assembly Αρης Ευθυμίου Το σημερινό μάθημα! Σύνταξη εντολών! Θέματα σχετικά με τη προσπέλαση, οργάνωση μνήμης διευθύνση για κάθε byte διευθύνσεις λέξεων

Διαβάστε περισσότερα

! Εάν ο αριθμός διαθέτει περισσότερα bits, χρησιμοποιούμε μεγαλύτερες δυνάμεις του 2. ! Προσοχή στη θέση του περισσότερο σημαντικού bit!

! Εάν ο αριθμός διαθέτει περισσότερα bits, χρησιμοποιούμε μεγαλύτερες δυνάμεις του 2. ! Προσοχή στη θέση του περισσότερο σημαντικού bit! Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 25-6 Πράξεις με δυαδικούς αριθμούς (αριθμητικές ) http://di.ionio.gr/~mistral/tp/csintro/ Αριθμοί Πράξεις με δυαδικούς αριθμούς

Διαβάστε περισσότερα

Lab 6: Signed Add/Subtract, FF (U.Crete, CS-120) 14-10-28 17:28 διαίρεσης, δηλαδή αριστερά 28-24 = 4 bits της διεύθυνσης) μετατρέποντας στο δεκαδικό, βλέπουμε ότι όντως πρόκειται γιά τη θέση 256+128+16

Διαβάστε περισσότερα

Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ ΑΝΟΙΚΤΑ ΑΚΑΔΗΜΑΪΚΑ ΜΑΘΗΜΑΤΑ Αρχιτεκτονική Υπολογιστών Αρχιτεκτονικό σύνολο εντολών Διδάσκων: Επίκουρος Καθηγητής Αριστείδης Ευθυμίου Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται

Διαβάστε περισσότερα

3. Πρόσθεση Πολλαπλασιασμός 4. Πρόσθεση στο πρότυπο ΙΕΕΕ Πολλαπλασιασμός στο πρότυπο ΙΕΕΕ

3. Πρόσθεση Πολλαπλασιασμός 4. Πρόσθεση στο πρότυπο ΙΕΕΕ Πολλαπλασιασμός στο πρότυπο ΙΕΕΕ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ MHXANIKOI Η/Υ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΠΙΠΕ Ο ΨΗΦΙΑΚΗΣ ΛΟΓΙΚΗΣ - ΙΙ Γ. Τσιατούχας 3 ο Κεφάλαιο 1. Γενική δομή CPU ιάρθρωση 2. Αριθμητική και λογική μονάδα 3. Πρόσθεση Πολλαπλασιασμός

Διαβάστε περισσότερα

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Θεµατική Ενότητα ΠΛΗ 21: Ψηφιακά Συστήµατα Ακαδηµαϊκό Έτος 2009 2010 Γραπτή Εργασία #3 Παράδοση: 28 Μαρτίου 2010 Άσκηση 1 (15 µονάδες) Ένας επεξεργαστής υποστηρίζει τόσο

Διαβάστε περισσότερα

Εισαγωγή στην επιστήμη των υπολογιστών. Πράξεις με μπιτ

Εισαγωγή στην επιστήμη των υπολογιστών. Πράξεις με μπιτ Εισαγωγή στην επιστήμη των υπολογιστών Πράξεις με μπιτ 1 Πράξεις με μπιτ 2 Αριθμητικές Πράξεις σε Ακέραιους Πρόσθεση, Αφαίρεση, Πολλαπλασιασμός, Διαίρεση 3 Πρόσθεση στη μορφή συμπληρώματος ως προς δύο

Διαβάστε περισσότερα

Κεφάλαιο 4 ο. Ο Προσωπικός Υπολογιστής

Κεφάλαιο 4 ο. Ο Προσωπικός Υπολογιστής Κεφάλαιο 4 ο Ο Προσωπικός Υπολογιστής Μάθημα 4.3 Ο Επεξεργαστής - Εισαγωγή - Συχνότητα λειτουργίας - Εύρος διαδρόμου δεδομένων - Εύρος διαδρόμου διευθύνσεων - Εύρος καταχωρητών Όταν ολοκληρώσεις το μάθημα

Διαβάστε περισσότερα

Σ ή. : υαδικά. Ε ό. ή Ενότητα

Σ ή. : υαδικά. Ε ό. ή Ενότητα 1η Θεµατική Θ ή Ενότητα Ε ό : υαδικά δ ά Συστήµατα Σ ή Μονάδα Ελέγχου Ψηφιακοί Υπολογιστές Αριθµητική Μονάδα Κρυφή Μνήµη Μονάδα Μνήµης ιαχείριση Μονάδων Ι/Ο ίσκοι Οθόνες ικτυακές Μονάδες Πληκτρολόγιο,

Διαβάστε περισσότερα

Εισαγωγή στην επιστήµη των υπολογιστών. Πράξεις µε µπιτ

Εισαγωγή στην επιστήµη των υπολογιστών. Πράξεις µε µπιτ Εισαγωγή στην επιστήµη των υπολογιστών Πράξεις µε µπιτ 1 Πράξεις µε µπιτ 2 Αριθµητικές Πράξεις σε Ακέραιους Πρόσθεση, Αφαίρεση, Πολλαπλασιασµός, Διαίρεση 3 Πρόσθεση στη µορφή συµπληρώµατος ως προς δύο

Διαβάστε περισσότερα

7 η Θεµατική Ενότητα : Καταχωρητές, Μετρητές και Μονάδες Μνήµης

7 η Θεµατική Ενότητα : Καταχωρητές, Μετρητές και Μονάδες Μνήµης 7 η Θεµατική Ενότητα : Καταχωρητές, Μετρητές και Εισαγωγή Καταχωρητής: είναι µία οµάδα από δυαδικά κύτταρα αποθήκευσης και από λογικές πύλες που διεκπεραιώνουν την µεταφορά πληροφοριών. Οι µετρητές είναι

Διαβάστε περισσότερα

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A].

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A]. Κανονική μορφή συνάρτησης λογικής 5. Η κανονική μορφή μιας λογικής συνάρτησης (ΛΣ) ως άθροισμα ελαχιστόρων, από τον πίνακα αληθείας προκύπτει ως εξής: ) Παράγουμε ένα [A] όρων από την κάθε σειρά για την

Διαβάστε περισσότερα

Στοιχεία από Assembly Γιώργος Μανής

Στοιχεία από Assembly Γιώργος Μανής Στοιχεία από Assembly 8086 Γιώργος Μανής Καταχωρητές καταχωρητές γενικής φύσης καταχωρητές δείκτες καταχωρητές αναφοράς καταχωρητές τµηµάτων ειδικοί καταχωρητές Καταχωρητές γενικής φύσης 16 bit ax, bx,

Διαβάστε περισσότερα

Γενικά Στοιχεία Ηλεκτρονικού Υπολογιστή

Γενικά Στοιχεία Ηλεκτρονικού Υπολογιστή Γενικά Στοιχεία Ηλεκτρονικού Υπολογιστή 1. Ηλεκτρονικός Υπολογιστής Ο Ηλεκτρονικός Υπολογιστής είναι μια συσκευή, μεγάλη ή μικρή, που επεξεργάζεται δεδομένα και εκτελεί την εργασία του σύμφωνα με τα παρακάτω

Διαβάστε περισσότερα

Εισαγωγή στην επιστήμη των υπολογιστών

Εισαγωγή στην επιστήμη των υπολογιστών Εισαγωγή στην επιστήμη των υπολογιστών Υπολογιστές και Δεδομένα Κεφάλαιο 3ο Αναπαράσταση Αριθμών www.di.uoa.gr/~organosi 1 Δεκαδικό και Δυαδικό Δεκαδικό σύστημα 2 3 Δεκαδικό και Δυαδικό Δυαδικό Σύστημα

Διαβάστε περισσότερα