ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΜΕΛΕΤΗ ΜΕΤΑΤΡΟΠΕΩΝ ΨΗΦΙΑΚΟΥ ΣΗΜΑΤΟΣ ΣΕ ΑΝΑΛΟΓΙΚΟ ΜΕ ΤΗ ΤΕΧΝΙΚΗ ΣΙΓΜΑ ΔΕΛΤΑ

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΜΕΛΕΤΗ ΜΕΤΑΤΡΟΠΕΩΝ ΨΗΦΙΑΚΟΥ ΣΗΜΑΤΟΣ ΣΕ ΑΝΑΛΟΓΙΚΟ ΜΕ ΤΗ ΤΕΧΝΙΚΗ ΣΙΓΜΑ ΔΕΛΤΑ"

Transcript

1 ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΜΕΛΕΤΗ ΜΕΤΑΤΡΟΠΕΩΝ ΨΗΦΙΑΚΟΥ ΣΗΜΑΤΟΣ ΣΕ ΑΝΑΛΟΓΙΚΟ ΜΕ ΤΗ ΤΕΧΝΙΚΗ ΣΙΓΜΑ ΔΕΛΤΑ ΔΙΠΛΩΜΑΤΙΚΗ ΕΡΓΑΣΙΑ ΤΟΥ ΤΣΙΑΤΟΥΡΑ ΚΩΝΣΤΑΝΤΙΝΟΥ (AM 4465) ΑΡΙΘΜΟΣ ΔΙΠΛΩΜΑΤΙΚΗΣ ΕΡΓΑΣΙΑΣ: 1271/2007 ΕΠΙΒΛΕΠΩΝ : Κ. ΕΥΣΤΑΘΙΟΥ Πάτρα 2007

2

3 ΠΕΡΙΕΧΟΜΕΝΑ 1 Εισαγωγή Εισαγωγή Στα Αναλογικά και Ψηφιακά Σήματα Δειγματοληψία Κβαντισμός (Ιδανικός) και Κωδικοποίηση Κωδικοποίηση: FPGA ( Field Programmable Gate Array) Πλεονεκτήματα των FPGAs A/D Μετατροπείς Μετατροπέας Αναλογικού σε Ψηφιακού Σήματος ADC Dual Slope A/D Converter Παράλληλοι Μετατροπείς A/D Μετατροπέας A/D διαδοχικών προσεγγίσεων Μετατροπείς A/D Σίγμα Δέλτα Κυκλώματα Συγκράτησης Χαρακτηρίστηκα Γνωρίσματα των A/D converters D/A Μετατροπείς Μετατροπείς D/A Χαρακτηριστικά των Μετατοπέων D/A Oversampling Delta Sigma Modulation A/D, D/A Εισαγωγή στον Oversampling Delta Sigma Modulation Oversampling Pulse Width Modulation PWM και PCM Pulse code modulation Παλμοκωδική Διαμόρφωση (PCM Pulse code modulation) Pulse Width Modulation PWM Μορφοποίηση θορύβου (Noise Shaping) Εισαγωγή στους A/D και D/A Delta Sigma Converters Ανάλυση Γραμμικού Μοντέλου Delta Sigma Modulation Εισαγωγη First Order Delta Sigma Noise Shaper Second Order Delta Sigma Noise Shaper Απόκριση των Single-Loop Topologies Μονού Βρόχου Τοπολογίες (Single-Loop Topologies) Πλεονεκτήματα Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 2

4 5.5.2 Μειονεκτήματα Multi-Bit Τοπολογίες Cascaded Topologies / Multi-Stage Noise-Shaping (MASH) Περίληψη των συγκρίσεων τοπολογίας Idle Tones και Dither signal Ευστάθεια του Δέλτα Σίγμα διαμορφωτή Απόκριση του Δέλτα Σίγμα DAC Single bit αρχιτεκτονικής Πολυρυθμική Επεξεργασία Εισαγωγή Υπερδειγματοληψία Υποδειγματοληψία(Interpolation-Decimation) Χαρακτηρισμός στο Πεδίο του Χρόνου Χαρακτηρισμός στο Πεδίο της Συχνότητας Ισοδύναμες σε Σειρά Συνδεσμολογίες Φίλτρα Αλλαγής Ρυθμού Δειγματοληψίας Πολυσταδιακή Υλοποίηση Συστημάτων Interpolation φίλτρα για DAC Δέλτα Σίγμα Προδιαγραφές και Κίνητρα Πολυσταδιακή Υλοποίηση του interpolation Δομές φίλτρων για Interpolation Στρατηγικές εφαρμογής φίλτρων Άμεση εφαρμογή (Direct implementation) MAC Υλοποίηση Distributed arithmetic implementation Υλοποίηση και εξομοίωση του Δέλτα Σίγμα DAC Εισαγωγή Υλοποίηση ενός δευτέρου βαθμού DAC Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 3

5 ΕΥΧΑΡΙΣΤΙΕΣ Αν και μερικές φορές φαίνεται τυπικό,είναι πραγματική μας ανάγκη να ευχαριστήσουμε κάποιους ανθρώπους για την βοήθεια τους τόσο για την υλοποίηση αυτής της διπλωματικής καθώς και για την ολοκληρώσει των σπουδών μας. Αρχικά θεωρώ υποχρέωση μου να ευχαριστήσω τον κ. Κων/νο Ευσταθίου λέκτορα του τμήματος Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών για την ευκαιρία που μου έδωσε να ασχοληθώ με κάποιο θέμα της αρεσκείας μου που ανήκει στον τομέα του υλικού. Τον ευχαριστώ για την υποστήριξη,την βοήθεια και συμβουλές του που μου παρείχε, στο να καταφέρω να επιτύχω τον σκοπό μου. Ευχαριστώ επίσης όλους του λέκτορες και καθηγητές του Τμήματος Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών για την προσπάθεια τους να μου μεταδώσουν της ιδέες και γνώσεις τους όλα αυτά τα χρόνια. Τέλος δεν μπορώ να μην αναφερθώ και στους γονείς μου οι οποίοι τόσο καιρό με ενθαρρύνουν και υποστηρίζουν τόσο οικονομικά όσο και ψυχικά και θα ήθελα να τους πω ένα μεγάλο ευχαριστώ. Τσιατούρας Κωνσταντίνος Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 4

6 Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 5

7 ΑΡΙΘΜΟΣ ΔΙΠΛΩΜΑΤΙΚΗΣ ΕΡΓΑΣΙΑΣ: 1271/2007 ΜΕΛΕΤΗ ΜΕΤΑΤΡΟΠΕΩΝ ΨΗΦΙΑΚΟΥ ΣΗΜΑΤΟΣ ΣΕ ΑΝΑΛΟΓΙΚΟ ΜΕ ΤΗ ΤΕΧΝΙΚΗ ΣΙΓΜΑ ΔΕΛΤΑ ΠΕΡΙΛΗΨΗ Στα πλαίσια αυτής της διπλωματικής εργασίας έγινε η μελέτη και η ανάλυση βασικών μεθόδων A/D και D/A μετατροπής και δίνετε μεγάλη έμφαση στην ανάλυση και σχεδίαση του Oversampling Delta Sigma DAC που χρησιμοποιείτε σήμερα ευρέως από Audio εφαρμογές μέχρι και σε τηλεπικοινωνιακές εφαρμογές. Έμφαση έχει δοθεί στην Single Bit DAC Delta Sigma αρχιτεκτονική. Έχει μελετηθεί η ευστάθειά του και ο τρόπος το πως από τις προδιαγραφές καταλήγουμε στην υλοποίηση του. Με την βοήθεια του Matlab και του Accel-DSP της Xilinx έχει σχεδιαστή ένα εργαλείο σχεδίασης, ανάλυσης και αυτόματης παραγωγής του κώδικα VHDL ανάλογα με τις προδιαγραφές που εισάγουμε. Έχει δοθεί και έμφαση στο Interpolation φίλτρο που απαιτείται για την υλοποίηση του DAC και στις αρχιτεκτονικές υλοποίησης σε FPGA τεχνολογία. ABSTRACT This thesis aims to study and analyze the signal conversion based on the Sigma Delta methodology. Oversampling Sigma Delta Conversion techniques are applied in the today s modern communication systems as well as in audio applications. This Thesis is focused on the Single Bit Sigma Delta and particularly on the whole roadmap from the specifications toward down to the implementation. Additionally, we present a tool based on the Matlab and the Xilinx (ISE), Accel- DSP that facilitates and speeds-up the design of Sigma Delta Converters. Finally, the effects of employing an Interpolation Filter have been studied, along with its implementation on FPGA. ZUSSAMMENFASUNG In dem Rahmen dieser Diplomarbeit findet man die Studie und die Analyse der grundlegenden Umwandlung Methoden A/D und D/A und Sie geben großen Akzent in der Analyse und im Entwerfen des Oversampling Delta Sigma DAC, dass Sie heute allgemein von den Audioanwendungen bis in den Nachrichtentechnikanwendungen verwenden. Der Akzent wurde im single Bit DAC Delta Sigma Architektur gegeben worden. Ist seiner Stabilität, der Weise studiert worden, die von den Spezifikationen, der weg, der zu den concretisation geführt worden ist. Mit Hilfe des Werkzeugs Matlab und Xilinx entwerfen wir ein Werkzeug, zum Entwurf, Analyse und eine automatische Produktion des Codes VHDL abhängig von den Spezifikationen, die wir importieren. Es ist schon bei der Interpolation filter betont worden, der für das concretisation von DAC und Architektur von concretisation in der FPGA Technologie angefordert wird. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 6

8 Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 7

9 1.1 Εισαγωγή Στα Αναλογικά και Ψηφιακά Σήματα ΚΕΦΑΛΑΙΟ 1 1 Εισαγωγή Για να κατανοήσουμε καλύτερα την μετατροπή των αναλογικών σημάτων σε ψηφιακά και αντίστροφα είναι σημαντικό να δώσουμε μερικούς ορισμούς και να αναλύσουμε ποια είναι η ανάγκη που έχουμε για την μετατροπή των σημάτων σε αναλογικά ή ψηφιακά κάθε φορά. Αναλογικό σήμα (Analog signal): Συνεχής συνάρτηση στην οποία η ανεξάρτητη μεταβλητή και η εξαρτημένη (π.χ χρόνος και πλάτος) παίρνουν συνεχείς τιμές. Τα περισσότερα φυσικά σήματα είναι αναλογικά (π.χ ομιλία, ηλεκτρισμός). Σήματα διακριτού χρόνου (Discrete-time-signal): Συνάρτηση στην οποία η ανεξάρτητη μεταβλητή (π.χ χρόνος) παίρνει μόνο ορισμένες (διακριτές) τιμές και η εξαρτημένη μεταβλητή (π.χ πλάτος) παίρνει συνεχείς τιμές. Δημιουργούνται συνήθως από την δειγματοληψία αναλογικών σημάτων. Ψηφιακό σήμα (Digital signal): Συνάρτηση στην οποία η ανεξάρτητη μεταβλητή και η εξαρτημένη παίρνουν μόνο ορισμένες (διακριτές) τιμές. Δημιουργούνται συνήθως από την δειγματοληψία και την κβαντοποίηση αναλογικών σημάτων. Η ανάγκη που υπάρχει για την μετατροπή σημάτων είναι : Οι υπολογιστές χειρίζονται δεδομένα που βρίσκονται σε ψηφιακή μορφή (δηλαδή που αναπαριστάνονται με ακολουθίες των ψηφίων 1 και 0). Γι αυτό υπάρχει η ανάγκη μετατροπής των δεδομένων (ήχου, εικόνας) από αναλογικά σε ψηφιακά για να γίνει η μεταφορά τους ή η επεξεργασία τους. Για να παρουσιαστούν στην οθόνη του υπολογιστή πρέπει να μετατραπούν από ψηφιακά σε αναλογικά, χρησιμοποιώντας την αντίστροφη διαδικασία D/A. Στην συνέχεια θα δούμε μερικά από τα πλεονεκτήματα και μειονεκτήματα των ψηφίων έναντι των αναλογικών σημάτων: Πλεονεκτήματα ψηφιακών σημάτων: Ομοιομορφία (όλα τα είδη πληροφορίας μπορούν να μετατραπούν σε ψηφιακή μορφή και να επεξεργαστούν με τον ίδιο τρόπο το ίδιο υλικό) Λιγότερο ευαίσθητα στον θόρυβο. Πιο εύκολη κρυπτογράφηση πληροφορίας Πολυμεσικές (Multimedia) πηγές (φωνή,βίντεο, δεδομένα) μπορούν να συνυπάρξουν και να μεταδοθούν μέσω ενός κοινού ψηφιακού συστήματος Μπορεί να υλοποιηθεί διαδικασία ανίχνευσης και διόρθωσης σφαλμάτων. Μειονεκτήματα ψηφιακών σημάτων : Παραμόρφωση σήματος λόγο της διαδικασίας δειγματοληψίας και κβαντισμού. Χρειάζονται μεγαλύτερο εύρος ζώνης. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 8

10 Η μετάδοση και αναπαραγωγή του σήματος σε δυαδική μορφή εξασφαλίζει τη δυνατότητα της επεξεργασίας του σήματος, ακόμα και σε πραγματικό χρόνο. Αυτό έχει σαν αποτέλεσμα σημαντικές βελτιώσεις στην ποιότητα του σήματος ή στην δημιουργία ειδικών εφέ. Εξάλλου, με την βοήθεια μόνιμων η προσωρινών μνημών είναι δυνατόν να αποθηκευτεί ένα σήμα για παραπέρα επεξεργασία και αναμετάδοση. Ως επακόλουθο τα ψηφιακά συστήματα επικρατούν σήμερα σε όλες τις μορφές μετάδοσης πληροφορίας καθώς εξασφαλίζεται ανώτερη ποιότητα και ευκολίες σε συνδυασμό με σχετικά χαμηλό κόστος υλοποίησης. 1.2 Δειγματοληψία. Το θεώρημα της Δειγματοληψίας δίνει τις ακριβείς συνθήκες κάτω από τις οποίες ένα αναλογικό σήμα μπορεί να παρασταθεί μονοσήμαντα από τα δείγματα του. Το πρώτο κατά σειρά είναι το σύστημα (κύκλωμα) δειγματοληψίας (sampler), το οποίο πολλές φορές αναφέρεται και ως μετατροπέας συνεχούς σε διακριτό (Continuous to Discrete Converter) ή Ιδανικός Μετατροπέας A/D. Το σύστημα δειγματοληψίας μετατρέπει το σήμα συνεχούς χρόνου xa () t σε μια ακολουθία διακριτού χρόνου x( n ) εξάγοντας τις τιμές της xa () t σε ακέραια πολλαπλάσια ενός χρονικού διαστήματος που καλείται περίοδος δειγματοληψίας και που συμβολίζεται, T s άρα x( n) = x ( nt ) (1.1) Πρώτα το σήμα συνεχούς χρόνου πολλαπλασιάζεται με μία περιοδική ακολουθία κρουστικών (δέλτα συνάρτηση) a s sa() t = δ ( t nts) (1.2) n= με σκοπό να σχηματιστεί το σήμα δειγματοληψίας: xs () t = xa() t sa() t = xa( nts) δ ( t nts) (1.3) n= Στην συνέχεια, το σήμα δειγματοληψίας μετατρέπεται σε ένα σήμα διακριτού χρόνου, αντιστοιχίζοντας τις κρουστικές που απέχουν χρονικά μεταξύ τους κατά το διάστημα Ts σε μια ακολουθία x( n ) όπου οι τιμές των δειγμάτων έχουν σα δείκτη την ακέραια μεταβλητή n: x( n) = x ( nt ) a s (1.4) Σχ 1.1 Διακριτοποίηση αναλογικού σήματος Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 9

11 Η επίδραση της μετατροπής από συνεχές σε διακριτό μπορεί να αναλυθεί στο πεδίο της jn s συχνότητας ως εξής. Επειδή ο μετασχηματισμός Fourier της συνάρτησης δ ( t nt s ) είναι η e ΩΤ ο μετασχηματισμός Fourier του σήματος δειγματοληψίας είναι: jnωτ s X ( jω ) = x nt e (1.5) ( ) s a s n= Μία άλλη έκφραση για την X s ( jω ) πηγάζει από την παρατήρηση ότι ο μετασχηματισμός Fourier της sa() t είναι: 2π S a( jω ) = δ ( Ω kωs) (1.6) T s k = όπου, Ω s = 2 π / Ts είναι η συχνότητα δειγματοληψίας ή ακτίνια ανά δευτερόλεπτο (rads per second). Επομένως είναι : 1 1 X ( jω ) = Χ ( j )* S a( j ) ( j jk s) s α Ω Ω = δ Ω Ω (1.7) 2π Τ s k = Τέλος ο μετασχηματισμός Fourier διακριτού χρόνου (DTFT) της x( n ) είναι: jω jnω jnω a s n= n= (1.8) X( e ) = x( n) e = x ( nt ) e Συγκρίνοντας τις εξισώσεις (1.6) και (1.7) έπεται ότι jω ω 2π k Χ ( e ) =Χ s( jω) Ω= ω / Τ s= X a( j j ) (1.9) T T k = s s j Συνεπώς η X ( e ω ) είναι μια κλιμακούμενη στη συχνότητα, έκδοση της Χ( jω ),όπου ο συντελεστής κλιμάκωσης προσδιορίζεται από τον τύπο ω = Ω Ts. Η κλιμάκωση αυτή που καθιστά j την X ( e ω ) περιοδική με περίοδο 2π, είναι συνέπεια της κλιμάκωσης στο χρόνο, η οποία λαμβάνει χώρα όταν το σήμα xs () t μετατρέπεται στην ακολουθία xa () t Για παράδειγμα αν ο μετασχηματισμός Fourier του x() t έχει την μορφή του σχήματος 1.2: Σχ 1.2 Μετασχηματισμός Furrier του x(t) Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 10

12 Τότε ο μετασχηματισμός Fourier του xs () t θα έχει την μορφή του σχήματος 1.3 αν υποθέσουμε ότι f 2B s Σχ 1.3 Ο μετασχηματισμός Fourier του xs () t Αν το σήμα υποστεί δειγματοληψία με συχνότητα fs 2B τότε θα έχουμε επικάλυψη των περιοδικά επαναλαμβανόμενων φασμάτων X ( f nf s ) στο φάσμα του X s ( f ), όπως φαίνεται στο σχήμα 1.4: Σχ 1.4 Επικάλυψη το γνωστό (Aliasing effect) Αυτή η μορφή παραμόρφωσης των φασματικών τμημάτων, καλείται επικάλυψη το γνωστό (Aliasing effect). Όταν η επικάλυψη λαμβάνει χώρα, η πληροφορία της συχνότητας της x a (t) αλλοιώνεται, καθώς επίσης η X a( jω ) δε μπορεί να ανακτηθεί από την X s( jω ). Έτσι καταλήγουμε στο θεώρημα της δειγματοληψίας το οποίο ορίζει ότι ένα αναλογικό σήμα x α () t μπορεί να παρασταθεί μονοσήμαντα από τα δείγματα του X ( nt a s) αν 2π Ω = Τ 2 Β s όπου Β s είναι το Bandwidth του σήματος προς μετατροπή. Στο σχημα 1.5ακολουθούν μερικά παραδείγματα που χρησιμοποιούνται στην πράξη. Σχ 1.5 Φάσμα συχνοτήτων που συναντώνται ευρέως Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 11

13 Σήματα φωνής: Τηλεφωνικής ποιότητας φωνή έχει εύρος φάσματος 300 Hz έως 4000 Hz Τα περισσότερα συστήματα ψηφιακής τηλεφωνίας κάνουν δειγματοληψία με 8000 samples/ sec. Ακουστικά σήματα: Η υψηλότερη συχνότητα που αντιλαμβάνεται το ανθρώπινο αυτί είναι περίπου 22 khz. Στα CDs η συχνότητα δειγματοληψίας είναι samples/sec. Σήματα Video: Το μάτι χρειάζεται δείγματα με ρυθμό τουλάχιστο 20 πλαίσια/sec για να δημιουργηθεί η εντύπωση ομαλής κίνησης Επειδή τα διάφορα σήματα που συναντάμε στα φυσικά συστήματα δεν είναι ποτέ αυστηρά περιορισμένου εύρους ζώνης, χρησιμοποιείται ένα φίλτρο (Anti aliasing Filter) ώστε να φιλτράρει το σήμα πριν τη διαδικασία της δειγματοληψίας, με αντικειμενικό σκοπό την ελαχιστοποίηση του ποσού της ενέργειας που βρίσκεται πάνω από τη συχνότητα Nyquist και τη μείωση της επικάλυψης που εμφανίζεται στο μετατροπέα A/D. Στην πράξη η δειγματοληψία γίνεται Σχ 1.6 Διαδικασία Α/D μετατροπής Με δείγματα πεπερασμένης διάρκειας (αντί για ακολουθία συναρτήσεων delta χρησιμοποιούνται παλμοί πεπερασμένης διάρκειας). Με δείγματα με επίπεδη κορυφή όπου και πάλι χρησιμοποιούνται παλμοί πεπερασμένης διάρκειας με ύψος όσο η τιμή του σήματος κατά την αρχή του παλμού. Συγκλίνουν στην ιδανική δειγματοληψία όταν η διάρκεια μικραίνει. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 12

14 1.3 Κβαντισμός (Ιδανικός) και Κωδικοποίηση. Τα αναλογικά δείγματα έχουν τιμές σε ένα συνεχές διάστημα τιμών και χρειάζεται άπειρος αριθμός bits για την παράστασή τους με τέλεια ακρίβεια. Κβαντισμός (Quantization) είναι η διαδικασία της προσέγγισης ενός αναλογικού (συνεχούς) δείγματος με ένα πεπερασμένο αριθμό bits. Ο κβαντιστής είναι ένα μη γραμμικό και μη αντιστρέψιμο σύστημα. Με τον κβαντισμό περιορίζουμε το πεδίο τιμών σε ένα σύνολο πεπερασμένου αριθμού Μ τιμών. Η ευκρίνεια του σήματος εξαρτάται από τον αριθμό M = 2 n όπου n αριθμός Bits. Σχ 1.7 Διαδικασία κβαντισμού Στο σχημα 1.7 βλέπουμε την διαδικασία της κβαντοποίησης και τον σφάλμα που υπεισέρχεται. Μπορούμε να τον μειώσουμε αν αυξήσουμε τον αριθμό των bits με τα οποία παριστάνουμε ένα δείγμα. Ο θόρυβος κβαντισμού είναι ομοιόμορφα κατανεμημένος στο διάστημα [- Δ/2, + Δ/2], όπου Δ (ή q όπως αλλιώς συμβολίζεται κάποιες φορές) είναι το βήμα κβάντισης και ισούται με 2 X Max Δ= q= LSB (1.10) n 2 όπου 2 X max είναι η peak to peak τιμή του αναλογικού σήματος εισόδου. Ο θόρυβος κβαντισμού είναι : σ q q q 2 e = epede () = e de= V q q q To SNR (Signal to Noise) με μονάδες μέτρησης db που είναι: 2 σ x SNR = 10log db 2 σ e Από (1.10),(1.11) και (1.12) έχουμε (1.11) 2N σ x 2N σ x SNR = 10log = 10log log 2 2 ( 2X max ) ( 2X max ) (1.12) (1.13) Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 13

15 Έστω ότι έχουμε για είσοδο ένα συνημίτονο x( t) = Acos( ωt) H μέση τετραγωνική τιμή του υπολογίζεται σύμφωνα με τον τύπο: (1.14) Η (RMS) τιμή της ισχύος του αναλογικού σήματος εισόδου είναι: 2 2 A P av = σ x = xrms = (1.15) 2 Άρα σύμφωνα με τον τύπο (1.13) έχουμε : 2 A SNR = 6n + 10log 2 = 6n ( db) 2 ( 2A) 12 (1.16) Παρατηρούμε ότι για κάθε 6 db κέρδος σε SNR κερδίζουμε 1 bit ακρίβεια (resolution). Εδώ θα δώσουμε μερικά είδη θορύβου που εισάγονται λόγω της κβαντισής: Θόρυβος κβαντισμού (Quantization Noise) Εμφανίζεται επειδή η τιμή του δείγματος εισόδου αντικαθίσταται από την τιμή του πλησιέστερου επιπέδου κβαντισμού. Το σφάλμα κβαντισμού για ένα δείγμα είναι μικρότερο, κατά απόλυτο τιμή, από το ήμισυ του μεγέθους της ζώνης κβαντισμού. Θόρυβος υπερφόρτωσης (Overload Noise): Εμφανίζεται όταν το σήμα εισόδου είναι μεγαλύτερο από το μεγαλύτερο επίπεδο κβαντισμού με αποτέλεσμα τον «ψαλιδισμό» του Κοκκώδης Θόρυβος (Granularity Noise): Εμφανίζεται όταν τα επίπεδα κβαντισμού δεν είναι αρκετά πυκνά για να προσεγγίσουν με ακρίβεια το δείγμα. Είναι πιο εμφανής όταν οι τιμές των δειγμάτων κυμαίνονται ελαφρά γύρω από ένα όριο της περιοχής κβαντισμού. Αν ο αριθμός των επιπέδων κβαντισμού Μ είναι σταθερός τότε υπάρχει ανταλλαγή μεταξύ των θορύβων κβαντισμού και υπερφόρτωσης Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 14

16 1.3.1 Κωδικοποίηση: Η έξοδος του κβαντιστή οδηγείτε σε ένα κωδικοποιητή, ο οποίος εκχωρεί ένα μοναδικό δυαδικό αριθμό (κώδικα λέξη) σε κάθε ένα επίπεδο κβαντισμού. Μπορεί να χρησιμοποιηθεί οποιαδήποτε εκχώρηση κωδικών λέξεων στα επίπεδα αυτά, καθώς υπάρχουν διάφορα πλαίσια κωδικοποίησης. Τα περισσότερα συστήματα ψηφιακής επεξεργασίας σήματος χρησιμοποιούν τη παράσταση αριθμών με το συμπλήρωμα ως προς 2 (two s -complement). Έστω ότι έχουμε μια ψηφιακή λέξη του συστήματος αυτού με n+1 bits ίση με c= [b 0, b1..b n ] Το πιο σημαντικό ψηφίο (most significant bit ή MSB) b 0, είναι το ψηφίο προσήμου, ενώ τα υπόλοιπα ψηφία αντιστοιχούν στην αριθμητική τιμή των δυαδικών ακεραίων ή κλασμάτων. Θεωρώντας δυαδικά κλάσματα, η κωδική λέξη b 0 b 1 b 2.b n έχει την τιμή 1 2 x = ( 1) b0+ b12 + b b 2 B n (1.17) 1.4 FPGA ( Field Programmable Gate Array) H High-Tech-Electronic δεν θα ήταν πλέον εφικτή χωρίς την εμφανίσει των FPGAs (Field Programmable Gate Arrays). Τα πρώτα πρωτότυπα εμφανίσθηκαν περίπου το 1982 και κανένας δεν μπορούσε εκείνη την εποχή να πιστέψει πόσο αναπόφευκτα θα ήταν για την τεχνολογική πρόοδο ακόμα και σήμερα. Με τα συμβατικά κυκλώματα λογικής FPGAs μπορεί να αντικατασταθούν ολόκληρα κυκλώματα λογικής, αλλά ο βασικός σκοπός εφαρμογής βρίσκετε αρκετά πέρα από αυτόν. Όπως και ο ίδιος μικροεπεξεργαστής μπορεί ανάλογα με τον προγραμματισμό που του γίνεται να εκπληρώνει διάφορες διεργασίες έτσι μπορεί και το ίδιο FPGA να αναλάβει ανάλογα με τις διάφορες διαμορφώσεις που του γίνονται ανάλογες διεργασίες. Σχ 1.8 Δομή ενός FPGA Σε γενικές γραμμές ένα FPGA, είναι ένα ολοκληρωμένο κύκλωμα, το οποίο ανήκει στην οικογένεια των προγραμματίσημων κυκλωμάτων (LB) και συγκεκριμένα στην κατηγορία της προγραμματίσημης λογικής συσκευής ( PLDs ) ή των EPGAs ( Embedded Programmable Gate Arrays). Η εσωτερική δομή του FPGAs αποτελείται από μερικών εκατομμυρίων των ίδιων, προγραμματίσημων κυττάρων (Σχήμα 1.8), τα οποία είναι τοποθετημένα σε μια είδους δομής Μatrix στο Chip και μπορούνε να εκτελέσουνε λογικές πράξεις όπως AND, OR, NOT, XOR καθώς και λογικές FLIP-FLOP. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 15

17 Ανάμεσα στα κύτταρα βρίσκονται τα Routing Channels,με την βοήθεια των οποίων γίνεται η επικοινωνία μεταξύ των κύτταρων αυτών. Τα Routing-Ressourcen αποτελούνται από τα πολύ λεπτά (λεπτόκοκκα) συνδεόμενα τμήματα. Η σύνδεση αυτών των μεμονωμένων τμημάτων γίνεται από ένα είδος προγραμματιζόμενων διακοπτών. Οι χρόνοι διάδοσης των διάφορων σημάτων πάνω στο Chip εξαρτώνται από το σύνολο αυτών των κυττάρων. Η δόμηση αυτών των στοιχείων τώρα παρουσιάζει τα ίδια χαρακτηριστικά που παρουσιάζει μια RC-διάταξη στοιχείων. Η συνολική αντίσταση στο κύκλωμα είναι αυτή που βγαίνει από τον συνδυασμό των κύτταρων που συμβάλλουν στο κύκλωμα μετά από το κλείδωμα που κάναμε με τους διακόπτες στα μονοπάτια. Ένα μοντέρνο FPGA σήμερα αποτελείτε κατά 90% από προγραμματιζόμενα κύτταρα και Routing Channels. Η απόδοσή τους δηλώνετε με το κατά πόσο γρήγορα είναι τα σήματα πάνω στα κανάλια και από το Routing- Ressourcen. Εσωτερικά τα κύτταρα (LB) αποτελούνται από μια προγραμματιζόμενη ταμπέλα RAM (LUT) και ένα FLIP-FLOP (σχήμα 1.2).Έχουν τέσσερις εισόδους και μια έξοδο. Η έξοδος μπορεί να είναι είτε απευθείας διαθέσιμη για την μετάβαση σε μια άλλη βαθμίδα του κυκλώματος είτε μπορεί να αποθηκευτεί στο FLIP-FLOP που διαθέτει το κύτταρο Πλεονεκτήματα των FPGAs Σχ 1.9 Εσωτερική δομή των κυττάρων (LB Αυτό που έφερε την τόσο μεγάλη διάδοση της τεχνολογίας των FPGA είναι η πληθώρα των πλεονεκτημάτων που φέρουνε μαζί τους. Παρακάτω παρουσιάζονται μερικά από τα πλεονεκτήματα τα οποία είναι : Υψηλή ολοκλήρωση που φέρουνε στα κυκλώματα τους. Γρήγορη υλοποίηση (προγραμματισμός τους). Δυνατότητα να αναπρογραμματιστούν. Πολύ καλή σχέση απόδοση, τιμής γιατί μειώνουν το κόστος μέχρι και ένα τρίτο. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 16

18 Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 17

19 ΚΕΦΑΛΑΙΟ Μετατροπέας Αναλογικού σε Ψηφιακού Σήματος ADC 2 A/D Μετατροπείς Για την μετατροπή ενός αναλογικού σήματος σε ψηφιακό απαιτούνται τρία στάδια. Πρώτον, δειγματοληψία δηλαδή μετατροπή του αναλογικού σήματος σε διακριτό, δεύτερον, κβαντοποίηση δηλαδή μετατροπή σε σήμα διακριτού πλάτους και τέλος μετατροπή σε ακολουθία δυαδικών ψηφίων όπως παρουσιάζεται στο σχήμα 2.1. Σχ 2.1 Στάδια μετατροπής ενός αναλογικού σήματος σε ψηφιακό Δύο είναι οι αποφάσεις που πρέπει να λάβουμε υπόψη, πόσο συχνά θα παίρνουμε δείγματα και δεύτερον πόσες τιμές θα έχει το πεδίο τιμών. Αυτές οι δύο αποφάσεις είναι σημαντικές, γιατί καθορίζουν τις προδιαγραφές του ADC καθώς και τα όρια που έχει. Επίσης καθορίζετε και ποιος αλγόριθμος από τους γνωστούς μέχρι σήμερα θα πρέπει να καταφύγει κάποιος σχεδιαστής, ώστε να ικανοποιήσει τις απαιτήσεις του. Υπάρχουν οι εξής τύποι A/D converters : α)successive approximation β)single slope integration γ)dual slope integration δ)flash ADCε)Delta Sigma ζ) Algorithmic A/D Οι παραπάνω τρόποι βασίζονται στις εξής βασικές ιδέες: Counting or Ramp ADC Μια τάση αναφοράς αυξάνεται με σταθερά βήματα μέχρι να γίνει μεγαλύτερη από το δείγμα. Ο δυαδικός αριθμός που αντιστοιχεί στους παλμούς του ρολογιού που χρειάστηκαν γι αυτό είναι η κβαντισμένη τιμή του δείγματος Serial or Successive Approximation ADC (Σειριακός ADC ή διαδοχικών προσεγγίσεων ADC) Χρησιμοποιεί δυαδική έρευνα (μέχρι να φθάσει στην επιθυμητή ακρίβεια ) για να προσδιορίσει την ζώνη κβαντισμού του δείγματος εισόδου. Parallel or Flash ADC (Παράλληλος ή «αστραπιαίος») Το δείγμα εισόδου συγκρίνεται ταυτόχρονα με όλα τα δυνατά επίπεδα κβαντισμού. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 18

20 2.2 Dual Slope A/D Converter Στο Σχ.2.2 παρουσιάζεται ένας απλοποιημένος Dual Slope A/D converter.τα κυριότερα στοιχεία που τον αποτελούν είναι δυο ενισχυτές,ο ένας λειτουργεί σαν ολοκληρωτής και ο δεύτερος σαν συγκριτής. Αποτελείτε από ένα ψηφιακό μέρος το Control Logic and Clock που είναι υπεύθυνο για τον συντονισμό του κυκλώματος. Περιέχει και έναν counter N bits που μας δίνει όπως θα δούμε παρακάτω την ακρίβεια του μετατροπέα. Επίσης φέρει την τάση προς μέτρηση Vin και την τάση αναφοράς V ref Σχ 2.2 Dual Slope A/D converter Θα δούμε τώρα περιληπτικά την βασική ιδέα λειτουργίας του και τις λεπτομέρειες θα τις εξετάσουμε αργότερα. Για την μετατροπή της αναλογικής τάσης σε ψηφιακή ακολουθούμε τρία στάδια, τα οποία τα συγχρονίζει η Control Logic.Το πρώτο στάδιο είναι το στάδιο ηρεμίας,εδώ ο διακόπτης είναι συνδεδεμένος με την V ref η έξοδος του ολοκληρωτή και του συγκριτή είναι τέτοιες ώστε η μονάδα έλεγχου να μην επιτρέπει στο μετρητή να αρχίσει τη μέτρηση. Στο δεύτερο στάδιο ο διακόπτης συνδέετε με την Vin και ο ολοκληρωτής αρχίζει να την ολοκληρώνει, συγχρόνος ο συγκριτής δίνει σήμα στη μονάδα έλεγχου να αρχίσει ο μετρητής να μετράει 2 N παλμούς για ένα N χρονικό διάστημα που εξαρτάται από το T CLK ίσο με T X = T CLK 2.Όταν τελειώσει την μέτρηση ο μετρητής μηδενίζετε και περνάμε στο τρίτο στάδιο όπου η μονάδα ελέγχου δίνει σήμα να συνδεθεί η V ref. Τότε αρχίζει πάλι η μέτρηση του counter και σταματάει όταν η τάση στην έξοδο του ολοκληρωτή γίνει ελάχιστα αρνητική. Το χρονικό διάστημα είναι ίσο μεt M= T CLKK,όπου Κ είναι η παλμοί που μέτρησε ο μετρητής και εξαρτάται από την V in όπως φαίνεται και από το Σχ 2.3 Σχ 2.3 Στάδια μετατροπής της αναλογικής τάσης σε ψηφιακή με Dual Slope A/D Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 19

21 Θα εξετάσουμε τώρα τον Dual Slope A/D Converter πιο αναλυτικά, για την ανάγκη αυτή θα εισάγουμε ένα πραγματικό κύκλωμα όπως φαίνεται στο Σχ 2.4. Θα εισάγουμε την μαθηματική ανάλυσή του, θα εξετάσουμε και την χρήση των διόδων που βλέπουμε αλλά και τα χαρακτηρίστηκα του ολοκληρωτή και του Comparator. Καθώς θα εξετάσουμε και τα κρίσιμα σημεία του converter που μας διέπουν στην σωστή λειτουργία του. Θα δούμε τα διάφορα παθητικά στοιχεία που τον απαρτίζουν εξηγώντας ποια από τις προδιαγραφές καθορίζει την επιλογή τους. Στο τέλος θα αναλύσουμε τα μειονεκτήματα και πλεονεκτήματα του και σε ποίες εφαρμογές τον συναντάμε. Σχ 2.4 Το σχηματικό διάγραμμα ενός Dual Slope A/D Converter Ας υποθέσουμε ότι το σύστημά μας είναι σε κατάσταση ηρεμίας που ορίζετε ως εξής: Το σήμα SOC (Start of Conversion) είναι στο HIGH με αποτέλεσμα η τάση εισόδου είναι στην -Vref και η τάση στην έξοδο του ολοκληρωτή V int περιορίζετε στα 0.6 Volt λόγο της διόδου που είναι παράλληλα με τον πυκνωτή C 1.Αν δεν ήταν η δίοδος εκεί θα πήγαινε η τάση V int στο κόρο του ενισχυτή που είναι V int =V DD -1.5 Volt πράγμα που σημαίνει ότι θα είχαμε μεγαλύτερο χρόνο μετατροπής. SOC είναι HIGH άρα κάνει Reset τον Counter. Η έξοδος του Comparator λόγο του ότι η V = 0.5Volt και V 0Volts + = έχει σαν αποτέλεσμα η έξοδός του να κινείτε σε αρνητικές τιμές και μάλιστα σύμφωνα με τον τύπο VOUT = AV ( + V ) όπου Α το κέρδος του ενισχυτή άρα και εδώ αν δεν ήταν η δίοδος η VCOMP = VMA + 1.5Volt όμως λόγο της διόδου περιορίζετε στα -600 mv περίπου. Η πύλη U3A(NAND) αφού έχει την μια είσοδο σε Low το CLK του counter είναι μόνιμος στο High με αποτέλεσμα να μην μετράει. Την χρονική στιγμή Τ 0 το σήμα SOC πηγαίνει στο LOW ο διακόπτης C 5 γειώνετε με αποτέλεσμα το σήμα SW να είναι LOW και η έξοδος της πύλης U 3B (NAND) να είναι HIGH με αποτέλεσμα η θετική τάση V in να συνδέεται στην είσοδο του ολοκληρωτή. Την χρονική στιγμή αυτή η έξοδος του ολοκληρωτή ήταν φορτισμένη στη τάση 0.6V και αρχίζει να κατεβαίνει με ρυθμό που εξαρτάται από την τάση V in όπου VINT T 0 =0.6Volts t 1 1 V = Vin dt+ V = Vin t+ VINT (2.1) INT T 0 TO RC 1 1 RC Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 20

22 Την χρονική στιγμή T1 η τάση στη έξοδο του ολοκληρωτή γίνεται ελάχιστα αρνητική ο Comparator το αντιλαμβάνεται αυτό και η έξοδος του από -600mV που ήταν πηγαίνει στην τάση VCOMP = VDD αυτό έχει σαν συνέπεια η έξοδος της πύλης U3B (NAND) να ακολουθεί τους παλμούς N του CLK και ο Counter να αρχίσει να μετράει προς τα πάνω 2 παλμούς όπου Ν είναι τα Bit του counter μας. Ο χρόνος T1 μπορεί να υπολογιστεί από την σχέση (2.1) αν βάλουμε όπου V INT =0 Volt και λύσουμε ως προς t προκύπτει : VINT 0 T1 = T R1C1 (2.2) Vin Εξαρτάται όπως βλέπουμε από την τάση εισόδου που θέλουμε να μετρήσουμε και από την τάση εξόδου του ολοκληρωτή VINT T 0 =0.6Volts που ήταν στην κατάσταση ηρεμίας λόγο της διόδου που υπάρχει εκεί, τώρα καταλαβαίνουμε γιατί ήταν σημαντική η χρήση της διόδου που αν δεν ήταν θα είχαμε μεγάλη τάση περίπου -1.5 Volt λιγότερο από την τάση VDD (πχ αν VDD=10V VINT =8.5Volt ). T 0 Εικόνα 1 Το χρονικό διάγραμμα ενός Dual Slope A/D Converter Από την χρονική στιγμή T1 μέχρι την T2 ο ολοκληρωτής ολοκληρώνει την τάση εισόδου όπως είπαμε για χρόνο σταθερό και εξαρτάται από τα Bits του counter και το T CLK και είναι ίσως με T 2 N x = TCLK (2.3) Όταν ολοκληρώσει την μέτρηση το κρατούμενο που προκύπτει ενεργοποιεί το διακόπτη S2 και συνδέει στην είσοδο του ολοκληρωτή την αρνητική τάση -V ref. Η τάση που υπάρχει την χρονική στιγμή T2 στην έξοδο του ολοκληρωτή είναι: 1 Δ VΤ Τ 1 2 = VinTx (2.4) RC Από την χρονική στιγμή T2 μέχρι την T3 λόγο της αρνητικής τάσης εισόδου -V ref η έξοδος του ολοκληρωτή αρχίζει και ανεβαίνει, μέχρι να φτάσει ελάχιστα θετικά και η έξοδος του comparator πάει στο Low (-0.6Volts) με αποτέλεσμα να σταματήσει την μέτρηση ο counter. Το χρονικό διάστημα αυτό είναι ίσο με T m= T CLKK όπου Κ είναι οι παλμοί που μέτρησε ο μετρητής. Η μεταβολή της τάσης στην έξοδο του ολοκληρωτή σε αυτό το διάστημα Τ2 Τ3 είναι: V V 1 1 T ref Δ Τ2 Τ3 = m (2.5) RC 1 1 Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 21

23 Στο χρονικό διάστημα T3 ως T4 η έξοδος V int του ολοκληρωτή περιορίζεται πάλι στα 0.6Volts την δίοδο. Εδώ πάλι αν ενεργοποιήσουμε το SOC θα ξανά αρχίσει η μετατροπή από την αρχή. Παρατηρούμε ότι η μεταβολή της τάσης εξόδου του ολοκληρωτή στα χρονικά διαστήματα T1 T 2 και T3 T4 είναι ίδια,αν βέβαια πάρουμε σαν παραδοχή ότι η τάση V int στα χρονικά T1 και Τ3 είναι μηδέν (λίγο αρνητικά και λίγο θετικά που λέγαμε πριν στην ανάλυση), έτσι μπορούμε να εξισώσουμε τις σχέσης (2.4) και (2.5) και να πάρουμε: K Vin = Vref (2.6) N 2.Παρατηρούμε ότι η τιμή της Κ είναι ανάλογη της εισόδου και δε εξαρτάται από την σταθερά ολοκλήρωσης (R1C1). Τώρα θα εξετάσουμε τα κρίσιμα σημεία του converter και τα διάφορα παθητικά στοιχεία που τον απαρτίζουν εξηγώντας ποια από τις προδιαγραφές καθορίζει την επιλογή τους. 1.Ακρίβεια μέτρησης του A/D converter Η ακρίβεια υπολογίζεται από τον τύπο (2.6) oσο πιο πολλά Bits έχει ο counter τόσο πιο καλή ακρίβεια έχουμε. Μια καλή ακρίβεια είναι τα 5mVolts Vref V A = (2.7) N 2 Έχουμε όμως περιορισμούς που οφείλονται στην Vos (offset) του ολοκληρωτή καθώς και στο γεγονός ότι η τάσης στην έξοδο V int του ολοκληρωτή στα σημεία Τ1 και Τ3 ενώ θα έπρεπε ιδανικά να είναι ίσες ή μηδέν δεν είναι όμως. Άρα πρέπει αν η τάση αυτή στο (Τ1) είναι V T1 και αντίστοιχα στο (Τ3) V T 3, με ( V T1 -V T 3 )< V A (8). Επίσης Vref V os (2.8) N Χρόνος μετατροπής της αναλογικής τάσης σε ψηφιακή (Conversion Time). Ο χρόνος μετατροπής εξαρτάται από τρεις συνιστώσες. Πρώτα από το χρονικό διάστημα που χρειάζεται η τάση V int να πέσει από τα 0.6Volts στα ιδανικά 0 Volts ώστε να αρχίσει ο counter να μετράει,έστω το διάστημα αυτό T0 T1 είναι T VINT = RC (2.9) T 0 M Vin όπου ( VINT T 0 =0.6Volts).Παρατηρούμε ότι είναι μικρός για V in μεγάλες. Έπειτα από το χρονικό διάστημα T1 T2 που είναι πάντα σταθερός αφού καθοριστεί από της προδιαγραφές τον N υπολογίσαμε πριν στην σχέση (3) T X = T CLK 2.Και τέλος από τον μεταβλητό χρόνο T m= T CLKK (11) όπου Κ είναι οι παλμοί που μέτρησε ο μετρητής που εξαρτάται από την τάση εισόδου Vin. 2 V 2 T = (1 + ) + T + T 200,300 μ sec (2.10) N N+ 1 in MAX AD / M1 M1 FCLK Vref FCLK Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 22

24 3.Η τιμή της σταθεράς χρόνου ολοκλήρωσης (R1C1) Η τιμή της σταθεράς χρόνου ολοκλήρωσης, ορίζετε με κριτήριο την μέγιστη τάση διακύμανσης της εξόδου Vint του ολοκληρωτή και αυτό συμβαίνει όταν έχουμε τάση εισόδου V in ίση με την V ref. Άρα αν μας δίνετε ο πυκνωτής C1 τότε υπολογίζουμε την αντίσταση : Ν Vref 2 R1 = (2.11) ΔV F ΜΑΧ Η ΔV ΜΑΧ είναι η τάση την χρονική στιγμή Τ2 τέτοια ώστε να μην είμαστε στον κόρο του ολοκληρωτή 4.Κριτήριο επιλογής του Comparator Ο Comparator (συγκριτής) πρέπει να είναι πολύ ευαίσθητος στην τάση εισόδου V int του ολοκληρωτή ώστε για μια πολύ μικρή αρνητική τάση να πηγαίνει στο High ώστε να αρχίζει να μετράει ο counter μας και για μια πολύ μικρή θετική τάση να πηγαίνει στο Low και να σταματάει o Counter μας την μέτρηση. Επίσης πρέπει η κλήση ανόδου και καθόδου να είναι πολύ απότομη δηλαδή να έχει μεγάλο κέρδος (A) ο comparator ώστε κατά την μετάβαση να μην μετρήσει ο Counter κανέναν παλμό. Πρέπει δηλαδή να ισχύουν οι σχέσης (4) και (5) όσο γίνεται καλύτερα. Θα βρούμε τώρα της ελάχιστες αυτές τάσης αντίδρασης του συγκριτή σε συνάρτηση με την ακρίβεια του μετατροπέα μας για να ορίσουμε τους διάφορους περιορισμούς που μας προκύπτουν. Από τις σχέσης (4) και (5) έχουμε: Έστω Δ V T1 T 2 = V MAX V e και Δ V T 2 T 3 = V MAX V e + τότε από (2.3),(2.4),(2.5),(2.7),(2.11) έχουμε: K = Vin Vref 2 N V V MAX MAX Ve V + E = CLK Vin Vref 2 N Ve + 1+ V MAX V e 1+ V MAX (2.12) Σχ 2.5 Η τάση Verr = Ve Ve + Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 23

25 Παρατηρούμε ότι όσο πιο μεγάλη είναι η τάση V MAX που εξαρτάται από την τάση εισόδου Vin (αφού έχουν οριστεί οι υπόλοιπες προδιαγραφές) και όσο πιο μικρό είναι το V e+ V e, τόσο πιο μικρό είναι το σφάλμα του counter μας. Το ιδανικό θα ήταν V e+ = V e και κατά μέτρο και κατά πρόσημο. Επίσης προσέχουμε όταν Vin= V A Vref = το V N MAX >> V e+, V e. 2 Το V err= V e+ - V e, οφείλετε στο ότι o Comparator δεν αντιδράει αμέσως σε πολύ μικρές τάσης αρνητικές και θετικές λόγο της τάσης Vos,ρεύματα διαρροής κτλ. Εξαρτάται από τον ενισχυτή που χρησιμοποιούμε. Μια καλή λύση είναι ο ενισχυτής ΕL2180 που έχει ένα V err= V e+ - V e =30mV και Vos=5 με 10 mv. 5.Προδιαγραφές για το F CLK λόγου της τάσης V err= V e+ - V e Το πρόβλημα αυτό μπορούμε να το αντισταθμίσουμε αν καταφέρουμε με κάποιο τρόπο το σφάλμα αυτό να μην το αντιλαμβάνεται ο Counter μας. Υπάρχει τρόπος αν επιλέξουμε το F CLK του A/D Converter κατάλληλα και σύμφωνα με τον τύπο: Από (2.5),(2.11) και με ΔV= V e+ - V e, Κ=1 LSB Vref ( Ve+ Ve ) Δ V = TCLK K TCLK > RC 1 1 (2.13) RC V 1 1 κατά 1.5 με 2 φορές μεγαλύτερο. Υπάρχουν τρεις τρόποι να αποφύγουμε να χάσουμε την ακρίβεια λόγο της τάσης V err : 1.Πολυ μικρό Vos και ευαίσθητο Comparator. 2.Να μειώσουμε το Fclk. 3.Να επιλέξουμε ακρίβεια μικρότερη από : V A > V e+ -V e 6. Προδιαγραφές του ολοκληρωτή και προβλήματα της Vos τάσης του. Η ακρίβεια της μετατροπής εξαρτάται από την ποιότητα του ολοκληρωτή. Το ρεύμα διαρροής στον τελεστικό ενισχυτή και στον πυκνωτή του ολοκληρωτή πρέπει να είναι αμελητέα. Γι' αυτό πρέπει να επιλεγεί τελεστικός ενισχυτής με διαφορικό ζεύγος εισόδου Jfet ή MOSFet και πυκνωτής πολύ καλής ποιότητας. K err ref ( ) ( ) N Vin Vin V V os N OS Vin + V ref = 2 = 2 Vref Vref V + os Vref Vref + V os (2.14) Σημαντικότερο σφάλμα στην μετατροπή επιφέρει η τάση Vos του τελεστικού ενισχυτή. Από την παραπάνω σχέση βλέπουμε ότι το σφάλμα μετατροπής αυξάνεται με την τάση εισόδου και το μέγιστο σφάλμα είναι: K err N 2 V OS N 2 V os = 2 = 2 ( Vref Vos ) V + ref (2.15) Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 24

26 Είναι προφανές ότι το λάθος που εισάγεται στην μέτρηση θα πρέπει να είναι μικρότερο της μονάδος και συνεπώς μπορούμε να βρούμε με βάση τον αριθμό των bits που απαιτούνται για τον A/D converter την μέγιστη επιτρεπτή τιμή για το Vos τελεστικού ενισχυτή του ολοκληρωτή: V (2.16) 2 ref V os N + 1 Ο A/D converter έχει την δυνατότητα να δώσει μεγάλη ακρίβεια μετατροπής και χρησιμοποιείται σε εφαρμογές όπου η προς μετατροπή τάση αλλάζει αργά στον χρόνο. Επιπλέον έχει το πλεονέκτημα να ολοκληρώνει τον θόρυβο που υπερτίθεται στο χρήσιμο σήμα και να τον απορρίπτει. Ειδικά οι συχνότητες που έχουν περίοδο πολλαπλάσια του χρόνου ολοκλήρωσης της τάσης εισόδου απορρίπτονται ολικά αφού το ολοκλήρωμα του ημίτονου σε μία περίοδο είναι 0 Σχ 2.6 Απόρριψη (σε DB) της τάσης εισόδου σε συνάρτηση με την συχνότητα εισόδου. Η περίοδος ολοκλήρωσης της τάσης είναι 0,1. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 25

27 2.3 Παράλληλοι Μετατροπείς A/D Η παράλληλη τεχνική χρησιμοποιείται στην υλοποίηση ADC με εξαιρετικά μικρούς χρόνους n μετατροπής. Για το σκοπό αυτό η τάση εισόδου συγκρίνεται μέσω N = 2 1συγκριτών με τις επιμέρους τάσεις αναφοράς, οι οποίες παράγονται από την Vref με ένα ωμικό διαιρέτη τάσης Έτσι, η έξοδος κάθε συγκριτή δείχνει αν η τάση εισόδου είναι μικρότερη ή μεγαλύτερη από την αντίστοιχη τάση αναφοράς. Όσο μεγαλύτερη είναι η τάση εισόδου τόσο μεγαλύτερος είναι ο αριθμός των συγκριτών με τάση εξόδου λογικό 1. Επειδή ο ψηφιακός κώδικας στην έξοδο των συγκριτών δεν είναι εύχρηστος, χρησιμοποιείται κάποιο λογικό κύκλωμα για τη μετατροπή του στον επιθυμητό κώδικα. Στους μονοπολικούς DAC χρησιμοποιείται συνήθως ο δυαδικός κώδικας, ο οποίος παρέχει 2 N διακριτές τιμές από n bits, ενώ άλλοι κώδικες σε χρήση είναι ο BCD και ο Gray. Στους διπολικούς DAC οι πλέον εύχρηστοι κώδικες είναι ο δυαδικός με πόλωση και ο κώδικας συμπλήρωμα του δύο. Σχ 2.7 Δομή του παράλληλου ΑDC με 3bits. Εικονίζεται η κατάσταση εξόδου των συγκριτών όταν ισχύει (3.5/7)Vref < Vi < (4.5/7)Vref Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 26

28 Οι δύο κώδικες διαφέρουν μόνο στο MSB, όπου το MSB του ενός είναι ίσο με το συμπλήρωμα του άλλου. Επομένως, η μεταξύ τους μετατροπή είναι εύκολη, καθώς ο κώδικας συμπλήρωμα του δύο είναι αυτός που χρησιμοποιείται από τα υπολογιστικά συστήματα. Σχ 2.8 Αναπαράσταση της εισόδου με διαφορετικούς κώδικες Ο δυαδικός κώδικας με πόλωση είναι ισοδύναμος με τον απλό δυαδικό κώδικα, με τη διαφορά ότι το μηδέν αντιστοιχεί στη μέγιστη αρνητική τάση εισόδου. Άλλοι κώδικες είναι το πρόσημο και μέγεθος και ο κώδικας συμπλήρωμα του ένα, στους οποίους το μηδέν παριστάνεται με δύο τρόπους και είναι πιο δύσχρηστοι για το ψηφιακό σύστημα επεξεργασίας. Στην εικόνα 20 έχει καταγραφεί η ψηφιακή έξοδος ενός διπολικού ADC των 4bits, στους τέσσερις κώδικες. Το μειονέκτημα των παράλληλων ADC (flash) είναι ο πολύ μεγάλος αριθμός των συγκριτών (2 N 1) όταν ο αριθμός των bits της ψηφιακής λέξης εξόδου (n) είναι υψηλός. Ως αποτέλεσμα η κατασκευή παράλληλων ADC με πολλά bits είναι δύσκολη και υψηλού κόστους. Σχ 2.9 Παραλλαγή του παράλληλου ADC Μια παραλλαγή του παράλληλου ADC παρουσιάζεται στο Σχ 2.9, με δύο στάδια μετατροπής και μειωμένο αριθμό συγκριτών. Ο πρώτος παράλληλος ADC μετατρέπει την τάση εισόδου και παράγει τα p περισσότερα σημαντικά bits του ψηφιακού κώδικα εξόδου. Τα bits αυτά οδηγούνται σ ένα DAC, ο οποίος παράγει την αναλογική τάση Vip. Η αναλογική τάση που προκύπτει από τη διαφορά Vi Vip οδηγείται στο δεύτερο ADC, ο οποίος παράγει τα n p λιγότερο σημαντικά ψηφία του ψηφιακού κώδικα των n bits Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 27

29 2.4. Μετατροπέας A/D διαδοχικών προσεγγίσεων Ένας άλλος τύπος μετατροπέα αναλογικού σε ψηφιακό σήμα είναι μετατροπέας A/D διαδοχικών προσεγγίσεων (Successive approximation A/D converter) το block diagram του οποίου φαίνεται στο Σχ Η τεχνική των διαδοχικών προσεγγίσεων στηρίζεται σε ένα προγραμματιζόμενο καταχωρητή τον SAR (Successive approximation register) ο οποίος στη περίπτωσή μας για μετατροπή αναλογικού σήματος σε τετράμπιτο δυαδικό λαμβάνει αρχικά την τιμή Σχ 2.10 Μετατροπέας A/D διαδοχικών προσεγγίσεων Η τιμή αυτή δίνει την αντίστοιχη V d μέσω του μετατροπέα ψηφιακού σε αναλογικό σήμα DAC η οποία συγκρίνεται με την προς μετατροπή αναλογική τάση εισόδου V a και αν V a <V d τότε ο SAR απορρίπτει το 1 από το MSB και θέτει 1 στο αμέσως λιγότερο σημαντικό ενώ αν V a > V d κρατάει το 1 στο MSB και προσθέτει ένα 1 στο αμέσως λιγότερο σημαντικό.η διαδικασία συνεχίζεται μέχρι να προκύψει ισότητα V a =V d οπότε η τιμή αυτή δίνεται μέσω του latch στην έξοδο και η διαδικασία επαναλαμβάνεται εξ αρχής. Για παράδειγμα αν η V a κυμαίνεται μεταξύ 0 και 15V και έχουμε V a =3V, οι τιμές που θα ακολουθήσει ο SAR μέχρι να καταλήξει στην τιμή 0011 φαίνονται παραπάνω. Ξεκινώντας από τη θέση (1) περνά διαδοχικά από τις θέσεις (2),(3) και καταλήγει στην θέση που υπάρχει ισότητα. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 28

30 2.5 Μετατροπείς A/D Σίγμα Δέλτα Στους προηγούμενους μετατροπείς A/D, το αναλογικό σήμα εισόδου πρέπει να διατηρείται σταθερό κατά το χρονικό διάστημα της μετατροπής. Τα κυκλώματα συγκράτησης που χρησιμοποιούνται για το σκοπό αυτό περιγράφονται παρακάτω. Η συχνότητα δειγματοληψίας fs του αναλογικού σήματος εισόδου, με μέγιστη συχνότητα fi, περιορίζεται από το χρόνο μετατροπής του A/D. Σύμφωνα με το κριτήριο του Nyquist, η συχνότητα δειγματοληψίας πρέπει να ικανοποιεί τη σχέση fs 2 fi, έτσι ώστε να είναι δυνατή η ανακατασκευή του αναλογικού σήματος εισόδου χωρίς παραμόρφωση, από τη ψηφιακή έξοδο του ADC. Το αναλογικό σήμα κβαντοποιείται σε 2 N στάθμες, όπου n είναι ο αριθμός των bits του ψηφιακού κώδικα. Οι μετατροπείς A/D σίγμα δέλτα (sigma-delta ADCs), αποτελούνται από δύο μέρη, το διαμορφωτή σίγμα δέλτα και το ψηφιακό φίλτρο εξόδου (digital decimation filter). Ο διαμορφωτής σίγμα δέλτα λειτουργεί ως μετατροπέας τάσης σε συχνότητα, επιτελώντας την κβαντοποίηση του αναλογικού σήματος εισόδου. Ο διαμορφωτής παρέχει στην έξοδό του μια παλμοσειρά από λογικά 1 και 0 με διάρκεια τ = 1/fq, όπου fq είναι η συχνότητα κβαντοποίησης ή συχνότητα υπερδειγματοληψίας (oversampling frequency). Το ψηφιακό φίλτρο του ADC σίγμα δέλτα επιτελεί τη μετατροπή της παλμοσειράς εξόδου από το διαμορφωτή (ψηφιακό σήμα του 1bit), σε ψηφιακές λέξεις των n bits. Ο διαμορφωτής πρώτης τάξης περιλαμβάνει ένα ολοκληρωτή, στην είσοδο του οποίου οδηγείται η διαφορά e του αναλογικού σήματος εισόδου από το σήμα που παρέχει ένας DAC του ενός bit. Η τάση αναφοράς (Vref) του DAC ορίζει την περιοχή τιμών του σήματος εισόδου u i. Έτσι, με τη χρήση ενός διπολικού DAC με τάση αναφοράς 10V, υλοποιείται ένας ADC σίγμα δέλτα με εύρος μετατροπής ±10V. Σχ 2.11 Λειτουργικό διάγραμμα του ADC σίγμα δέλτα με διαμορφωτή πρώτης τάξης και ψηφιακό φίλτρο εξόδου Η έξοδος του ολοκληρωτή u g συγκρίνεται με το μηδέν, με τη συχνότητα υπερδειγματοληψίας fq, μέσω ενός συγκριτή και ενός D Flip Flop. Ο συγκριτής και το Flip Flop επιτελούν την κβαντοποίηση του σήματος εισόδου στο 1bit. Το ψηφιακό σήμα u m στην έξοδο του Flip Flop αποτελεί την είσοδο του DAC και ταυτόχρονα την παλμοσειρά εξόδου του διαμορφωτή σίγμα δέλτα. Εξαιτίας της λειτουργίας του διαμορφωτή σε κλειστό βρόχο, η μέση τιμή του σήματος στην έξοδο του DAC είναι ίση με το αναλογικό σήμα εισόδου. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 29

31 2.6 Κυκλώματα Συγκράτησης Όπως έχει αναφερθεί, το αναλογικό σήμα στην είσοδο των μετατροπέων A/D πρέπει να διατηρείται σταθερό κατά το χρονικό διάστημα της μετατροπής. Για το σκοπό αυτό παρεμβάλλονται τα κυκλώματα συγκράτησης (sample and hold circuits, S/H) στην αναλογική είσοδο των ADC. Το βασικό κύκλωμα συγκράτησης αποτελείται από ένα πυκνωτή C και ένα διακόπτη S, ο οποίος οδηγείται από το ψηφιακό σήμα ελέγχου. Στο σχήμα 2.12 α) εικονίζεται το απλούστερο πρακτικό κύκλωμα συγκράτησης, με τη δομή cascade. Όταν ο διακόπτης είναι κλειστός (κατάσταση δειγματοληψίας, sample) η τάση στα άκρα του πυκνωτή και η τάση εξόδου είναι ίσες με την τάση εισόδου (u o =u c =u i ). Όταν ο διακόπτης ανοίξει (κατάσταση συγκράτησης, hold) ο πυκνωτής συγκρατεί την τρέχουσα τιμή της τάσης εισόδου (την τιμή της ui όταν δόθηκε η εντολή hold). Οι κυματομορφές του Σχ 2.12 β) επεξηγούν πλήρως τη λειτουργία του κυκλώματος συγκράτησης. Οι τελεστικοί ενισχυτές σε συνδεσμολογία ακόλουθης τάσης, απομονώνουν τον πυκνωτή συγκράτησης C από την είσοδο και την έξοδο του κυκλώματος S/H. Έτσι, η πηγή εισόδου μπορεί να έχει υψηλή εσωτερική αντίσταση (Α1) και αντίστοιχα η αντίσταση του φορτίου να είναι μικρής τιμής (Α2). Σχ 2.12 Κύκλωμα συγκράτησης με τη δομή cascade (α) και οι κυματομορφές λειτουργίας Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 30

32 Στο κύκλωμα συγκράτησης με τη δομή cascade, η τάση εξόδου εμφανίζει αποκλίσεις από την τάση εισόδου, εξαιτίας των σφαλμάτων που παρουσιάζουν οι δύο τελεστικοί ενισχυτές. Τα σφάλματα αυτά εξαλείφονται με τη δομή ανάδρασης που εικονίζεται στο Σχ 2.13α, στην οποία η τάση εξόδου επιβάλλεται ίση με την τάση εισόδου. Ειδικότερα στην κατάσταση δειγματοληψίας, όπου ο διακόπτης είναι κλειστός, η τάση στα άκρα του πυκνωτή είναι ίση με uc=auc=aol1(ui-uo) (2.17) και επειδή το κέρδος ανοικτού βρόχου του τελεστικού ενισχυτή Α1 είναι εξαιρετικά υψηλό ισχύει, u o =u i =u c. Το βασικό κύκλωμα στο Σχ. 2.13α έχει το μειονέκτημα ότι ο ενισχυτής Α1 οδηγείται στον κόρο στην κατάσταση συγκράτησης, καθώς οι τάσεις στις εισόδους του έχουν μεγάλη διαφορά τιμών. Έτσι, ο χρόνος επιστροφής στη γραμμική λειτουργία με τη νέα εντολή δειγματοληψίας είναι πολύ μεγάλος (10δες ms). Το πρόβλημα κορεσμού του ενισχυτή Α1 αντιμετωπίζεται με τη δομή του, στο Σχ. 2.13β. Στην κατάσταση δειγματοληψίας οι δύο δίοδοι δεν άγουν και τα δύο κυκλώματα είναι ισοδύναμα. Αντίθετα, στην κατάσταση συγκράτησης άγει η μια από τις δύο διόδους, με αποτέλεσμα ο ενισχυτής Α1 να λειτουργεί ως ακόλουθος τάσης. Η διαφορά μεταξύ των τάσεων εισόδου και εξόδου αναπτύσσεται στα άκρα της αντίστασης R. Στα κυκλώματα συγκράτησης ορίζεται ο χρόνος λήψης της τάσης εισόδου (acquisition time), ως ο χρόνος που απαιτείται για να γίνει η τάση εξόδου ίση με την τάση εισόδου, εντός μιας ζώνης σφάλματος, όταν δοθεί η εντολή δειγματοληψίας (sample). Συνήθως ο χρόνος λήψης προδιαγράφεται στην περίπτωση της μέγιστης μεταβολής της τάσης εξόδου. Ο ρυθμός πτώσης (droop rate) εκφράζει το ρυθμό μεταβολής της τάσης εξόδου στην κατάσταση συγκράτησης, εξαιτίας της εκφόρτισης του πυκνωτή. Σχ 2.13 Κυκλώματα συγκράτησης με τη δομή ανάδρασης: Βασική δομή (α) και εξάλειψη του κορεσμού μέσω των διόδων (β) Η επίδραση της τάσης εξόδου από το σήμα εισόδου, όταν το κύκλωμα S/H είναι σε κατάσταση συγκράτησης, εκφράζεται από την παράμετρο feedthrough attenuation ratio. Η επίδραση οφείλεται στην παρασιτική χωρητική σύζευξη μεταξύ της εισόδου και του πυκνωτή συγκράτησης. Ο χρόνος που απαιτείται για να ισορροπήσει η τάση εξόδου στην τελική της τιμή εντός μιας ζώνης σφάλματος, μετά την εντολή συγκράτησης, ορίζεται από το χρόνο αποκατάστασης συγκράτησης (hold settling time). Ακόμη, λόγω των ατελειών του διακόπτη παρατηρούνται σφάλματα στο σήμα εξόδου κατά τις μεταβάσεις του σήματος ελέγχου u d μεταξύ των καταστάσεων δειγματοληψίας και συγκράτησης. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 31

33 2.7 Χαρακτηρίστηκα Γνωρίσματα των A/D converters. Παράμετροι που επηρεάζουν την απόδοση του A/D και οφείλονται ως επί το πλείστον σε κατασκευαστικούς λόγους είναι: Offset Error: Είναι το λάθος που οφείλεται στην υπέρθεση μίας DC τάσης στην τάση εισόδου. Έτσι ο μετατροπέας δεν δίνει μηδενική έξοδο για μηδενική τάση εισόδου. Σε έναν ιδανικό μετατροπέα A/D, μια τάση εισαγωγής του q/2 ακριβώς μόλις θα προκαλέσει μια μετάβαση κώδικα από μηδέν σε μια αρίθμηση. Οποιαδήποτε απόκλιση από αυτό καλείται Zero Scale Offset Error, ή Offset Error.Αυτό το λάθος είναι θετικό ή αρνητικό όταν το πρώτο σημείο μετάβασης είναι υψηλότερο ή χαμηλότερο από το ιδανικό αντίστοιχα. Σχ 2.14 Offset Error Full Scale Error:Σε έναν πραγματικό A/D μετατροπέα παρατηρείτε ότι παίρνει την μέγιστη ψηφιακή τιμή παρόλο που η αναλογική είσοδος δεν έχει πάρει την μέγιστη τιμή. Αυτό το είδος λάθους καλείτε Full Scale Error Σχ 2.15 και εκφράζεται σε LSB ή ως ποσοστό της ιδανικής πλήρους εισαγωγής κλίμακας. Σχ 2.15 Full Scale Error Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 32

34 n Gain Error: Η κλίση της χαρακτηριστικής του μετατροπέα είναι διαφορετική από ( V Re f /2 ) Σχ Η τάση αναφοράς που εισάγεται στον μετατροπέα δε μεταφέρεται στα ηλεκτρονικά κυκλώματα με λόγο1:1.το λάθος Gain Error, ή Full-Scale Gain Error, είναι μια απόκλιση από την ιδανική κλίση της λειτουργίας μεταφοράς. Είναι όπως το full-scale λάθος με το offset λάθος που αφαιρείται. Εάν μετατοπίσουμε την πραγματική καμπύλη μεταφοράς έτσι ώστε το scale offset λάθος να γίνει μηδέν, η διαφορά μεταξύ των πραγματικών και ιδανικών μεταβάσεων στη συνολική κλίμακα είναι το Gain Error. Το Gain Error, εκφράζεται σε LSB s, ή ως ποσοστό της ιδανικής πλήρης κλίμακας τάσης. Σχ 2.16 Gain Error Differential Non Linearity (DLN): Ορίζεται σαν το μέγιστο λάθος που μπορεί να παρατηρηθεί από στάθμη σε στάθμη. Σε έναν ιδανικό μετατροπέα, τα σημεία μετάβασης από κώδικα σε κώδικα γίνετε με ακριβώς 1 LSB βήμα. Σε ένα 8 bit A/D μετατροπέα, για παράδειγμα, αυτές οι αλλαγές χωρίζονται η μία από την άλλη από 1 LSB, ή 1/256 βήματα. Το DNL μπορεί να διευκρινιστεί χρησιμοποιώντας τη λειτουργία μεταφοράς ενός 3 bit DAC που παρουσιάζεται στο Σχ2.17. Κάθε βήμα εισαγωγής πρέπει να είναι ακριβώς 1/8 της μέγιστης τιμής εισόδου. Η πρώτη μετάβαση κώδικα (από 000 σε 001) προκαλείτε από μια αλλαγή εισαγωγής τάσης FS/8 (250mV με τάση FS 2 Volt ), όπου FS είναι η μέγιστη τάση εισόδου. Αυτό είναι ακριβώς όπως πρέπει να είναι. Η δεύτερη μετάβαση, από 001 σε 010, έχει μια τάση εισαγωγής που είναι 1.2 LSB, είναι μεγαλύτερη κατά 0.2 LSB. Η τρίτη μετάβαση είναι ακριβώς το σωστό μέγεθος. Η ψηφιακή λέξη εξόδου παραμένει σταθερή όταν αλλάζει η τάση εισαγωγής από 1000mV σε 1500mV και ο κώδικας 101 δεν μπορεί ποτέ να εμφανιστεί στην έξοδο (Missing Code ). Για να αποφύγουμε την μη εμφάνιση κάποιον κωδικών, πρέπει το DNL να είναι μεγαλύτερο (θετικότερος) από 1.0 LSB. Το DNL δείχνει την απόκλιση από το ιδανικό 1 LSB βήμα του αναλογικού σήματος εισαγωγής που αντιστοιχεί μια αύξηση από κώδικα σε κώδικα. Σχ 2.17 Differential Non Linearity (DLN) Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 33

35 Integral Non Linearity (INL): Ορίζεται σαν το μέγιστο λάθος μετατροπής, αφού αφαιρεθεί το Gain και το Offset Error. Το INL, περιγράφει την απόκλιση από μια ιδανική γραμμική καμπύλη μεταφοράς για έναν A/D (ή ένα DAC) Σχ2.18. Στο INL δεν περιλάβετε τα λάθη κβαντοποίησης,offset error, ή gain error. Είναι ένα μέτρο της γραμμικότητας της συνάρτησης μεταφοράς και μπορεί να είναι μεγαλύτερη από τη διαφορική μη γραμμικότητα (DNL).Το μέγεθος και η διανομή του DNL λάθους θα καθορίσουν την ακέραια γραμμικότητα (INL)του μετατροπέα. Μερικές φορές ένας μετατροπέας περιγράφεται ως "x bits γραμμικός.". Παραδείγματος χάριν, ένας μετατροπέας με 10 bit resolution και με INL 4 LSB περιγράφονται μερικές φορές ως "8 bits γραμμικός" μετατροπέας επειδή τα 4 LSBs για τον 10 bit μετατροπέα είναι ο ίδιος με INL 1 LSB για τον 8 bit μετατροπέα. Σχ 2.18 Integral Non Linearity (INL) Monotonicity: Ένας A/D μετατροπέας είναι μονοτονικός εφ' όσον η έξοδός του πάντα αυξάνεται καθώς αυξάνεται η τάση εισόδου. Ας σημειωθεί ότι ένας μονοτονικός A/D έχει INL μικρότερο από μισό LSB. Missing Codes: Σαν missing codes ορίζονται οι ψηφιακές λέξεις που δεν εμφανίζονται ποτέ στην έξοδο ενός A/D. Σχ 2.19 Missing Codes Conversion Time: Είναι ο χρόνος που απαιτείται για μία μετατροπή τάσης. Ας σημειωθεί ότι μερικοί μετατροπείς απαιτούν να μην μεταβάλλεται η τάση εισόδου περισσότερο από 0.5 LSB κατά την διάρκεια της μετατροπής. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 34

36 SNDR (signal-to-noise-and-distortion-ratio) Το μέγεθος αυτό εκφράζει το πηλίκο της ισχύος του σήματος εισόδου προς το άθροισμα της ισχύος του θορύβου που συγκεντρώνετε στο διάστημα ενδιαφέροντος καθώς και την ισχύς των αρμονικών που προκύπτουν. Effective Number of Bits (ENOB)Είναι ένα μέγεθος που εκφράζει την πραγματική ακρίβεια ενός A/D ή D/A σε Bit ανάλογα το SNR που παρουσιάζουν σαν να ήταν ιδανική. Υπολογίζεται σύμφωνα με την εξίσωση: SNR 1.76 ENOB = (2.18) 6.02 Dynamic range: Δυναμική περιοχή, εκφράζει το πηλίκο της ισχύος του σήματος εξόδου για το μέγιστο σιμά εισόδου προς την ισχύ του θορύβου που συγκεντρώνετε στο διάστημα ενδιαφέροντος. End Point vs. Best Fit INL Measurements Υπάρχουν δύο μέθοδοι για την μέτρηση του (INL): Σχ 2.20 End Point vs. Best Fit INL Measurements Η "Best-Fit" και "End Point". Η Best-Fit μέτρηση επιτρέπει στον προμηθευτή να παρουσιάσει καλύτερες INL προδιαγραφές από ότι η μέθοδος End Point μέτρησης INL. Ένα επιχείρημα για την Best-Fit μέθοδος είναι ότι ο πελάτης μπορεί να ρυθμίσει το κύκλωμά του για να πραγματοποιήσει πραγματικά αυτό το χαμηλό INL, επιτυγχάνοντας καλύτερη γενική απόδοση. Το πρόβλημα που υπάρχει εντούτοις, είναι ότι κάθε "board" πίνακας πρέπει να ρυθμιστεί για ελάχιστο INL για κάθε μεμονωμένο μετατροπέα, ο οποίος είναι χρονοβόρος και, επομένως, ακριβώς και μη θεωρημένος επιθυμητός ή πρακτικός από τους περισσότερους κατασκευαστές. Όταν δεν μας ενδιαφέρει πολύ για τα λάθη offset και Gain δεν έχει σημασία ποια μέθοδο θα χρησιμοποιήσουμε, εκτός αν τα λάθη offset και Gain είναι πολύ μεγάλα, σε αυτήν την περίπτωση η Best-Fit μέθοδο είναι η καλύτερη, έχει δηλαδή σημασία για δυναμικές εφαρμογές Η μέθοδος "End Point" λέει στο χρήστη ποια Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 35

37 χειρότερη υπόθεση INL μπορεί να αναμείνει εάν κάνει απλά ρυθμίσεις σε δύο τελικά σημεία. Ως εκ τούτου, η μέθοδος "End Point" χρησιμοποιείτε ως πρακτικότερη από πολλούς. Η σύγκριση του INL δύο ανταγωνιστικών συσκευών δεν είναι λογική όταν μια συσκευή χρησιμοποιεί την Best-Fit" και η άλλη χρησιμοποιεί την "End Point" μέθοδο επειδή δεν υπάρχει κανένας συσχετισμός μεταξύ των δύο μεθόδων. Γενικά, ADCs που χρησιμοποιούνται στις εφαρμογές συνεχούς ρεύματος πρέπει το INL να διευκρινίζεται με τη μέθοδο "End Point" Η εταιρία National Semiconductor χρησιμοποιεί τη μέθοδο "End Point". Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 36

38 Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 37

39 3.1 Μετατροπείς D/A ΚΕΦΑΛΑΙΟ 3 3 D/A Μετατροπείς Ένας μετατροπέας D/A μετατρέπει μία ψηφιακή λέξη των n bits σε αναλογικό σήµα σύµφωνα µε τη σχέση b b b b u0 = kvref n 1 n 2 n 3 0 n όπου k είναι μια σταθερά αναλογίας και Vref η τάση αναφοράς του μετατροπέα. Με b n -1 συμβολίζεται το περισσότερο σημαντικό bit της ψηφιακής λέξης (most significant bit, MSB) και με b 0 το λιγότερο σημαντικό bit (least significant bit, LSB). H τάση αναφοράς Vref καθορίζει τη μέγιστη τιμή της τάσης εξόδου του D/A, δηλαδή την τιμή της u o όταν όλα τα bits της ψηφιακής εισόδου είναι σε κατάσταση λογικού 1. Η τάση αναφοράς μπορεί να είναι σταθερή ή μεταβαλλόμενη, οπότε έχουμε ένα μετατροπέα που λειτουργεί ως πολλαπλασιαστής μεταξύ του αναλογικού και του ψηφιακού σήματος (multiplying DAC, MDAC). (3.1) Σχ 3.1 Κυκλωματική δομή του βασικού μετατροπέα D/A των 4bits Η κυκλωματική δομή του βασικού μετατροπέα D/A εικονίζεται στο Σχ. 3.1 για ένα ψηφιακό σήμα των 4bits. Ο μετατροπέας αποτελείται από τον καταχωρητή αποθήκευσης της ψηφιακής λέξης, μια διάταξη αντιστάσεων ακριβείας, την πηγή αναφοράς και τους αναλογικούς διακόπτες, η θέση των οποίων ελέγχεται από το ψηφιακό σήμα εισόδου. Ο τελεστικός ενισχυτής μετατρέπει το ρεύμα i i που παρέχει η διάταξη των αντιστάσεων σε τάση. Αν υποθέσουμε ότι οι αντιστάσεις έχουν τις σημειωμένες τιμές και η τάση αναφοράς είναι 10V, η σχέση μετατροπής (3.1) αποκτά τη μορφή u 0 b3 b2 b1 b 2 0 Rf b3 b2 b1 b0 = kvref = = R (3.2) Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 38

40 Όταν το ψηφιακό σήμα έχει την τιμή 0001 είναι ενεργοποιημένος ο διακόπτης S0 και η τάση εξόδου έχει τη μικρότερη τιμή 0.625V. Αντίστοιχα στη ψηφιακή τιμή 1100 είναι ενεργοποιημένοι οι διακόπτες S3 και S2 και η τάση εξόδου έχει την τιμή 6.500V, ενώ όταν 1111 είναι ενεργοποιημένοι όλοι οι διακόπτες και η αναλογική τάση λαμβάνει τη μέγιστη τιμή 9.375V. Ο πίνακας αλήθειας του μετατροπέα D/A παρουσιάζεται στο Σχ3.2. Το μειονέκτημα του βασικού μετατροπέα D/A είναι ότι οι αντιστάσεις έχουν μεγάλη διαφορά τιμών, ιδιαίτερα όταν ο αριθμός των bits είναι μεγάλος. Ως αποτέλεσμα οι αντιστάσεις έχουν διαφορετική θερμοκρασιακή συμπεριφορά και η ακρίβεια του κυκλώματος είναι μικρή. Σχ 3.2 Πίνακας αλήθειας του μετατροπέα D/A 4 bits του Σχ 3.1 Ο περιορισμός της μεγάλης διασποράς στις τιμές των αντιστάσεων αντιμετωπίζεται με το δικτύωμα σκάλας R 2R (ladder network), το οποίο εικονίζεται στο Σχ. 3.3 για ένα μετατροπέα D/A των 4bits. Στο δικτύωμα σκάλας R 2R χρησιμοποιούνται μόνο δύο τιμές αντιστάσεων, ανεξάρτητα από τον αριθμό των bits της ψηφιακής λέξης, από τις οποίες η μια είναι διπλάσια της άλλης. Χαρακτηριστικό του δικτυώματος σκάλας είναι ότι η ισοδύναμη αντίσταση στα αριστερά των σημείων α, β, γ και δ είναι ίση με 2R, συνθήκη που ισχύει ανεξάρτητα από το πλήθος των στοιχείων του δικτυώματος. Ο τελεστικός ενισχυτής μετατρέπει το ρεύμα i i που παράγει το δικτύωμα σκάλας σε τάση Σχ 3.3 Μετατροπέας D/A των 4bits με το δικτύωμα σκάλας R 2R Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 39

41 Το ολικό ρεύμα i i στην είσοδο του τελεστικού ενισχυτή προκύπτει από την υπέρθεση των ρευμάτων που προκαλεί κάθε βαθμίδα του δικτυώματος σκάλας μόνη της. Έτσι, όταν είναι ενεργοποιημένος ο διακόπτης S3, ο οποίος αντιστοιχεί στο MSB της ψηφιακής εισόδου, ισχύει i i3= V ref / 2R (3.3) Όταν είναι ενεργοποιημένος ο διακόπτης S2 ισχύει i i2= V ref / 4R (3.4) και αντίστοιχα, i i1= V ref / 8R (3.5) με το διακόπτη S1 και ii0 = Vref /16R με ενεργοποιημένο το διακόπτη S0. Το μειονέκτημα του μετατροπέα D/A με το δικτύωμα σκάλας είναι ότι η φορά των ρευμάτων στις αντιστάσεις 2R αντιστρέφεται, όταν οι διακόπτες αλλάζουν κατάσταση. Η αντιστροφή του ρεύματος σε συνδυασμό με τις παρασιτικές χωρητικότητες αυξάνουν το χρόνο μετάβασης των διακοπτών, επομένως περιορίζουν την ταχύτητα μετατροπής του ψηφιακού σήματος. Το μειονέκτημα της αντιστροφής του ρεύματος αντιμετωπίζεται με μια παραλλαγή του δικτυώματος, το οποίο ονομάζεται δικτύωμα αναστροφής σκάλας R 2R. Οι μετατροπείς D/A με το δικτύωμα αναστροφής σκάλας είναι οι περισσότερο διαδεδομένοι (Σχ 3.4). Σχ 3.4 D/A των 4bits με το δικτύωμα ανάστροφης σκάλας R 2R Το ολικό ρεύμα i i στην είσοδο του τελεστικού ενισχυτή υπολογίζεται και πάλι με τη μέθοδο της υπέρθεσης, λαμβάνοντας τα επιμέρους ισοδύναμα κυκλώματα Thevenin, οπότε προκύπτει i i3= V ref / 2R (3.6) και αντίστοιχα i i2 = V ref / 4R, i i1= V ref / 8R, i i0= V ref / 16R (3.7) Η τάση εξόδου του μετατροπέα D/A ορίζεται από τη σχέση Σημειώνουμε ότι οι αναλογικοί διακόπτες σε όλες τις τοπολογίες των μετατροπέων D/A υλοποιούνται με τη χρήση είτε διπολικών transistors είτε συνηθέστερα με την τεχνολογία CMOS.Ανάλογα με τον τρόπο κατασκευής των διακοπτών, η ισοδύναμη ωμική τους αντίσταση κυμαίνεται από μερικές 10δες έως λίγες 100δες Ohm. (3.8) Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 40

42 3.2 Χαρακτηριστικά των Μετατοπέων D/A Η τάση εξόδου στους μετατροπείς D/A προκύπτει από το γινόμενο της τάσης αναφοράς με ένα ψηφιακό κώδικα, σύμφωνα με την Εξ. (3.1). Η πολικότητα της τάσης εξόδου εξαρτάται από την πολικότητα της τάσης αναφοράς και τη συνδεσμολογία των τελεστικών ενισχυτών στην έξοδο του δικτυώματος σκάλας. Στους DAC των Σχ. 3.1 Σχ. 3.3 η τάση εξόδου έχει αρνητική τιμή. Αντιστρέφοντας τη φορά της πηγής αναφοράς Vref, η τάση εξόδου αποκτά θετική τιμή. Αυτοί οι DAC ονομάζονται μονοπολικοί. Προκειμένου η τάση εξόδου να λαμβάνει θετικές αλλά και αρνητικές τιμές, με δεδομένη την πολικότητα της τάσης αναφοράς, χρησιμοποιείται ένας επιπλέον τελεστικός ενισχυτής στη δομή του μονοπολικού DAC, όπως εικονίζεται στο Σχ. 3.5, οπότε προκύπτει ο διπολικός (bipolar) DAC. Ο πίνακας αλήθειας ενός μονοπολικού DAC των 8bits και του αντίστοιχου διπολικού παρουσιάζονται στο Σχ Η τάση εξόδου του μονοπολικού DAC είναι 0V όταν ο ψηφιακός κώδικας είναι μηδέν (00) και Vref 1LSB όταν έχει τη μέγιστη τιμή (FF). Αντίστοιχα, στο διπολικό DAC η τάση εξόδου είναι η μέγιστη αρνητική (-Vref) όταν (00), 0V στο μέσο του ψηφιακού κώδικα (80) και η μέγιστη θετική (Vref 1LSB) όταν (FF). Σημειώνουμε ότι στο διπολικό DAC για την ίδια τάση αναφοράς η διακύμανση της τάσης εξόδου είναι η διπλάσια. Ταυτόχρονα, το λιγότερο σημαντικό ψηφίο της ψηφιακής λέξης (1LSB), αντιστοιχεί σε διπλάσια τάση στο διπολικό DAC (1LSB = 2Vref / 256), σε σχέση με το μονοπολικό (1LSB = Vref / 256).Σημειώνουμε ότι ένας DAC λειτουργεί ως πολλαπλασιαστής τεσσάρων τεταρτημορίων, όταν η μεταβλητή τάση αναφοράς και ο ψηφιακός κώδικας εισόδου μπορούν να αλλάξουν ταυτόχρονα την πολικότητα της αναλογικής τάσης εξόδου. Αντίθετα, σ ένα multiplying DAC δύο τεταρτημορίων, είτε μόνο η τάση αναφοράς είτε μόνο ο ψηφιακός κώδικας αλλάζουν την πολικότητα της τάσης εξόδου. Σχ 3.5 Διπολικός μετατροπέας D/A Σχ 3.6 Πίνακας αλήθειας ενός μονοπολικού και ενός διπολικού DAC των 8bits Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 41

43 Ο αριθμός των bits του DAC καθορίζει τη διακριτική ικανότητα, δηλαδή την ελάχιστη μεταβολή στην αναλογική τάση εξόδου. Η ελάχιστη μεταβολή αντιστοιχεί στο 1LSB και είναι ίση με το εύρος μεταβολής της τάσης εξόδου του DAC προς 2 n. Επομένως, ένας μονοπολικός μετατροπέας των 8bits με τάση εξόδου 0 10V έχει διακριτική ικανότητα 10V/256 = 39mV,ενώ ο αντίστοιχος διπολικός DAC (±10V) έχει διακριτική ικανότητα 20V/256 = 78mV. Η χαρακτηριστική μεταφορά ενός ιδανικού DAC με άπειρο αριθμό bits είναι μια ευθεία γραμμή, όπως εικονίζεται στο Σχ. 3.7 με τη διακεκομμένη γραμμή. Όταν ο αριθμός των bits είναι πεπερασμένος και ίσος με n, η αναλογική τάση εξόδου του DAC μεταβάλλεται σε διακριτά βήματα, τα οποία πρέπει ιδανικά να απέχουν μεταξύ τους κατά την τάση που αντιστοιχεί στο 1LSB Ο αριθμός των επιπέδων της τάσης εξόδου είναι ίσος με 2 n. Επομένως, η διαφορά της τάσης εξόδου του DAC από την ιδανική πρέπει να είναι ίση με 1/2 LSB. Ως διαφορική γραμμικότητα (differential linearity) του DAC ορίζεται η μέγιστη απόκλιση της τάσης εξόδου από την τάση που αντιστοιχεί στο 1LSB, όταν η ψηφιακή είσοδος μεταβληθεί κατά 1LSB. Το σφάλμα γραμμικότητα (linearity error) είναι η μέγιστη απόκλιση της πραγματικής απόκλισης του DAC από την ιδανική, η οποία εκφράζεται συνήθως ως ποσοστό της πλήρους κλίμακας (Σχ. 3.7β). Η μονοτονικότητα (monotonic) εκφράζει ότι η αναλογική τάση εξόδου του DAC αυξάνεται ή μειώνεται αντίστοιχα, όταν αυξάνεται (μειώνεται) η τιμή του ψηφιακού κώδικα. Το σφάλμα πλήρους κλίμακας (full scale error) είναι η διαφορά μεταξύ της τάσης εξόδου του DAC από την ιδανική τιμή, όταν η ψηφιακή λέξη έχει τη μέγιστη τιμή. Σχ 3.7 Χαρακτηριστική μεταφοράς ενός μονοπολικού DAC για τον ορισμό της διαφορικής γραμμικότητας (α) και του σφάλματος γραμμικότητας (β) Το σφάλμα μετατόπισης (offset error) παριστά την τάση στην έξοδο του DAC όταν η ψηφιακή λέξη έχει την τιμή που αντιστοιχεί ιδανικά σε μηδενική τάση Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 42

44 Σχ 3.8 Ορισμός του χρόνου αποκατάστασης Ο χρόνος αποκατάστασης (settling time) ορίζεται ως το χρονικό διάστημα που απαιτείται από τη στιγμή μεταβολής της ψηφιακής εισόδου από την τιμή μηδέν στην τιμή πλήρους κλίμακας, έως ότου η τάση εξόδουνα λάβει την τελική της τιμή με σφάλμα συνήθως ±½ LSB. Ο ορισμός του χρόνου αποκατάστασης παρουσιάζεται στο Σχ. 3.8 και καθορίζει το ρυθμό μετατροπής του DAC, δηλαδή τον αριθμό των μετατροπών του ψηφιακού κώδικα σε αναλογικό σήμα σ ένα δευτερόλεπτο. Ο χρόνος αποκατάστασης εξαρτάται από το δικτύωμα των αντιστάσεων, το είδος των διακοπτών και από τον τελεστικό ενισχυτή εξόδου. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 43

45 ΚΕΦΑΛΑΙΟ 4 4 Oversampling Delta Sigma Modulation A/D, D/A 4.1 Εισαγωγή στον Oversampling Delta Sigma Modulation. Με την εμφάνιση ισχυρών και γρήγορων επεξεργαστών ψηφιακών σημάτων DSP (Digital Signal Processing), μαζί με την ραγδαία ανάπτυξη των τηλεπικοινωνιακών συστημάτων,τηλεπικοινωνιών και των εφαρμογών πολυμέσων (Multimedia Application) που είναι ενσωματωμένα σε CMOS τεχνολογία VLSI πολύ μεγάλης κλίμακας ολοκλήρωσης κυκλωμάτων, εμφανίστηκε η ανάγκη για την δημιουργία μεγάλης ευκρίνειας (high resolution) A/D, D/A μετατροπέων που μπορούν να ενσωματωθούν σε βιομηχανικές τεχνολογίες για την βελτίωση των ψηφιακών συστημάτων. Οι Oversampling Delta Sigma Modulators έχουν χρησιμοποιηθεί με επιτυχία σε πολλές χαμηλής συχνότητας ανάγκη εφαρμογές όπως ψηφιακά συστήματα ήχου και ISDN, έχουν γίνει πολλές επιτυχημένες προσπάθειες για την εξέλιξη τους για την εφαρμογή τους σε μεγάλες συχνότητες απαιτήσεις όπως DSL, ADSL. Έχουν γίνει δημοφιλής για την μεγάλη τους ευκρίνεια και του χαμηλού κόστους που παρουσιάζουν καθώς μπορούν πολύ εύκολα να ενσωματωθούν σε ψηφιακά συστήματα σε διάφορες τεχνολογίες FPGA,VLSI, κυρίως σε CMOS αλλά και σε BiCmos έχοντας ψηφιακά και αναλογικά μέρη στο ίδιο Chip για καλύτερες προδιαγραφές. Παρακάτω στο Σχ4.1 φαίνεται σαν μπλοκ διάγραμμα η διαδικασία Delta Sigma A/D, D/A. Σχ 4.1 Δέλτα Σίγμα A/D,D/A Όταν ένα σήμα με εύρος φάσματος f B είτε αναλογικό, είτε ψηφιακό μετατρέπεται σε ψηφιακό ή αναλογικό αντίστοιχα όπως εξηγήσαμε παραπάνω αναπόφευκτα εισάγετε θόρυβος κβαντισμού στο φασματικό περιεχόμενο του σήματος μετατροπής ίσο με την εξίσωση SNR=6n (db). Ο μετατροπέας αυτός έχει την ιδιότητα να μετατοπίζει τον θόρυβο σε μεγαλύτερες συχνότητες από την f B προς στην συχνότητα δειγματοληψίας. Αυτό το πετυχαίνει με δύο μηχανισμούς. Ο πρώτος ονομάζεται Oversampling (υπερδειγματοληψία) και ο δεύτερος είναι το Noise Shaping (Μορφοποίηση Θορύβου) που μετατοπίζει τον θόρυβο στις ψηλές συχνότητες. Αυτούς τους δύο μηχανισμούς θα τους εξηγήσουμε διεξοδικά μιας και αποτελούν την καρδία του μετατροπέα. Έτσι αφού μετατοπίσουμε τον θόρυβο έξω από το εύρος συχνοτήτων f B που μας ενδιαφέρει, χρειαζόμαστε τότε ένα απλό χαμηλοπερατό αναλογικό φίλτρο, ή το αντίστοιχο ψηφιακό, επειδή δεν χρειάζεται να έχει μεγάλη κλήση η καμπύλη που προσδιορίζει την συχνότητα αποκοπής (Cut off frequency ). Επίσης για να πετύχουμε καλύτερη ευκρίνεια στο μετατροπέα αυτού του είδους πρέπει να επέμβουμε σε τρία σημεία. Πρώτον να καταφύγουμε σε καλύτερη τεχνική Noise Shaping για την μετατόπιση του θορύβου αυξάνοντας τον βαθμό του Δέλτα Σίγμα μετατροπέα, δεύτερον να χρησιμοποιήσουμε περισσότερα Bit DAC στην έξοδο του Delta Sigma, τεχνική γνωστή σαν Multi Bit Oversampling Delta Sigma Modulators και τρίτον να αυξήσουμε τον ρυθμό δειγματοληψίας. Εμείς εδώ θα ασχοληθούμε με τον πρώτου και δεύτερου βαθμού Delta Sigma μετατροπέα, που έχει Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 44

46 μόνο 1 bit DAC στην έξοδο του. Αλλά για να εξηγήσουμε καλύτερα τον Delta Sigma Modulator πρέπει πρώτα να εξηγήσουμε διεξοδικά τι σημαίνει Oversampling και τι Noise Shaping (Μορφοποίηση Θορύβου) και δεύτερον τι είδους σήμα είναι αυτό και τι ιδιότητες έχει που βγαίνει από τον μετατροπέα που είναι γνωστό σαν PWM (Pulse Width Modulation), καθώς και επίσης τι είναι PCM (Pulse Code Modulation). 4.2 Oversampling Όπως έχουμε αναφέρει παραπάνω η τεχνική της υπερδειγματοληψίας, γνωστή και ως Oversampling έχει ως σκοπό να δειγματοληπτήσει το σήμα με ρυθμό μεγαλύτερο από τον ρυθμό του Nyquist κατά ένα παράγοντα K που ονομάζεται και OSF (Oversampling Factor). Στόχος της διαδικασίας αυτής είναι η μείωση του θορύβου λόγω κβάντισης (Quantization Noise) που βρίσκεται στο φασματικό περιεχόμενο f B που μας ενδιαφέρει. Όταν το OSF=1 τότε ο ρυθμός δειγματοληψίας είναι ίσος με τον ρυθμό Nyquist f = f = 2 f. s Nyquist B Το OSF υπολογίζεται σύμφωνα με την σχέση: OSF f s =. 2 f B Το παρακάτω σχήμα παρουσιάζει το φάσμα ενός σήματος που η συχνότητα δειγματοληψίας είναι ελαφρώς μεγαλύτερη από το Bandwidth του σήματος Σχ4.2. Επιπλέον στο ίδιο σχήμα φαίνεται με διακεκομμένη γραμμή η απόκριση του anti aliasing φίλτρου που πρέπει να χρησιμοποιηθεί. Για το παρακάτω σχήμα ισχύει: F = 2 f. 1 f Nyquist= B Σχ 4.2 Fourier Αναπαράσταση του σήματος εισόδου με συχνότητα δειγματοληψίας F1 Τώρα υποθέστε ότι το ίδιο αναλογικό σήμα δειγματοληπτείται με ένα παράγοντα OSF=4, δηλαδή F 2 =4F 1. Το φάσμα του δειγματοληπτούμενου σήματος παρουσιάζεται στο Σχ4.3. Στη περίπτωση αυτή παρατηρούμε ότι το anti-aliasing φίλτρο που πρέπει να χρησιμοποιηθεί (διακεκομμένη γραμμή) έχει σαφώς μικρότερη κλίση από αυτό της προηγούμενης περίπτωσης. Συνεπώς ένα απλό παθητικό χαμηλής διέλευσης φίλτρο μπορεί να είναι πολύ καλό για anti-aliasing. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 45

47 Σχ 4.3 Fourier Αναπαράσταση του σήματος εισόδου με συχνότητα δειγματοληψίας F2 Το υπερδειγματοληπτόμενο ψηφιακό σήμα πρέπει να υποδειγματοληπτηθεί αργότερα για τον προφανή λόγο (δεν θέλουμε να έχουμε πάρα πολλά δείγματα!). Πριν υποδειγματοληπτηθεί το σήμα πρέπει πρώτα να περάσει μέσα από ένα ψηφιακό, χαμηλής διέλευσης φίλτρο για anti-aliasing. Το anti-aliasing ψηφιακό φίλτρο είναι συνήθως ένα φίλτρο τύπου FIR που εξασφαλίζει γραμμική φάση. Η συλλογική λειτουργία του χαμηλής διέλευσης φιλτραρίσματος και της υποδειγματοληψίας (Down-sampling) είναι γνωστή ως αποδεκατισμός (Decimation). Στους D/A Delta Sigma Modulation δεν χρειάζεται Decimation Filter αλλά ένα απλό αναλογικό RC φίλτρο. Παραπάνω αποδείξαμε ότι ο θόρυβος κβαντισμού είναι : σ q q q 2 e = e p() e de= e de= V q q q To SNR (Signal to Noise) με μονάδες μέτρησης db που είναι: (4.1) 2 σ x SNR = 10log 6n 1.76 ( db) 2 = + (4.2) σ e Ο θόρυβος λόγο κβαντισμού διανέμεται ομοιόμορφα στο διάστημα [ f s/ 2, + f s/ 2] και εξαρτάται από την συχνότητα δειγματοληψίας f s σύμφωνα με τον τύπο: P f = f W Hz (4.3) 2 e( ) σ e / s [ / ] Τότε ο θόρυβος που βρίσκεται μέσα στο φασματικό περιεχόμενα που μας ενδιαφέρει θα είναι: Άρα θα έχουμε: 2 σ e N = P f df = = (4.4) OSF + fb 2 2 f b 2 0 e( ) σ e f fb s 2 σ x SNROversampling = 10log 6n log( OSF) ( db) 2 = + + σ e / OSF (4.5) Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 46

48 Διαπιστώνουμε ότι για κάθε διπλασιασμό του OSF θα έχουμε 3 db κέρδος σε SNR άρα σύμφωνα με τον τύπο 10log( OSF) Nosf N = = 0.5bit (4.6) 6.02 κέρδος σε resolution. Σχ 4.4 Θόρυβος κβαντησμού σε έναν ADC με συχνότητα δειγματοληψίας k φορες την fs Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 47

49 4.3 Pulse Width Modulation PWM και PCM Pulse code modulation. Για να κατανοήσουμε καλύτερα αυτές τις δύο διαμορφώσεις πρέπει πρώτα να ορίσουμε τι είναι διαμόρφωση, τι επιδιώκουμε με αυτές και πόσων ειδών υπάρχουν. Παρακάτω Σχ 4.5 φαίνεται ένα σχεδιάγραμμα των διαμορφώσεων που υπάρχουν, εμείς θα επιμείνουμε στις δύο που αναφέραμε μιας και είναι αυτές που μας ενδιαφέρουν στην παρούσα διπλωματική. ΔΙΑΜΟΡΦΩΣΗ (Modulation):Η μεταβολή, σύμφωνα με το σήμα πληροφορίας, των παραμέτρων ενός φέροντος κύματος (carrier wave) που είναι κατάλληλο για την μετάδοση μέσα από το δεδομένο κανάλι. ΑΠΟΔΙΑΜΟΡΦΩΣΗ :(Demodulation) είναι η αντίστροφη διαδικασία. Το είδος της διαμόρφωσης καθορίζει: Την αντοχή στο θόρυβο και την παραμόρφωση του καναλιού Την πιστότητα αναπαραγωγής του αρχικού σήματος πληροφορίας Το εύρος του απαιτούμενου για την μετάδοση φάσματος Την πολυπλοκότητα των συστημάτων εκπομπής και λήψης Σχ 4.5 Είδη Διαμόρφοσης Τι επιτυγχάνουμε με την Διαμόρφωση Την μετάδοση πολλών σημάτων στον ίδιο χώρο με χρήση διαφορετικών φερόντων Την ελάττωση των απαιτήσεων στα χαρακτηριστικά των συστημάτων εκπομπής Την εκμετάλλευση περιοχών του φάσματος που έχουν καλύτερες συνθήκες μετάδοσης Αναλογική διαμόρφωση παλμών ( Analog pulse modulation) Το φέρον είναι μια ακολουθία παλμών Διαμόρφωση ύψους παλμών (PAM Pulse Amplitude Modulation) Διαμόρφωση διάρκειας παλμών (PWM Pulse Width Modulation) Διαμόρφωση θέσης παλμών (PPM Pulse Position Modulation) Ψηφιακή διαμόρφωση παλμών (Digital Pulse Modulation) Το σήμα πληροφορίας είναι μια ακολουθία δυαδικών παλμών Παλμοκωδική Διαμόρφωση (PCM Pulse Code Modulation) A/D μετατροπή: Δειγματοληψία, κβαντισμός και δυαδική κωδικοποίηση. Σφάλματα δειγματοληψίας και κβαντισμού Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 48

50 4.3.1 Παλμοκωδική Διαμόρφωση (PCM Pulse code modulation) Σχ 4.6 Παλμοκωδική Διαμόρφωση Η Παλμοκωδική Διαμόρφωση Σχ4.6 παράγει ένα σήμα Βασικής Ζώνης το οποίο βγαίνει κατ ευθείαν από την σειριακή έξοδο ενός κβαντιστή. Μερικές φορές ο όρος «PCM» χρησιμοποιείται εναλλακτικά για τον όρο «Κβαντισμός».Το PCM παράγετε κατά την μετατροπή ενός αναλογικού σήματος σε ψηφιακό. Παρακάτω φαίνεται η διαδικασία. Την διαμόρφωση αυτήν θα την συναντήσουμε στον Oversampling Delta Sigma Modulation, συγκεκριμένα σε έναν D/A Delta Sigma η είσοδος θα είναι ένα N bit PCM σήμα ενώ σε έναν A/D Delta Sigma η έξοδος θα είναι ένα τέτοιο μορφής σήμα και πιο συγκεκριμένα μετά από το FIR Decimation φίλτρο που είναι ανάγκη να υπάρχει στον A/D Delta Sigma. Πλεονεκτήµατα του PCM: Σχετικά φθηνό Εύκολη πολυπλεξία: PCM waveforms από διαφορετικές πηγές μπορούν να μεταδοθούν σε ένα κοινό ψηφιακό κανάλι (TDM) Εύκολα αναπαράγονται: χρήσιµο για µακρινές αποστάσεις=> τηλεφωνία Καλύτερη προστασία στο θόρυβο από τα αναλογικά συστήµατα Modem είναι όλο ψηφιακό, εποµένως αξιόπιστο, σταθερό και υλοποιηµένο µε integrated circuits Σήµατα µπορούν να αποθηκεύονται Αξιόπιστοι κώδικες υπάρχουν Μειονέκτηµα: Απαιτείτε ευρύτερο εύρος φάσµατος από το αναλογικό σήμα Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 49

51 4.3.2 Pulse Width Modulation PWM Μια άλλη μέθοδος μετατροπής και μεταφοράς της πληροφορίας είναι η διαμόρφωση παλμών κατά διάρκεια (PWM). Εδώ ένα σήμα αναλογικό ή ψηφιακό μετατρέπεται σε παλμούς σταθερούς πλάτους αλλά διαφορετικής διάρκειας. Υπάρχουν αρκετοί τρόποι για να το πετύχουμε αυτό. Ο πιο απλός είναι να βάλουμε σε έναν Comparator Σχ 4.7 ένα σήμα π.χ αναλογικό (ημίτονο) και ένα σήμα πριονωτό. Κάθε στιγμή της δειγματοληψίας ο Comparator πηγαίνει στο High ή low αντίστοιχα. Παρακάτω φαίνεται η διαδικασία. Σχ 4.7 Τρόπος δημιουργίας PWM διαμόρφωση Το σήμα (εδώ το πράσινο sinewave) συγκρίνεται με ένα πριονωτό κυματοειδές (μπλε). Όταν το τελευταίο είναι μικρότερο από τα πρώτα, το σήμα PWM είναι στο υψηλό (1) διαφορετικά είναι στο χαμηλό (0). Ένας αλός τρόπος είναι η Delta Sigma διαμόρφωση.σχ4.8 Εδώ η έξοδος είναι πάλι ένα PWM σήμα και χαρακτηρίζει την μεταβολή της κλίσης του σήματος τη στιγμή της δειγματοληψίας. Σε κάθε στιγμή δειγματοληψίας συγκρίνεται το πλάτος του δείγματος με το πλάτος που λαμβάνεται από την ολοκλήρωση των παλμών που έχουν σταλεί προηγουμένους. Αν το πλάτος του δείγματος είναι μεγαλύτερο, τότε αποστέλλεται ένας παλμός θετικός (1) αν είναι μικρότερο ένας αρνητικός παλμός (0). Το σήμα που παράγεται είναι ένα σήμα 1 bit PWM που κρύβει και κάποιο θόρυβο λόγω του ότι ο ολοκληρωτής δεν μπορεί να παρακολουθήσει ακριβώς την μεταβολή. Σχ 4.8 Delta Sigma διαμόρφωση Και στις δύο περιπτώσεις μπορούμε να ανακτήσουμε το αρχικό σήμα εισόδου περνώντας το PWM σήμα μέσα από ένα RC φίλτρο. Μόνο στη δεύτερη περίπτωση το σφάλμα κβαντισμού είναι μικρότερο λόγου του γεγονότος όπως θα εξηγήσουμε παρακάτω μπορεί και προβλέπει καλύτερα την επόμενη τιμή και μαζί με κάποιους άλλους μηχανισμούς καταφέρνει καλύτεροι απόδοση. Πάντως και στις δυο περιπτώσεις αν βάλουμε μια σταθερή είσοδο Vref τότε θα παρατηρήσουμε ότι η μέση τιμή του PWM σήματος αντιστοιχεί στην Vref τιμή εισόδου. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 50

52 4.4 Μορφοποίηση θορύβου (Noise Shaping) To Noise Shaping μεταφράζεται στα ελληνικά μορφοποίηση θορύβου. Noise Shaping είναι η διαδικασία με την οποία προσπαθούμε να μεταφέρουμε τον θόρυβο λόγο κβαντισμού που δημιουργείται κατά την μετατροπή ενός αναλογικού σήματος σε ψηφιακό ή αντίστροφα και που εγκλωβίζεται μέσα στο φασματικό περιεχόμενο που μας ενδιαφέρει σε μεγαλύτερες συχνότητες ώστε να πετύχουμε καλύτερο SNR λόγο σήματος προς θορύβου άρα και καλύτερο Resolution (ΕΝΟΒ) στους A/D και D/A Converts. Την ιδιότητα αυτή την έχουν οι μετατροπείς που χρησιμοποιούν την Oversampling Delta sigma διαμόρφωση τεχνική. Την ιδιότητα που έχουν αυτού του είδους μετατροπείς την πετυχαίνουν λόγου του ότι χρησιμοποιούν σύστημα ανατροφοδότησης Feedback techniques.ο δέλτα σίγμα διαμορφωτής χρησιμοποιώντας αυτήν την τεχνική πετυχαίνει πολλή καλή ακρίβεια για αυτό το λόγο έχει γίνει και τόσο δημοφιλής στις διάφορες εφαρμογές. Ανακεφαλαιώνοντας οι Oversampling Delta Sigma Modulators πετυχαίνουν τόση καλή ακρίβεια λόγο των εξής δυο μηχανισμών,oversampling και Noise Shaping.Στο Σχ4.9 που ακολουθεί βλέπουμε την απόκριση του θορύβου κβαντισμού σε έναν A/D μετατροπέα που δεν χρησιμοποιεί την τεχνική Noise Shaping και έναν αντίστοιχο που χρησιμοποιεί. Σχ 4.9 Απόκριση του θορύβου κβαντισμού σε έναν A/D μετατροπέα χωρίς και με την τεχνική Noise Shaping Παρακάτω παρουσιάζονται δυο διαγράμματα Σχ4.10 στο μεν πρώτο δείχνεται η μείωση του θορύβου κβαντισμού λόγου της υπερ δειγματοληψίας και στο δεύτερο λόγου της μετατόπισης του θορύβου (Noise Shaping) σε μεγαλύτερες συχνότητες Σχ 4.10 Θορύβος κβαντισμού λόγου της υπερ δειγματοληψίας και λόγου της μετατόπισης του θορύβου (Noise Shaping) Στην συνέχεια θα παρουσιάσουμε τις κύριες διαφορές μεταξύ των A/D και D/A Delta Sigma μετατροπέων και στην συνέχεια θα ακολουθήσει μια διεξοδική μαθηματική διατύπωση του γραμμικού μοντέλου του μετατροπέα για να βγάλουμε όσο είναι δυνατόν πιο αξιόπιστα αποτελέσματα και συμπεράσματα όσο αφορά την ακρίβεια καθώς και για να κατανοήσουμε καλύτερα την λειτουργία του και το πώς μπορούμε να τον βελτιώσουμε ως προς τις απαιτήσεις που έχουμε κάθε φορά. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 51

53 4.5 Εισαγωγή στους A/D και D/A Delta Sigma Converters Εδώ θα κάνουμε μια μικρή εισαγωγή όσον αφορά τα δυο είδη μετατροπέων A/D και D/A ως προς την κύρια αρχιτεκτονική τους καθώς και πια είναι ακριβώς η διαδικασία που ακολουθεί το σήμα ως προς μετατροπή. Ακόμη θα κάνουμε διαχωρισμό ποια από τα μέρη αυτά μπορούν και πρέπει να υλοποιηθούν ψηφιακά και ποια αναλογικά. Θα εξετάσουμε πρώτα τον D/A Converter.Παρακάτω φαίνεται η διαδικασία που ακολουθείτε για να πετύχουμε την μετατροπή. Σχ 4.11 DAC Δέλτα Σίγμα Η είσοδος είναι ένα N bit PCM (Pulse Code Modulation) ψηφιακό σήμα με μέγιστη συχνότητα φασματικό περιεχόμενο fb.το σήμα αυτό διέρχεται από το μπλοκ που το ονομάσαμε Interpolation. Το Interpolation είναι στη ουσία ένα φίλτρο που έχει δυο σκοπούς, πρώτον να μεγαλώσει την δειγματοληψία κατά έναν παράγοντα όσο είναι το OSF (Oversampling Factor) και δεύτερον να βγάζει στην έξοδο μεγαλύτερης ακρίβειας bit προσπαθώντας να μαντέψει την επόμενη τιμή. Εμείς εδώ θα χρησιμοποιήσουμε το Interpolation βγάζοντας ίδιας ακρίβειας bit αλλά σε μεγαλύτερη συχνότητα. Αυτή η λειτουργία μπορεί να υλοποιηθεί ψηφιακά. Στην Συνέχεια ακολουθεί η μετατροπή του PCM σήματος σε 1bit PWM σήμα. Αυτό το αναλαμβάνει να το κάνει ο Delta Sigma Converter ο οποίος μπορεί εύκολα να υλοποιηθεί ψηφιακά και να περιγραφεί σε γλώσσα VHDL. Στην έξοδο του μετατροπέα έχουμε ένα απλό κατωδιαβατό RC φίλτρο που η συχνότητα αποκοπής είναι δύο φορές την fb. Παρακάτω δείχνετε Σχ 4.12 η διαδικασία που ακολουθεί το σήμα εισόδου στο πεδίο της συχνότητας. Σχ 4.12 Διαδικασία που ακολουθεί το σήμα εισόδου στο πεδίο της συχνότητας σε έναν DAC Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 52

54 Η διαδικασία για τον A/D Delta Sigma Converter είναι ανάλογη. Εδώ το αναλογικό σήμα ως προς μετατροπή περνάει πρώτα από ένα χαμηλοπερατό φίλτρο με συχνότητα αποκοπής fb που είναι η μέγιστη συχνότητα που έχει το σήμα εισόδου για να αποτρέψουμε την επίδραση του aliasing. Στην συνέχεια το σήμα μπαίνει μέσα στον Delta Sigma Modulator όπου και μετατρέπεται σε PWM σήμα. Η συχνότητα δειγματοληψίας γίνεται και εδώ με ένα παράγοντα OSF. Εδώ το CLK που δουλεύει σε αυτήν την συχνότητα δειγματοληψίας εφαρμόζεται σε ένα D-Flip Flop που παίζει το ρόλο του DAC που υπάρχει μέσα στον Delta Sigma. Εδώ ο Delta Sigma είναι εξολοκλήρου αναλογικός και απαρτίζεται από ολοκληρωτές και από Comparator που προφανώς πρέπει λόγο της απαίτησης της υπερδειγματοληψίας να λειτουργούν ικανοποιητικά. Στην συνέχεια το σήμα περνάει από ένα φίλτρο που ονομάζεται Decimation και υπάρχει για να κόβει της υψηλές συχνότητες που δεν μας ενδιαφέρουν καθώς και για να παράγει το ψηφιακό PCM σήμα N Bit σε συχνότητα 2fo, υποδειγματοληπεί δηλαδή το σήμα αφού η έξοδος του Delta Sigma έχει ένα Rate M*2fo όπου M το OSF. Παρακάτω φαίνεται η διαδικασία Σχ4.13 που ακολουθείτε για να πετύχουμε την μετατροπή καθώς και τα μέρη που απαρτίζουν το Delta Sigma. Σχ 4.13 Διαδικασία που ακολουθείτε για να πετύχουμε την ADC μετατροπή. Παρακάτω δείχνεται Σχ4.14 η διαδικασία που ακολουθεί το σήμα εισόδου στο πεδίο της συχνότητας και χρόνου. Σχ 4.14 Διαδικασία που ακολουθεί το σήμα εισόδου στο πεδίο της συχνότητας και χρόνου σε έναν ADC Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 53

55 5.1 Εισαγωγη ΚΕΦΑΛΑΙΟ 5 5 Ανάλυση Γραμμικού Μοντέλου Delta Sigma Modulation Όταν εκτελείτε η μετατροπή Α/D ή D/A χρησιμοποιώντας την Δ/Σ διαμόρφωση ο διαμορφωτής θα κινήσει το θόρυβο μακριά από το σήμα. Ένα πρότυπο του διαμορφωτή είναι ο χωρισμός του σήματος εισαγωγής και του θορύβου κβαντοποίησης σε δύο αυθαίρετες συναρτήσεις μεταφοράς, συνάρτηση μεταφοράς θορύβου (NTF) και συνάρτηση μεταφοράς σήματος (STF) Είναι ένα μη γραμμικό σύστημα λόγω της κβαντοποίησης που εισέρχτε στο σύστημα, που είναι μη γραμμική λειτουργία, καθώς επίσης και δυναμικό λόγω της μνήμης στον ολοκληρωτή. Σχ 5.1 A modulator and its linear model: a general delta-sigma modulator Εντούτοις, η απλή ποσοτική κατανόηση της λειτουργίας της μπορεί να επιτευχθεί με τη χρησιμοποίηση ενός γραμμικού discrete-time προτύπου, όπως φαίνεται στο Σχ 5.2 παρακάτω αντικαθιστώντας τον κβαντιστή του σχηματος Σχ5.1 με μια είσοδο e(n) που εισάγει τον θόρυβο αυτό. Έτσι έχουμε ένα σύστημα δύο εισόδων μίας εξόδου. Σχ 5.2 Μodel of the modulator showing injecting quantization noise Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 54

56 Από το παραπάνω σύστημα υπολογίζουμε την συνάρτηση μεταφοράς κάθε εισόδου μηδενίζοντας κάθε φορά την αντίστοιχη είσοδο: Y( z) H( Z) S TF ( z) = E( z) 0 U( z) = = (5.1) 1 + H( z) Y( z) 1 N TF () z = U ( z) 0 Ez () = = (5.2) 1 + Hz () Y( z) = S ( z) U( z) + N ( z) E( z) (5.3) TF Σημειώνεται ότι τα μηδενικά της συνάρτησης μεταφοράς θορύβου (NTF), θα είναι ίσα με τους πόλους του H(z). Μπορούμε επίσης να γράψουμε το σήμα εξόδου Y(z) ως συνδυασμό του σήματος εισόδου και του σήματος θορύβου, με κάθε ένα να φιλτράρεται από την αντίστοιχη συνάρτηση μεταφοράς (5.3). TF Σχ 5.3 Η STF και NTF στο πεδίο της συχνότητας Επιλέγοντας την H(z) κατάλληλα η συνάρτηση μεταφοράς του σήματος εισόδου STF δεν θα επηρεάζει το σήμα εισόδου μέχρι την συχνότητα ενδιαφέροντος fb Σχ 5.3 και θα κόβει τις συχνότητες πέρα από αυτή, ενώ NTF η συνάρτηση μεταφοράς θορύβου θα μειώνει το σήμα θορύβου συχνότητας μικρότερη από την fb και θα ενισχύει στις μεγάλες. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 55

57 5.2 First Order Delta Sigma Noise Shaper Θα μελετήσουμε στην συνέχεια το γραμμικό μοντέλο του πρώτου βαθμού Δ/Σ μετατροπέα. Εδώ η συνάρτηση μεταφοράς H(z) είναι: 1 z 1 H( z) = = (5.4) 1 1 z z 1 Το υποσύστημα αυτό είναι ένας ολοκληρωτής με ανάδραση όπως φαίνεται παρακάτω Σχ5.4. Αποτελείτε επίσης από έναν κβαντιστή και έναν DAC ή ADC που βρίσκεται στην ανάδραση ανάλογα αν χρησιμοποιείται για A/D ή D/A μετατροπείς Σχ 5.4 First order Delta Sigma Συμφώνα με την εξίσωση (2) έχουμε: Y( z) 1 1 z 1 N TF () z U ( z) = 0= = = (1 z ) = Ez ( ) 1 + Hz ( ) z (5.5) jωt Παρατηρούμε ότι έχει ένα μηδενικό στο z = e = 1 για ω=0,και έχει συμπεριφορά υψηλοπερατού φίλτρο (High Pass Filter), και έτσι ο θόρυβος λόγο κβαντισμού φιλτράρεται υψηλοπερατά. Παρατηρούμε επίσης ότι το μηδενικό αυτό είναι πόλος της H(z) για z=1. Ενώ σύμφωνα με την εξίσωση (5.1) έχουμε: Y( z) H( Z) 1 S TF ( z) = E( z) 0 U( z) = = 1 H( z) = (5.6) + z Εδώ η συνάρτηση μεταφοράς έχει την απόκριση ενός ολοκληρωτή και έχει την συμπεριφορά ενός χαμηλοπερατού φίλτρου. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 56

58 j Κάνοντας αντικατάσταση όπου z = e ωτ και όπου ω=2πf,t=1/fs έχουμε: j2π f j2π f j2π f fs fs j2π f j2π f fs e e fs 2π f fs N TF ( f) = (1 e ) = 2je = sin( )2je (5.7) 2 j fs Το μέτρο της είναι ( ) 2sin( π f N ) TF f = (5.8) fs Η μορφή της (5.7) φαίνεται στο παρακάτω σχήμα Σχ 5.5 Και σε λογαριθμική κλίμακα είναι Σχ 5.6: Σχ 5.5 Το μέτρο της STF συνάρτηση της συχνότητας Σχ 5.6 Το μέτρο της STF συνάρτηση της συχνότητας σε λογαριθμική κλίμακα Παρατηρούμε ότι αυξάνεται 20 db ανά δεκάδα. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 57

59 Τώρα θα υπολογίσουμε,[2],[3],[4] τον θόρυβο που βρίσκεται μέσα στο πεδίο συχνοτήτων [ fo<f<+fo] όπου fo μέγιστη συχνότητα σήματος εισόδου. με fo<<fs (OSR>>1) έχουμε: f 0 f q 1 π f 2 e= σ e( ) TF( ) = ( ) [2sin( )] 12 fs fs f 0 f 0 (5.9) P f N f df df P e π 2 f 0 q π 1 q = 12 3 fs 36 OSR Παραπάνω είχαμε αποδείξει ότι ο θόρυβος κβαντισμού είναι : (5.10) σ q/2 q/ q e= e p() e de= e ( ) de= q 12 q/2 q/2 To SNR (Signal to Noise) με μονάδες μέτρησης db είναι: (5.11) Η (5.10) μέσω της (5.11) γίνεται: 2 P x SNR = 10 log 2 P e (5.12) P e π 2 f 0 2 π 1 e q = σ 12 3 fs 3 OSR Κάνοντας αντικατάσταση στην (5.12) έχουμε: 2 σ x SNR = 10log σ e π /3OSR Όμως 2 A 2 σ x SNR = 10 log 6 10 log 2 n 6n 1.76( db) 2 = + 2 = + σ e (2 A) 12 Άρα η (5.14) γίνεται: 2 3 σ x 3OSR SNR = 10 log 6n log( ) = σ e π /3OSR π Και καταλήγουμε : (5.13) (5.14) (5.15) (5.16) SNRDelta _1_ order = 6n log( OSR) (5.17) Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 58

60 Στο παρακάτω σχήμα παρουσιάζεται η σχέση (5.17) Σχ 5.7 SNR vs OSR 1 Order delta Sigma Αν λύσουμε ως προς Ν_Bits θα έχουμε το εξής σχήμα : Σχ 5.8 ENOB vs OSR 1 Order delta Sigma Διαπιστώνουμε ότι για κάθε διπλασιασμό του OSF θα έχουμε 9 db κέρδος σε SNR Άρα σύμφωνα με τον τύπο 30log( OSR) NDelta _1_ Order N = = 1.5bit (5.18) 6.02 κέρδος σε resolution. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 59

61 5.3 Second Order Delta Sigma Noise Shaper Στην συνέχεια θα μελετήσουμε το γραμμικό μοντέλο του δεύτερου βαθμού Δ/Σ μετατροπέα. Η συνάρτηση μεταφοράς H(z) είναι: 1 z 1 H( z) = = z z (5.19) Σχ 5.9 Second order Delta Sigma Αποτελείτε από δύο ολοκληρωτές, έναν κβαντιστή και από ένα κέρδος (K) στην ανάδραση που χρησιμοποιείται για τον έλεγχο του συστήματος. Στο παρακάτω σχήμα Σχ5.10 έχουμε αντικαταστήσει τον κβαντιστή με το γραμμικό του μοντέλο. Σχ 5.10 Second order Delta Sigma Η συνάρτηση της απόκρισης του συστήματος δίνεται από τον τύπο: Y( z) = S ( z) U( z) + N ( z) E( z) (5.20) TF Κάνοντας αντικατάσταση έχουμε : 2 1 ( z 1) Y() z = U() z E() z (5.21) z 2z+ kz k+ 2 z 2z+ kz k+ 2 TF S TF ( z) Y( z) 1 = = U( z) z 2z+ kz k + 2 E ( z) = 0 2 (5.22) Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 60

62 N TF ( z) Βάζοντας ανάδραση k=2 :έχουμε: 2 Y() z ( z 1) = = Ez () z 2z+ kz k+ 2 U ( z) = 0 2 Y( z) 1 S TF ( z) E( z) = 0= = z 2 = U( z) z 2 2 Y( z) ( z 1) N TF () z U ( z) = 0= = (1 z ) 2 = Ez ( ) z 1 2 Σύμφωνα με τις παραπάνω εξισώσεις το σύστημα απλοποιείτε ως εξής Σχ 5.11: (5.23) (5.24) (5.25) Σχ 5.11 Απλοποιημένος δευτέρου βαθμού Δέλτα Σίγμα Η συνάρτηση μεταφοράς του σήματος εισόδου STF( z) είναι απλά μια καθυστέρηση που εισέρχεται στο σήμα και η συνάρτηση μεταφοράς του σήματος θορύβου NTF( z) είναι ένα ψηφιακό υψηλοπερατό φίλτρο που έχει ως αποτέλεσμα να μεταφέρει το θόρυβο λόγω κβαντισμού από τις χαμηλές συχνότητες στις υψηλές. Υπάρχουν πολλά είδη αρχιτεκτονικής για τον δευτέρου βαθμού Σ/Δ εμείς εδώ θα περιοριστούμε στον ενός bit κβαντιστή και σε απλές αρχιτεκτονικές. Τώρα θα υπολογίσουμε τον θόρυβο που βρίσκεται μέσα στο πεδίο συχνοτήτων [ fo<f<+fo] όπου fo μέγιστη συχνότητα σήματος εισόδου. j2π f j2π f 2 j2π f fs fs j2π f j2π f fs 2 e e fs 2π f fs N TF ( f) = (1 e ) = 2je = sin( )2je 2 j (5.26) fs Το μέτρο της είναι: ( ) 4sin 2 ( π f N ) TF f = (5.27) fs Η μορφή της (5.27) φαίνεται στο παρακάτω σχήμα Σχ5.12: 2 Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 61

63 Σχ 5.12 Παράσταση της NTF συνάρτηση της συχνότητας Και σε λογαριθμική κλίμακα είναι:σχ5.13 Σχ 5.13 Παράσταση της NTF συνάρτηση της συχνότητας σε λογαριθμική κλίμακα Παρατηρούμε ότι αυξάνεται 40 db ανά δεκάδα. Τώρα θα υπολογίσουμε τον θόρυβο που βρίσκεται μέσα στο πεδίο συχνοτήτων [ fo<f<+fo] όπου fo μέγιστη συχνότητα σήματος εισόδου. με fo<<fs (OSR>>1) έχουμε: f 0 f q 1 2 π f 2 e= σ e( ) TF( ) = ( ) [4sin ( )] 12 fs fs f 0 f 0 (5.28) P f N f df df P e π 2 f 0 q π 1 q = 12 5 fs 60 OSR Παραπάνω είχαμε αποδείξει ότι ο θόρυβος κβαντισμού είναι : (5.29) Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 62

64 σ q/2 q/ q e= e p() e de= e ( ) de= q 12 q/2 q/2 To SNR (Signal to Noise) με μονάδες μέτρησης db που είναι: (5.30) Η (7) μέσω της (8) γίνεται: 2 P x SNR = 10 log 2 P e (5.31) P e π 2 f 0 2 π 1 e q = σ 12 5 fs 5 OSR Κάνοντας αντικατάσταση στην (5.31) έχουμε: 2 σ x SNR = 10log σ e π /5OSR Όμως 2 A 2 σ x SNR = 10 log 6 10 log 2 n 6n 1.76( db) 2 = + 2 = + σ e (2 A) 12 Άρα η (5.33) γίνεται: 2 5 σ x 5OSR SNR = 10log 6n log( ) = σ e π /5OSR π Και καταλήγουμε (5.32) (5.33) (5.34) SNR Delta _2_ order = 6n log( OSR) (5.35) Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 63

65 Στο παρακάτω σχήμα παρουσιάζεται η σχέση (5.35) Σχ 5.14 SNR vs OSR για τον Delta Sigma 2 order Διαπιστώνουμε ότι για κάθε διπλασιασμό του OSF θα έχουμε 15 db κέρδος σε SNR. Άρα σύμφωνα με τον τύπο έχουμε: 50log(2) N Delta _2_ order N = = 2.5 bit (5.36) 6.02 κέρδος σε resolution. Αν λύσουμε ως προς Ν_Bits θα έχουμε το εξής Σχ 5.15 : Σχ 5.15 ENOB vs OSR 2 order Delta Sigma Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 64

66 5.4 Απόκριση των Single-Loop Topologies Σε αυτού του είδους τοπολογίες παρατηρούμε τα εξής, όσο αφορά την συνάρτηση μεταφοράς του θορύβου ανάλογα με τον βαθμό (L)του διαμορφωτή θα μεταβάλετε ως εξής π f NTF( f ) = 2sin( ) fs L (5.37) Παρακάτω παρουσιάζεται Σχ 5.16 η εξίσωση (5.37) συνάρτηση της συχνότητας και του βαθμού (L) Ή διαφορετικά Σχ 5.16 Η NTF συνάρτηση του βαθμού L του διαμορφωτή Y() z ( z 1) N z z Ez () z L 1 L TF ( ) U ( z) = 0= = = (1 ) L Έτσι έχουμε την εξής παράσταση Σχ 5.17 σε λογαριθμική κλίμακα (5.38) Σχ 5.17 Η NTF σε λογαριθμική κλίμακα Παρατηρούμε ότι για κάθε αύξηση του βαθμού κατά ένα έχουμε αύξηση της κλίσης της χαρακτηριστικής κατά 20 db Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 65

67 Η γενική έκφραση του λόγου σήματος προς θορύβου συνάρτηση του βαθμού L του διαμορφωτή και του OSR είναι 2L π SNR = log( ) + 10 (2 L + 1) log( OSR) 2 L + 1 Η γραφική παράσταση παρουσιάζεται παρακάτω: (5.39) Σχ 5.18 SNR vs OSR για Δέλτα Σίγμα L βαθμού Αν κάνουμε χρήση του ορισμού Effective Number of Bits (ENOB) που είναι το μέγεθος που εκφράζει την ακρίβεια ενός ιδανικού A/D ή D/A σε bit ανάλογα του SNR που υπολογίζεται σύμφωνα με την (5.40) εξίσωση, θα έχουμε την παρακάτω παράσταση Σχ5.19 SNR 1.76 ENOB = (5.40) 6.02 Σχ 5.19 ENOB vs OSR και L Βαθμού Διαμορφωτή Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 66

68 5.5 Μονού Βρόχου Τοπολογίες (Single-Loop Topologies) Ο δέλτα σίγμα διαμορφωτής πρώτου βαθμού ( first-order) που είναι και ο πιο απλούστερος του μονού βρόχου τοπολογίας (single-loop topology) αποτελείται από έναν ολοκληρωτή και ένα Bit κβαντιστή. Διαπιστώνου ότι για κάθε διπλασιασμό του Oversampling (OSR), το SNR αυξάνει κατά 9 db ή ισοδύναμα 1.5 Bit. Προκειμένου να επιτευχθεί μια ακρίβεια 16 Bit για τα ακουστικά σήματα ζώνης συχνότητας,fb= 20 khz, η απαραίτητη συχνότητα δειγματοληψίας για έναν first-order δέλτα σίγμα διαμορφωτή αποδεικνύεται ότι είναι περίπου MHz. Τέτοιες μεγάλες συχνότητες είναι μερικές φορές δύσκολο ή και αδύνατο να εφαρμοστούν. Έτσι είναι αναγκαίο να καταφύγουμε σε υψηλοτέρου βαθμού δέλτα σίγμα που απαιτείται μικρότερο OSR για την ίδια ακρίβεια Bit που επιθυμούμε. Υψηλότεροι βαθμού διαμορφωτές δέλτα σίγμα μπορούν να πραγματοποιηθούν με την τοπολογία μόνου βρόχων με την προσθήκη περισσότερων ολοκληρωτών σε σειρά, η γενική τοπολογία βαθμού παρουσιάζεται στο παρακάτω σχήμα Σχ5.20. Σχ 5.20 Βαθμού Single-LoopΔέλτα Σίγμα Διαμορφωτής Το ιδιαίτερο χαρακτηριστικό αυτής της τοπολογίας διαμορφωτών είναι ότι απαιτείται μόνο ένας κβαντιστής σε ολόκληρο τον διαμορφωτή, ανεξάρτητα από το βαθμό του Πλεονεκτήματα Γενικά, οι υψηλότεροι βαθμού διαμορφωτές μονού βρόχου παρέχουν έναν πρόσθετο (6L + 3) db σε SNR για κάθε διπλασιασμό OSR, όπου το L είναι ο βαθμός του διαμορφωτή. Για να λάβουμε 16 Bit ακρίβεια (resolution) για τα ακουστικά σήματα, ο δευτέρου και τρίτου βαθμού διαμορφωτής θα απαιτούσε τις συχνότητες 6.12 MHz και 1.92 MHz αντίστοιχα. Αυτή η μείωση στην απαραίτητη συχνότητα δειγματοληψίας είναι μια άμεση συνέπεια του υψηλού βαθμού διαμορφωτών που μειώνουν σημαντικά τον θόρυβο στο φάσμα συχνοτήτων που μας ενδιαφέρειo δευτέρου βαθμού διαμορφωτής είναι η δημοφιλέστερη επιλογή αυτών των δύο, εξ αιτίας της βελτιωμένης απόδοσής του και της καλύτερης σταθερότητας Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 67

69 5.5.2 Μειονεκτήματα Παρατηρείτε αστάθεια όταν η έξοδος του δεύτερου ολοκληρωτή υπερβεί τα επίπεδα του κβαντιστή, τον οποίο υπερφορτώνει. Οι απαιτήσεις για την απαιτούμενη δυναμική περιοχή, αλλά και για να πετύχουμε μια καλύτερη συμπεριφορά στις εξόδους των ολοκληρωτών για να μειωθούν οι απότομες αλλαγές από ολοκληρωτή σε ολοκληρωτή αλλά και για πιο σταθερή συμπεριφορά, μπορούμε να τα πετύχουμε όσο γίνεται καλύτερα χρησιμοποιώντας την θεωρία σημάτων και συστημάτων και επιλέγονται κατάλληλους συντελεστές τους οποίους παραβάλουμε ανάμεσα στους ολοκληρωτές. Αυτό ερμηνεύετε για του D/A δέλτα σίγμα με πολλαπλασιασμό, πράγμα που θα δούμε πως το πετυχαίνουμε στην υλοποίηση για να μην μας στοιχίσει πολύ σε υλικό(hardware).ικανοποιητικά αποτελέσματα έχει ο δευτέρο διότιυ βαθμού Δέλτα Σίγμα που χρησιμοποιείτε ευρύτερα στην τηλεφωνεία αλλά και σε Audio συστήματα,λόγο της καλής ευσταθείς που έχει. Θεωρητικά, οι υψηλότεροι βαθμού διαμορφωτές μπορούν να χρησιμοποιηθούν για να παρέχουν περισσότερη μείωση θορύβου κβαντοποίησης, επομένως μειώνοντας την απαραίτητη συχνότητα δειγματοληψίας. Στην πραγματικότητα, η μείωση σε OSR αυξάνει την περιοχή του εύρους ζώνης των σημάτων όπου οι σίγμα-δέλτα μετατροπείς μπορούν να χρησιμοποιηθούν για την υψηλής ευκρίνειας μετατροπή. Στην πράξη, εντούτοις, αυτοί οι διαμορφωτές είναι μόνο υπό όρους σταθεροί εάν ένας ενός Bit κβαντιστής χρησιμοποιείται στο σύστημα ανατροφοδότησης. Ο μηχανισμός πίσω από αυτήν την αστάθεια είναι ο ίδιος όπως η περίπτωση ενός second-order διαμορφωτή, αλλά τώρα το πρόβλημα φανερώνεται στην έξοδο από τον τελευταίο ολοκληρωτή, ο οποίος συσσωρεύει τα περισσότερα λάθη κβαντοποίησης. Τελικά το σύστημα παθαίνει βλάβη όταν υπερβαίνει η έξοδος του τελευταίου ολοκληρωτή τα μέγιστα επίπεδα του κβαντιστή. Δεδομένου ότι η έξοδος του κβαντιστή έχει χρησιμοποιηθεί ως αρνητικό σήμα ανατροφοδότησης στο σύστημα για να μειώσει τις τάσεις παραγωγής των ολοκληρωτών. Μόλις ο κβαντιστής υπερφορτωθεί αυτός ο αρνητικός μηχανισμός ανατροφοδότησης αποτυγχάνει, θα έχει ως συνέπεια την απεριόριστη αύξηση των εξόδων των ολοκληρωτών. Για να υπερνικήσουμε αυτό το πρόβλημα, έχουν προταθεί πολλές προσεγγίσεις Οι περισσότερες από τις προτεινόμενες λύσεις περιλαμβάνουν τον περιορισμό του επιπέδου σημάτων εισαγωγής σε ένα μέρος. Επίσης εδώ θα πρέπει να φροντίσουμε να υπάρχει σύστημα εντοπισμού αστάθειας δηλαδή υπερφορτίσεις με αποτέλεσμα να επαναφέρουμε το σύστημα πάλι σε κανονική λειτουργία. Εναλλακτικά, άλλος τρόπος είναι να χρησιμοποιηθούν άλλες τοπολογίες διαμορφωτών για να αποφύγουμε τα προβλήματα σταθερότητας, και να παρέχουν την απαραίτητη δυναμική περιοχή και να καταστείλετε το πλαστούς των τόνων στο in-band εύρος. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 68

70 5.6 Multi-Bit Τοπολογίες Το κίνητρο για τις multi-bit τοπολογίες δόθηκε στην ενότητα 5.4.2, την παροχή της απαιτούμενης DR με σταθερότητα συστήματος άνευ προϋποθέσεων. Ένας L -τάξης διαμορφωτής μονού βρόχου δεν διαφέρει από έναν με multi-bit τοπολογία, εκτός από τον single-bit κβαντιστή που έχει αντικατασταθεί από έναν multi-bit κβαντιστή. Σε ένα multi-bit DAC, ο single-bit κβαντιστής στον βρόχο ανάδρασης αντικαθιστάται και αυτός από ένα αντίστοιχο multi-bit κβαντιστή. Χρησιμοποιώντας έναν multi-bit κβαντιστή,αντί για single-bit, o ίδιος διαμορφωτής μπορεί να επιτύχει SNR βελτίωση ίση με N SNR( db ) = 20*log (2 1) (5.41) όπου Ν είναι ο αριθμός των bit του κβαντιστή Για την επίτευξη της ίδιας 16 bit ανάλυσης για ένα ηχητικό σήμα χρησιμοποιώντας δεύτερης τάξης 5-bit μετατροπέα, η απαιτούμενη συχνότητα δειγματοληψίας πλέον είναι 1.53 MHz αντί των 6.12MHz που απαιτούνται στην περίπτωση που χρησιμοποιείται single-bit κβαντιστής. Η χρησιμοποίηση multi-bit κβαντιστή επιπλέον αυξάνει την ευστάθεια ενός 2 ης τάξης διαμορφωτή με αποτέλεσμα οι συντελεστές ολοκλήρωσης, που θέτουν το κέρδος των ολοκληρωτών να μπορούν να αυξηθούν χωρίς να υπάρχει κίνδυνος δημιουργίας αστάθειας. Με αυτό τον τρόπο ο θόρυβος εντός μπάντας να μειώνεται, αυξάνοντας έτσι το SNR και το DR του διαμορφωτή. Η υλοποίηση ενός υψηλής τάξης διαμορφωτών είναι τώρα δυνατή χωρίς την χρησιμοποίηση κυκλωμάτων εντοπισμού αστάθειας. Διαμορφωτές που χρησιμοποιούν multi-bit κβαντιστές εμφανίζουν in-band τόνους με λιγότερα λάθη από τους διαμορφωτές πρώτης και δεύτερης τάξης που χρησιμοποιούν single-bit κβαντιστές. Το κυριότερο μειονέκτημα αυτής της τοπολογίας είναι ότι multi-bit DAC που απαιτούνται στο μονοπάτι ανάδρασης δεν μπορούν να υλοποιηθούν εύκολα σε τεχνολογία VLSI έχοντας την υψηλή γραμμικότητα που απαιτείται για μετατροπές υψηλής συχνότητας. Επειδή οποιαδήποτε μη γραμμικότητα στο σύστημα, προερχόμενη από τον DAC, αφαιρείται άμεσα από το αναλογικό σήμα εισόδου, αυτή δεν θα εξασθενήσει στο βρόχο ανάδρασης. Το φαινόμενο αυτό δημιουργεί την απαίτηση ο DAC να διαθέτει γραμμικότητα με ποιότητα αντίστοιχη με την ζητούμενη ανάλυση του διαμορφωτή. Πολλαπλές προσπάθειες έχουν γίνει για την χαλάρωση ή και την ολική κατάργηση της απαίτησης αυτής. Επιπλέον οι multi-bit κβαντιστές δυσκολεύουν την σχεδίαση ψηφιακών lowpass φίλτρου στην έξοδο του αυτό ισχύ για ADC δέλτα σίγμα. Αυτό οφείλεται στο γεγονός ότι το φίλτρο απαιτεί επιπλέον multi-bit πολλαπλασιαστές υλοποιημένους σε υλικό για να μπορεί πάρει την multi-bit είσοδο. Πλεονεκτήματα μιας Multi Bit DAC αρχιτεκτονικής Πιο ευσταθές υψηλότερη αιχμή NTF Μεγαλύτερο διάστημα εισόδου (ευσταθές) Λιγότερος θόρυβος κβαντοποίησης που εισάγεται Λιγότεροι idle tones Μετατροπείς D/A ανάγκης να είναι ιδιαίτερα γραμμικοί Στους D/A δέλτα σίγμα λόγω του ότι εισάγεται λιγότερος θόρυβος κβαντοποίησης έχει ως αποτέλεσμα να απαιτείται λιγότερο πολύπλοκο αναλογικό φίλτρο εξόδου. 10 Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 69

71 5.7 Cascaded Topologies / Multi-Stage Noise-Shaping (MASH) Οι διαμορφωτές υψηλότερου βαθμού μπορούν να υλοποιηθούν με σειριακή ένωση από ανεξάρτητα στάδια διαμορφωτών και έτσι μπορούμε να πετύχουμε μεγαλύτερη μετατόπιση του in band θορύβου έχοντας εξασφαλίσει ευστάθεια και μεγαλύτερη δυναμική περιοχή του σήματος εισόδου. Η σειριακή ένωση πολλαπλών σταδίων παρουσιάζετε με το όνομα MASH και μια ακολουθία από αριθμούς. Ένας τρίτου βαθμού διαμορφωτής μπορεί να υλοποιηθεί ως MASH 1-1-1, MASH 2-1, MASH 1-2. Η πρώτη ακολουθία αντιπροσωπεύει την σειριακή ένωση τριών first-order σταδίων διαμορφωτών ενώ οι δεύτερες και τρίτες ακολουθίες αντιπροσωπεύουν ένα second-order στάδιο ακολουθούμενος από ένα first-order στάδιο και first-order που ακολουθούνται από ένα second-order στάδιο αντίστοιχα. Ο σε σειρά διαμορφωτής (Cascaded) οποιουδήποτε βαθμού μπορεί να υλοποιηθεί και να είναι ευσταθείς εάν οι διαμορφωτές που αποτελείται είναι ευσταθείς, έτσι ο σε σειρά διαμορφωτής υλοποιείτε από την ένωση ευσταθών και σταθερών πρώτου βαθμού και δευτέρου βαθμού διαμορφωτών. Το μπλοκ διάγραμμα από έναν cascaded MASH 2-1 φαίνεται παρακάτω στο.σχ5.21 Σχ 5.21 Μπλοκ διάγραμμα MASH 2-1 Sigma Delta Modulato Γενικά η είσοδος του συστήματος, Χ, εισάγετε στο πρώτο στάδιο του διαμορφωτή. Η έξοδος που παράγεται από κάθε στάδιο, στη συνέχεια συνδυάζεται ψηφιακά για να ακυρώσει το λάθος που παράγεται αρχικά στο πρώτο στάδιο. Επομένως, σε έναν ιδανικό MASH,το λάθος στην έξοδο του διαμορφωτή περιέχει τα λάθη μόνο του τελευταίου σε σειρά διαμορφωτή, και μειώνει τον θόρυβο κατά έναν βαθμό ίσο με τον ολικό βαθμό του σειριακού συστήματος.. Εντούτοις, αυτό το επιθυμητό αποτέλεσμα εξαρτάται έντονα από το βαθμό ακύρωσης λάθους Ο βαθμός ακύρωσης στηρίζεται στην ακρίβεια μεταξύ των αναλογικών και ψηφιακών συναρτήσεων μεταφοράς καθώς επίσης και μεταξύ των επιπέδων εξόδων των μετατροπέων D/A που ανήκουν στα διαφορετικά στάδια.. Κατά συνέπεια, η απόδοσή της σε σειρά τοπολογίας είναι πιο ευαίσθητη στα συστατικά μη-ομοιόμορφων δομικών μονάδων μεταξύ του πρώτου και δεύτερου διαμορφωτή μονού βρόχου. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 70

72 Εντούτοις, η σταθερότητα που επιτυγχάνεται με τους υψηλότερους διαμορφωτές διαταγής που πραγματοποιούνται με αυτήν την τοπολογία είναι ακόμα μια πρωτοπορία δεδομένου ότι οι απαιτήσεις ακρίβειας των αναλογικών συστατικών είναι μακριά από αυτό που απαιτείται από τους συμβατικούς μετατροπείς Nyquist, όπως οι διαδοχικοί προσέγγισης μετατροπείς.. Αυτό συμβαίνει επειδή ο in-band θόρυβος στη σε σειρά τοπολογία έχει μειωθεί συνήθως λόγου της μετατόπισης θορύβου προτού να πραγματοποιηθεί η ψηφιακή ακύρωση. Η ατελής ακύρωση λάθους μπορεί επίσης να οδηγήσει στην υποβάθμιση της απόδοσης τόνου ζωνών βάσης ενός σε σειρά διαμορφωτή έναντι του διαμορφωτή ενιαίου βρόχου. Λόγω της ατελούς ακύρωσης, η idle-tone απόδοση (ζώνη βάσης) για έναν σειριακό διαμορφωτή καθορίζεται από την μετατόπιση του θορύβου από τον πρώτο σε σειρά διαμορφωτή δεδομένου ότι ο πρώτος βαθμού διαμορφωτής είναι γνωστός για την πολύ κακή απόδοση σε idle tone η γενική απόδοση σε idle tone μπορεί να είναι χειρότερη από αυτή της τοπολογίας μονού βρόχου για τον ίδιο βαθμό, εάν ο πρώτος διαμορφωτής στον σειριακό είναι ένας πρώτου στάδιο διαμορφωτής. Έτσι θα μπορούσαμε να υλοποιήσουμε ένα τρίτου βαθμού διαμορφωτή με την σειριακή τοπολογία χρησιμοποιώντας ως πρώτο στάδιο έναν δεύτερου βαθμού διαμορφωτή. Τα πλεονεκτήματα του second-order διαμορφωτή ως πρώτο στάδιο στον σειριακό διαμορφωτή περιλαμβάνει: Ο θόρυβος που απαιτείται για να ακυρωθεί η έξοδος του πρώτου σταδίου είναι τώρα δευτέρου βαθμού διαμορφωμένος ως εκ τούτου αφήνοντας το λιγότερο in-band θόρυβο να ακυρωθεί από σύστημα. Οποιοσδήποτε θόρυβος που διαρρέει μέσω του διαμορφωτή πρώτων σταδίων θα έχει τα χαρακτηριστικά θορύβου ενός second-order διαμορφωτή. Από το γεγονός ότι ο δευτέρου βαθμού διαμορφωτής έχει την καλύτερη απόδοση θορύβου έχει ως συνέπεια ότι ο θόρυβος διαρροής σε αυτήν την περίπτωση θα είναι μικρότερος από ότι αν χρησιμοποιούσαμε πρώτου βαθμού. Παρακάτω φαίνεται μια άλλη εφαρμογή του MASH Σχ5.22 Σχ MASH delta-sigma modulator Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 71

73 Για κάθε επίπεδο η συνάρτηση μεταφοράς του σήματος είναι STF = z 1 (5.42) και η συνάρτηση μεταφοράς του θορύβου είναι Η κάθε έξοδο κάθε σταδίου είναι: NTF 1 = (1 z ) (5.43) Y() z = z X() z + (1 z ) E () z (5.44) Y () z = z E () z + (1 z ) E () z (5.45) Y () z = z E () z + (1 z ) E () z (5.46) Χρησιμοποιώντας τη λογική διορθώσεων που παρουσιάζεται δεξιά του διαμορφωτή, παίρνουμε: Y() z = z Y() z + z (1 z ) Y () z + (1 z ) Y () z (5.47) Κάνοντας χρήση των παραπάνω τύπων έχουμε : Y z = z X z + z E z (5.48) () () (1 ) 3() Παρατηρούμε ότι το λάθος κβαντισμού των δυο πρώτων σταδίων καταργήθηκε τελείως και παρουσιάζει μια συνάρτηση μεταφοράς μετατοπίσεις του θορύβου ίση σαν να είχαμε ενός σταδίου διαμορφωτή με συνάρτηση μεταφοράς θορύβου NTF = (1 z 1 ) 3 Οι MASH αρχιτεκτονικές είναι παραδοσιακά δημοφιλείς στοdac μετατροπείς, και όχι τόσο δημοφιλείς μέρος στους ADC μετατροπείς. Αυτό συμβαίνει επειδή το NTF και το STF εξαρτώνται από το αναλογικό ταίριασμα των στοιχείων του κυκλώματος. Εάν το τέλειο ταίριασμα δεν επιτυγχάνεται, e1 και e2 θα διαρρεύσουν στην έξοδο. Λόγω αυτής της διαρροής είναι απαραίτητο να εφαρμοστούν αλγόριθμοι διορθώσεων. Σε ένα DAC, ο διαμορφωτής είναι ψηφιακός και ο κακός συνδυασμός των συντελεστών δεν είναι πρόβλημα. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 72

74 5.8 Περίληψη των συγκρίσεων τοπολογίας Μια περίληψη των συγκρίσεων τοπολογίας παρουσιάζεται παρακάτω : Τύπος Διαμορφωτή Πλεονεκτήματα Μειονεκτήματα Μικρού Βαθμού Απλού Βρόχου Single Loop (Πρώτου και Δεύτερου ) Εγγυημένη σταθερότητα Κύκλωμα μονού βρόχου Μέγιστη είσοδο εισαγωγής Χαμηλό SNR (εκτός από υψηλό OSR) Επιρρεπέστερο σε idle tones Μικρού Βαθμού Απλού Βρόχου Single Loop (>2) Υψηλό SNR για μέτριο OSR Λιγότερο επιρρεπείς σε idle tones Απλό σχέδιο κυκλωμάτων Η σταθερότητα είναι εξαρτημένη από σήμα εισόδου Η μέγιστη είσοδος πρέπει να περιοριστεί για να εξασφαλίσει σταθερότητα Σειριακής τοπολογίας Cascade Topology Εγγυημένη σταθερότητα Μέγιστη είσοδος εισαγωγής Υψηλό SNR για μέτριο OSR Απαιτεί σχεδόν τέλειο ταίριασμα μεταξύ αναλογικός ολοκληρωτής και ψηφιακού διαφοροποιητή. Σύνθετα τα κυκλώματα πυκνωτών είναι απαιτημένα για να εξασφαλίσει ταίριασμα Το ατελές ταίριασμα μπορεί να έχει αποτέλεσμα τη διαρροή των τόνων στη ζώνη βάσης Φίλτρο αποδεκατισμού πολλαπλού εισόδου bit Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 73

75 Πολλαπλού Bit DAC Multi Bit Υψηλό SNR για αρκετά χαμηλό OSR Σταθερότητα ευκολότερη να επιτύχει για τους υψηλότερους βρόχους έναντι του μονού βρόχου τοπολογία Επιτρέπετε μεγάλα dithering σήματα εισαγωγής στους κβαντιστές για να έχουμε μείωση τον idle tones Ατελές ταίριασμα στα επίπεδα μπορούν να οδηγήσουν ακέραια σε μη γραμμικότητα λάθη Πιο σύνθετο κύκλωμα Φίλτρο αποδεκατισμού πολλαπλού εισόδου bit Προσομοιώσεις που έχουν γίνει έχουν δείξει ότι η δυναμική περιοχή για τον μονού βρόχου τοπολογίες μεγαλύτερου βαθμού από δύο είναι χειρότερα και συγκρίνονται με εκείνους των σε σειρά τοπολογιών. Αυτό οφείλεται κυρίως στα προβλήματα αστάθειας που συνδέονται με τον μονό βρόχο για βαθμό μεγαλύτερο από δύο. Μια περαιτέρω επέκταση του resolution του δέλτα σίγμα διαμορφωτή μπορούμε να βρούμε στις τοπολογίες Multi Bit. Το αποτέλεσμα είναι να λειτουργήσει σε ένα χαμηλότερο OSR προκειμένου να επιτευχθεί η ίδια ακρίβεια Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 74

76 5.9 Idle Tones και Dither signal Εάν υποθέσουμε και εφαρμόσουμε στην είσοδο την DC τιμή 1/3, θα διαπιστώσουμε ότι η έξοδος y[n] θα είναι ( ) και θα παράγει την σωστή rms τιμή αλλά θα έχει ένα ισχυρό φασματικό περιεχόμενο σε fs/3.παρεμβάλλοντας άλλες τιμές θα δείξει ότι η έξοδος έχει την τονική συμπεριφορά σε fs/k. Το ευτύχημα είναι ότι είναι έξω από το φασματικό περιεχόμενο που μας ενδιαφέρει αλλά κάτι τέτοιο δεν συμβαίνει πάντα για όλες τις τιμές Οι idle tones θα παραχθούν οπότε η εισαγωγή είναι στάσιμη ή μεταβάλετε αργά κάτι το οποίο τον καθιστά ως ένα σημαντικό πρόβλημα για τις χαμηλού επιπέδου και χαμηλής συχνότητας εισόδους. Για τις περισσότερες εισαγωγές, θα υπάρξουν τόνοι μέσα στη ζώνη συχνοτήτων που μας ενδιαφέρει. Στο σχήμα 5.23 παρουσιάζετε ένα φάσμα εξόδου για τον πρώτου βαθμού διαμορφωτή με εισαγωγή του 1/3 της τιμής εισόδου και μπορούμε σαφώς να δούμε την τονική συμπεριφορά Σχ 5.23 Πρώτου βαθμού έξοδος για DC είσοδο και για ημιτονική είσοδο Για να το κατανοήσουμε καλύτερα θα φέρω ένα παράδειγμα έστω το εύρος συχνοτήτων που μας ενδιαφέρει είναι fb=100 Hz και ο συντελεστής υπερ δειγματοληψίας OSR=50 και έχουμε πρώτου βαθμού διαμορφωτή και έστω ότι μιλάμε για DAC διαμορφωτή με σήμα εισαγωγής 8 bit που κυμαίνεται από 0 ως 256.Αν εφαρμόσουμε την τιμή 1 τότε θα έχουμε στην έξοδο έναν άσσο στους 256 παλμούς που θα έχουν συχνότητα 2f B OSR (5.49) και θα έχουμε idle tones στην συχνότητα f Idle _ Tones 2 fosr B = = 39 Hz (5.50) 256 Άρα μέσα στην ζώνη ενδιαφέροντος, είναι προφανές ότι πρέπει να αντιμετωπιστεί αυτό το πρόβλημα μιας και αυτές οι συχνότητες είναι ανεπιθύμητες ιδίως στους Audio DAC δέλτα σίγμα. Η μια λύση είναι να πάμε σε μεγαλύτερου δέλτα σίγμα βαθμού αλλά και εκεί αν και συχνά χρησιμοποιείτε ο δευτέρου βαθμού υπάρχει αυτό το πρόβλημα όχι τόσο έντονο ώμος όσο στον προτού βαθμού. Αυστηρή μαθηματική ανάλυση της τονικής συμπεριφοράς σε μεγαλυτέρου δέλτα σίγμα βαθμού δεν υπάρχει ολοκληρωτική. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 75

77 Στο Σχ5.24 βλέπουμε τους τόνους που βρίσκονται μέσα και έξω από το φάσμα συχνοτήτων που μας ενδιαφέρει. Σχ 5.24 In and out Band Tones Άλλη λύση είναι να χρησιμοποιήσουμε μια μέθοδο που ονομάζετε Dithering για να χαλαρώση θα λέγαμε την περιοδικότητα των που εμφανίζετε σε μερικές τιμές. Προσθέτετε ένα ψευδοτυχαίο σήμα στο διαμορφωτή για να σπάσει τους idle tones. Εάν προστίθεται πριν από το κβαντιστή, θα υποστεί και αυτό noise shaped και μεγάλο dither μπορεί να προστεθεί. Σχ 5.25 Εισαγωγή Dither για μειώσει των Idle Tones Ανάλογα αν έχουμε A/D η D/A δέλτα σίγμα εφαρμόζετε ως εξής A/D Λίγα bit απαιτούνται να προστεθούν στον μετατροπέα D/A D/A Λίγα bit απαιτούνται να προστεθούν πριν τον κβαντιστη Θα έχει επιπτώσεις στη σταθερότητα των διαμορφωτών Η βέλτιστη κβαντοποίηση αλλά και ο κατάλληλος αλγόριθμος του Dithering εξαρτάται από το αν εφαρμόζετε σε A/D ή D/A δέλτα σίγμα και από τον βαθμό τους στους δέλτα σίγμα που είναι κάπως πιο σύνθετη. Το πρόβλημα είναι ότι ο προστιθέμενος θόρυβος (Dithering) σημαίνει ένα υψηλότερο εύρος στην είσοδο του κβαντιστη. Κατά συνέπεια, οι απαιτήσεις σταθερότητας είναι περαιτέρω περιορισμένες. Η δυναμική περιοχή στην είσοδο μειώνεται και ειδικά για τους διαμορφωτές πρώτου βαθμού μπορεί να είναι ένα σημαντικό πρόβλημα. Αυτό πρέπει να εξεταστεί από τη πιο λεπτομερή προσομοίωση και με το σχεδιασμό πιο συντηρητικών προδιαγραφών. Κάποιος μπορεί επίσης να μειώσει το εύρος του dither Στους DAC δέλτα σίγμα τα πράγματα είναι πιο απλά, ένα απλός αλγόριθμος Dithering είναι να επηρεάζουμε το λιγότερο LSB στην είσοδο του κβαντιστη αλλά και στην έξοδο του Interpolation,αλλά και εδώ πρέπει να είμαστε προσεκτική. Γενικά, όταν ο κβαντιστής είναι δυαδικός, πρέπει να είναι δυναμικός. Όταν έχουμε multibit η σταθερότητα του κβαντιστή είναι πιο σταθερή και έτσι μας είναι πιο εύκολο να εφαρμόσουμε το Dithering χωρίς τα ανεπιθύμητα αποτελέσματα Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 76

78 5.10 Ευστάθεια του Δέλτα Σίγμα διαμορφωτή Έχουμε δει ότι οι δέλτα-σίγμα διαμορφωτές μπορούν να είναι επιρρεπής σε υπερφόρτωση του κβαντιστή, η οποία μειώνει το επιτεύξιμο SNR. Εντούτοις, υπάρχουν περισσότερες ανησυχίες σχετικές με αυτό. Φανταστείτε έναν κβαντιστή να υπερφορτώνει στιγμιαία και ναβγάζει συνεπώς έξω πολύ μεγάλο λάθος κβαντοποίησης Στη συνέχεια είναι προφανές ότι η επόμενη εισαγωγή στον κβαντιστή πιθανόν να είναι πολύ μεγάλη. Ο διαμορφωτής έχει γίνει ασταθής και αρχίζει να ταλαντεύεται ανεξέλεγκτα. Η σταθερότητα των διαμορφωτών δεν μπορεί να γίνει κατανοητή από τα γραμμικά πρότυπα και τις συναρτήσεις μεταφοράς (η συνάρτηση μεταφοράς μπορεί να είναι σταθερή ενώ ο διαμορφωτής δεν είναι) Αυτό οφείλεται στον κβαντιστή που εισάγει ένα έντονα μη γραμμικό στοιχείο στο σύστημα ανατροφοδότησης. Η μαθηματική ανάλυση της αστάθειας διαμορφωτών στη γενική περίπτωση είναι εξαιρετικά δύσκολη και τα αναλυτικά υπολογισμένα αποτελέσματα δεν έχουν βρεθεί ακόμα. Οι περισσότερες προσεγγίσεις είναι μάλλον βασισμένες γύρω από τις στατιστικές μελέτες, και τους πρακτικούς διαμορφωτές που έχουν εκτενώς σχεδιαστεί και με τη λειτουργία του reset στην περίπτωση της ταλάντωσης Ένας τρόπος να εξασφαλιστεί η σταθερότητα των διαμορφωτών είναι να σχεδιαστεί και να τηρηθεί το κριτήριο μη υπερφόρτωσης, Αυτό εντούτοις, είναι μια πολύ συντηρητική προσέγγιση, δεδομένου ότι οι διαμορφωτές μπορούν να είναι σταθεροί ακόμα και αν ο κβαντιστής υπερφορτώνει περιστασιακά, Έτσι μπορούν να σχεδιαστούν με ένα επιθετικότερο NTF και κατά συνέπεια καλύτερα η απόδοση από το κριτήριο της μη υπερφόρτωσης, και έχει ακόμα πολύ μικρή πιθανότητα να βρεθεί σε αστάθειας. Για τους διαμορφωτές μονού βρόχου υψηλών βαθμού δεν μπορούν να σχεδιαστούν με την εγγυημένη λειτουργία μη υπερφόρτωσης. Για τους διαμορφωτές του ενός bit ένας άλλος κανόνας βασισμένος στην εκτενή προσομοίωση γίνεται αποδεκτός ευρέως. Ο κανόνας που αποκαλείται Lee's Rule λέει ότι ένας Δέλτα Σίγμα διαμορφωτής του ενός bit θα είναι γενικά ευσταθείς αν τηρείτε το εξής NTF( f ) 1.6 db (5.51) Πρέπει να σημειωθεί ότι ο κανόνας είναι βασισμένος στις προσομοιώσεις και ελαχιστοποιεί την πιθανότητα της αστάθειας και δεν τη αποκλείει τελείως. Η ανάπτυξη για την ανάλυση σταθερότητας του δέλτα σίγμα και τη βελτιστοποίηση διαμορφωτών είναι ο τρέχων τομέας της έρευνας Έτσι μια γενική σκέψη για να έχουμε σταθερότητα είναι, να αποφεύγετε η υπερφόρτωση του κβαντιστη και να σχεδιάζονται με πολύ αυστηρές προδιαγραφές, να σχεδιάζετε η NTF<1.5,μπορουμε να έχουμε πιο μεγάλη σταθερότητα αν βάλουμε τους πόλους της NTF πιο κοντά στα μηδενικά, να αποφεύγετε να εισάγουμε σήμα εισόδου που θα ήταν βέβαιο ότι θα πάμε σε αστάθεια Η ανίχνευση σταθερότητας μπορεί να γίνει αν με την επίβλεψη της εισόδου του κβαντιστή,και στο αν παρατηρηθούν πολύ μεγάλης διάρκειας παλμών κολλημένες στο 0 ή 1.Αν ανιχνευθεί αστάθεια πρέπει να γίνεται reset. Κατά συνέπεια, το NTF πρέπει να ελεγχθεί. Αυτό γίνεται εισάγοντας τους συντελεστές φίλτρων που μετατοπίζει τους πόλους Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 77

79 Παρακάτω βλέπουμε Σχ5.26 δυο NTF και η διαφορά στην σταθερότητα αν την ελέγχουμε μέσα από τους συντελεστές Σχ 5.26 Δυο διαφορετικές NTF για πιο μεγάλη σταθερότητα Στο Σχ5.57 βλέπουμε έναν διαμορφωτή ο οποίος έχει σχεδιαστεί με τον κανόνα Lee's Rule εισάγοντας τους συντελεστές (δεξιά) εναντίον ενός που έχει σχεδιαστεί με την συνάρτηση 1 3 μεταφοράς θορύβου ίση με NTF = (1 z ). Το υψηλό μέγιστο κέρδος που βλέπουμε στο αριστερό σχήμα θα είναι σίγουρα πολύ ασταθές. Σχ 5.27 Διαμορφωτής με NTF 1 3 = (1 z ) vs με έναν που υπακούει στον κανόνα Lee's Rule Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 78

80 5.11 Απόκριση του Δέλτα Σίγμα DAC Single bit αρχιτεκτονικής Ανάλογα με τον βαθμό του διαμορφωτή όπως περιγράψαμε παραπάνω έχουμε και διαφορετική έξοδο στον διαμορφωτή με αυτόν των μεγαλύτερων βαθμών και να μετατοπίζει το θόρυβο κβαντισμού περισσότερο από τους χαμηλότερους. Θα παρουσιάσουμε την έξοδο του τριών πρώτον βαθμών. Στη έξοδος του πρώτου βαθμού υπάρχει έντονα το φαινόμενο των idle Tones, χαρακτηρίζεται από μεγάλη ευστάθεια και απλή υλοποίηση. Η έξοδος του δεύτερου είναι έντονα καλύτερη από την καταπόνηση τον idle tones έχει μια κλίση μεγαλύτερη από του πρώτου και είναι ικανοποιητικά ευσταθές. Του τρίτου βαθμού είναι σαφώς καλύτερα από Idle Tones και από μετατόπιση του θορύβου, εξαρτάται όμως η ευστάθειά του έντονα από την είσοδο για αυτό αυτήν την μορφή την πετυχαίνουμε συνήθως με άλλες αρχιτεκτονικές τύπου MASH. Σχ 5.28 Απόκριση της NTF και των τριών πρώτων Διαμορφωτών Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 79

81 6.1 Εισαγωγή ΚΕΦΑΛΑΙΟ 6 6 Πολυρυθμική Επεξεργασία Τα σήματα [6] και συστήματα επεξεργασίας, στα οποία αναφερθήκαμε έως τώρα, τα διέκρινε ένας κοινός ρυθμός παροχής, επεξεργασίας δειγμάτων και παραγωγής αποτελεσμάτων. Πολύ συχνά στην πράξη εμφανίζεται η ανάγκη διασύνδεσης και συνεργασίας ψηφιακών συστημάτων που διαθέτουν διαφορετικούς ρυθμούς. Συνδυασμοί της μορφής αυτής μπορούν να θεωρηθούν σαν ενιαία πολυρυθμικά συστήματα. Ας θεωρήσουμε, για παράδειγμα, την περίπτωση ενός ψηφιακού ραδιοσταθμού, ο οποίος εκπέμπει μουσική που προέρχεται από CD. Το σήμα ήχου, για τις ανάγκες της ψηφιακής εκπομπής, δειγματοληπτείται με ρυθμό 32 ΚΗz, ενώ η ψηφιακή εγγραφή σε CD απαιτεί 44.1 ΚΗz. Για να είναι δυνατή η εκπομπή, ο δεύτερος ρυθμός πρέπει να μετατραπεί στον πρώτο. Το σύστημα που θα υλοποιεί την μετατροπή θα διαθέτει, φυσικά, δύο διαφορετικούς ρυθμούς. Μια διαφορετική αιτία για αλλαγή ρυθμού αποτελεί συχνά η αδυναμία των συστημάτων επεξεργασίας να ανταποκριθούν σε υψηλό ρυθμό παροχής δειγμάτων. Συγκεκριμένα, εάν το σύστημα επεξεργασίας δεν έχει ικανοποιητική ταχύτητα, τότε δεν προλαβαίνει να εκτελεί κάποια προκαθορισμένη επεξεργασία στο χρονικό διάστημα που του παρέχεται μεταξύ διαδοχικών δειγμάτων, με αποτέλεσμα να είναι άχρηστο σε εφαρμογές πραγματικού χρόνου. Στις περιπτώσεις αυτές, το σήμα μπορεί να διαιρεθεί σε υπακολουθίες, χαμηλότερου ρυθμού η κάθε μια, τις οποίες να επεξεργάζονται, παράλληλα, περισσότερα του ενός συστήματα χαμηλών δυνατοτήτων το καθένα. Απ όσα αναφέρθηκαν προκύπτει ότι υπάρχει έντονο ενδιαφέρον για ανάλυση και σχεδιασμό συστημάτων, στα οποία εμφανίζονται περισσότεροι του ενός ρυθμοί. Τα συστήματα αυτά τα καλούμε πολυρυθμικά και η αντίστοιχη επεξεργασία πολυρυθμική. Το παρόν κεφάλαιο θα αποτελέσει μια σύντομη εισαγωγή στη μοντέρνα και άκρως ενδιαφέρουσα περιοχή της πολυρυθμικής επεξεργασίας, στοχεύοντας κυρίως στην παρουσίαση εισαγωγικών εννοιών και τεχνικών,κυρίως όμως θα επικεντρωθούμε στην χρησιμότητα τους κατά δέλτα σίγμα μετατροπή. Θα αναλύσουμε μεθόδους και αρχιτεκτονικές υλοποίησης τον φίλτρων αυτόν κυρίως σε FPGA τεχνολογία. 6.2 Υπερδειγματοληψία Υποδειγματοληψία(Interpolation- Decimation) Οι δύο βασικές διεργασίες, με τις οποίες επιτυγχάνεται η αλλαγή ρυθμού ενός σήματος, είναι η υπερδειγματοληψία και η υποδειγματοληψία. Η πρώτη αυξάνει το ρυθμό κατά ένα ακέραιο συντελεστή, ενώ η δεύτερη τον ελαττώνει. Το σύστημα, το οποίο υλοποιεί τις αντίστοιχες αλλαγές στο ρυθμό, καλείται για μεν την πρώτη περίπτωση υπερδειγματολήπτης (up-sampler), για δε τη δεύτερη υποδειγματολήπτης (down-sampler). Και τα δύο συστήματα εφαρμόζονται αποκλειστικά σε σήματα διακριτού χρόνου. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 80

82 6.3 Χαρακτηρισμός στο Πεδίο του Χρόνου Στην υπερδειγματοληψία κατά ένα ακέραιο συντελεστή K > 1, προστίθενται K-1 μηδενικά δείγματα μεταξύ δύο διαδοχικών δειγμάτων της αρχικής ακολουθίας x n. Αυτό έχει σαν αποτέλεσμα να δημιουργηθεί μια νέα ακολουθίας x n η οποία συνδέεται με την αρχική μέσω της σχέσης x n xn n= 0, ± K, ± 2 K,... = K (6.1) 0 αλλού Στο Σχ6.1 παρουσιάζεται το σήμα xn = cos 0.2π n καθώς και η υπερδειγματοληψία του κατά ένα συντελεστή K=3. Σχ 6.1 Παράδειγμα υπερδειγματοληψίας ημιτόνιου σήματος με Κ=3 Παρατηρούμε ότι ανάμεσα σε διαδοχικά δείγματα προστίθενται δύο επιπλέον μηδενικά δείγματα.. Το σχηματικό σύμβολο του υπερδειγματολήπτη παρουσιάζεται στο Σχ6.2 Σχ 6.2 Σχηματικό σύμβολο του υπερδειγματολήπτη Πρέπει βεβαίως να τονίσουμε ότι η αύξηση του ρυθμού με πρόσθεση μηδενικών είναι ενάντια στην διαίσθηση μας η οποία συνηγορεί υπέρ της πρόσθεσης δειγμάτων με κάποια διαδικασία παρεμβολής. Το βασικό πλεονέκτημα του προτεινομένου τρόπου υπερδειγματοληψίας είναι η μεγάλη του απλότητα και, όπως θα δούμε σε επόμενο κεφάλαιο, με κατάλληλη επεξεργασία της ακολουθίας που δημιουργείται, είναι δυνατό να καταλήξουμε στη ζητούμενη ακολουθία παρεμβολής. Αντίστοιχες έννοιες ορίζονται και για την περίπτωση του υποδειγματολήπτη. Υποδειγματοληψία κατά ένα ακέραιο συντελεστή Μ σημαίνει η διατήρηση ενός δείγματος κάθε Μ και την απομάκρυνση των υπολοίπων Μ-Ι δειγμάτων. Το σήμα που δημιουργείται περιγράφεται από την ακόλουθη σχέση x n = x (6.2) nm Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 81

83 Στο Σχ6.3 παρουσιάζεται η υποδειγματοληψία του σήματος x n= cos 0.2π n κατά ένα συντελεστή Μ=3.Παρατηρουμε ότι διατηρείτε ένα κάθε τρία δείγματα. Σχ 6.3 Παράδειγμα υποδειγματοληψίας ημιτόνιου σήματος με Μ =3 Τέλος στο Σχ6.4 παρουσιάζεται το σχηματικό σύμβολο του υποδειγματολήπτη Σχ 6.4 Το σχηματικό σύμβολο του υποδειγματολήπτη Εύκολα αποδεικνύεται ότι και τα δύο συστήματα αλλαγής ρυθμού είναι γραμμικά, επισημαίνουμε, ωστόσο, ότι δεν είναι χρονικά σταθερά. Υπενθυμίζεται ότι ένα γραμμικό σύστημα είναι χρονικά σταθερό, όταν για κάθε ακέραιο n 0, στην είσοδο xn n0 αντιστοιχεί η έξοδος yn n0 όταν ynείναι η έξοδος που αντιστοιχεί στην είσοδο x n. Εάν εξετάσουμε τον υποδειγματολήπτη για Μ=2 και n 0 = 1, τότε η έξοδος με είσοδο την x n είναι οι όροι της x n με άρτιο δείκτη, ενώ η έξοδος με είσοδο την xn 1 είναι οι όροι της x n με περιττό δείκτη. Οι δύο έξοδοι είναι προφανώς διαφορετικές και δεν αποτελεί η μια χρονική ολίσθηση της άλλης. Θα πρέπει τέλος να αναφέρουμε ότι, εάν το σήμα x n είναι δειγματοληψία ενός αναλογικού σήματος xa () t με περίοδο T s, δηλαδή xn = xa( nts) τότε το υποδειγματοληπτημένο σήμα xn αποτελεί δειγματοληψία του αναλογικού σήματος με περίοδο MTs, δηλαδή xn = xa( nmts). Η παρατήρηση αυτή δεν ισχύει προφανώς για την περίπτωση της υπερδειγματοληψίας. Το υπερδειγματοληπτημένο σήμα x n δεν αποτελεί δειγματοληψία του αναλογικού σήματος, λόγω των μηδενικών που παρεμβάλλονται μεταξύ των δειγμάτων της ακολουθίας x n. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 82

84 6.4 Χαρακτηρισμός στο Πεδίο της Συχνότητας jω jω Στη συνέχεια θα προσδιορίσουμε το συχνοτικό περιεχόμενο X ( e ), Χ( e ) των ακολουθιών x n j x n καθώς και τη σχέση που έχουν με το συχνοτικό περιεχόμενο Χ ( e ω ) της ακολουθίας x n. Ας εξετάσουμε κατ' αρχήν την υπερδειγματοληπτημένη ακολουθία Εξίσωσης (6.1), και ας υπολογίσουμε τον Μετασχηματισμό Fourier της. Εφαρμόζοντας τον ορισμό του μετασχηματισμού έχουμε jω jnω jnω n n n jnω n= n= kk n kk Χ ( e ) = x e = x e + x e jkkω jkω = xe = X( e ), k = k x n, που ορίζεται μέσω της jω jnω όπου Χ ( e ) = x n ne ο Μετασχηματισμό Fourier της αρχικής ακολουθίας x = n. Το αποτελέσματα της σχέσης αυτής είναι η συμπίεση του διαστήματος [ Κ π Kπ ] της αρχικής j συνάρτησης ( e ω j Χ ) στο διάστημα [π -π], προκειμένου να δημιουργηθεί η συνάρτηση Χ ( e ω ). Στην εικόνα 38 παρουσιάζεται ένα παράδειγμα για Κ=4 (6.3) Σχ 6.5 Φάσμα (α) αρχικού σήματος (β) υπερδειγματοληπτημένου με Κ=4. Παρατηρούμε στο (β) ότι, μέσα στο βασικό συχνοτικό διάστημα [-π π], εμφανίζονται πολλαπλά j συμπιεσμένα είδωλα του Χ ( e ω ). Τα είδωλα αυτά είναι αποτέλεσμα της περιοδικότητας της j συνάρτησης Χ ( e ω ), της οποίας η βασική περίοδος παρουσιάζεται στο (α). Με Κ=4, το περιεχόμενο j της συνάρτησης Χ ( e ω ) συμπιέζεται κατά ένα συντελεστή 4 και δημιουργείται το συχνοτικό j περιεχόμενο Χ ( e ω ). Αυτό έχει σαν αποτέλεσμα τα συχνοτικά διαστήματα [-4π 4π] και [-π π] της π π j Χ ( e ω j ) να απεικονιστούν στο βασικό συχνοτικό διάστημα [-π π] και στο 4 4 Χ e ω. της ( ) Για τον υπολογισμό του συχνοτικού περιεχομένου του υποδειγματοληπτημένου σήματος x n η ανάλυση παρουσιάζει ελαφρώς μεγαλύτερη δυσκολία.. Ο Μετασχηματισμός Fourier του σήματος x γράφεται n Χ jω ( ) e = x Mne n = jnω (6.4) Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 83

85 Προκειμένου να συσχετίσουμε την παραπάνω συνάρτηση με το αρχικό σήμα x n, είναι απαραίτητη η εισαγωγή ενός βοηθητικού σήματος x % n, που έχει ρυθμό ίδιο με του αρχικού σήματος x n, και ορίζεται ως εξής Ο Μετασχηματισμός Fourier του τελευταίου ικανοποιεί x% n xn n= 0, ± M, ± 2 M,... = 0 αλλού (6.5) ( ) % jω jnω jnmω = n = Mn n= n= X e x e x e Συγκρίνοντας (6.4) και (6.6) συμπεραίνουμε ότι j Στην συνέχεια θα συσχετίσουμε το X ( e ω j ) με το X ( e ω ) γράψουμε όπου η ακολουθία c n ορίζεται ως εξής (6.6) ω j jω M X ( e ) = X e (6.7) x% n = c n x n. Παρατηρούμε ότι μπορούμε να (6.8) c n xn n= 0, ± M, ± 2 M,... = 0 αλλού (6.9) Η περιοδική ακολουθία ημιτόνων Επομένως c n είναι δυνατό να αναλυθεί στο ακόλουθο άθροισμα μιγαδικών ( ) c n M π j kn Μ = e (6.10) M k = 0 1 X e c x e e x e M 1 2π j kn jω jnω Μ jnω = n n = n n= Μ n= k= 0 M 1 2π 1 j kn jnω Μ = xne e Μ k= 0 n= M 1 2π 1 jn ω k Μ = xne k= 0 n= Μ M 1 2π 1 j ω k Μ = Χ e Μ k = 0 (6.11) Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 84

86 Συνδυάζοντας (6.10) και (6.11), αντικαθιστώντας δηλαδή ω με ω/μ, καταλήγουμε στην επιθυμητή σχέση 1 Μ M 1 2π k jω Χ= e Μ Χ (6.12) k = 0 Για να γίνει κατανοητή η σχέση (6.12) ας αναλύσουμε την ειδική περίπτωση Μ=2, οπότε ω ω 2π 1 j j 2 2 X e = Χ e +Χ e 2 jω ( ) (6.13) Στο Σχ6.6 παρουσιάζεται το με σκιασμένο το βασικό συχνοτικό διάστημα [π -π] ενώ για τις υπόλοιπες συχνότητες επαναλαμβάνεται περιοδικά. Σχ 6.6 Συχνοτικό περιεχόμενο αρχικού σήματος και υποδειγματοληπτημένου με Μ=2 ω ω 2π j j Στην (β) παρουσιάζονται η 2 2 Χ e (λεπτή γραμμή), η Χ e (διακεκομμένη γραμμή) j καθώς και το ημιάθροισμα Χ ( e ω )(έντονη γραμμή) των δύο αυτών συναρτήσεων. Παρατηρούμε j ότι, το συχνοτικό περιεχόμενο Χ ( e ω ) της υποδειγματοληπτημένης ακολουθίας, το οποίο παρουσιάζεται σκιασμένο στο βασικό συχνοτικό διάστημα [-π π], διαφέρει σημαντικά από το j συχνοτικό περιεχόμενο Χ( e ω ) της αρχικής ακολουθίας. Η αλλοίωση αυτή οφείλεται στο φαινόμενο της αναδίπλωσης της συχνότητας. Είναι φανερό ότι στην υποδειγματοληψία παρατηρούνται φαινόμενα αναδίπλωσης συχνότητας αντίστοιχα με αυτά της δειγματοληψίας ενός αναλογικού σήματος. Αυτό είναι εν μέρει αναμενόμενο, αφού ένα υποδειγματοληπτημένο σήμα δεν είναι δυνατό να αποδώσει σωστά όλες τις συχνότητες του αρχικού σήματος, λόγω του χαμηλότερου ρυθμού του. Επομένως και στην περίπτωση αυτή οι υψηλές συχνότητες αναδιπλώνονται και εμφανίζονται σαν χαμηλές. Βεβαίως οι "ουρές" που εισβάλλουν στο βασικό συχνοτικό διάστημα μπορούν να προέλθουν μόνο από Μ διαφορετικές επαναλήψεις του αρχικού συχνοτικού περιεχομένου, όπως διαπιστώνουμε από τη Σχέση (6.13), σε αντίθεση με τη δειγματοληψία αναλογικών σημάτων, όπου το αντίστοιχο άθροισμα περιέχει άπειρους. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 85

87 6.5 Ισοδύναμες σε Σειρά Συνδεσμολογίες 'Ένα σύνθετο πολυρυθμικά σύστημα δημιουργείται με τη διασύνδεση των βασικών στοιχείων αλλαγής ρυθμού με άλλα στοιχεία, π.χ. ψηφιακά φίλτρα. Σε πολλές εφαρμογές έχει παρατηρηθεί ότι η εναλλαγή δύο διαδοχικών εν σειρά στοιχείων, ενώ δεν μεταβάλλει το τελικό αποτέλεσμα, οδηγεί σε σημαντική μείωση της υπολογιστικής πολυπλοκότητας. Στο παρόν κεφάλαιο θα εξετάσουμε κάτω από ποιες προϋποθέσεις, σε συγκεκριμένες συνδεσμολογίες, είναι δυνατή μια τέτοια εναλλαγή. Ο υπερδειγματολήπτης και ο υποδειγματολήπτης είναι τα δύο στοιχεία με τα οποία μεταβάλλεται ο ρυθμός κατά ένα ακέραιο ποσοστό. Σε περίπτωση που επιθυμούμε η αλλαγή ρυθμού να είναι κλασματική, πρέπει να εφαρμόσουμε τα δύο στοιχεία σε σειρά. Η πρώτη επομένως συνδεσμολογία που θα εξετάσουμε είναι αυτή του Σχ6.7 Σχ 6.7 Δύο εν σειρά διαφορετικές συνδεσμολογίες υπερδειγματολήπτη, υποδειγματολήπτη Επιλέγοντας Μ=Κ=2 και υπολογίζοντας τις δύο εξόδους, μπορούμε να δείξουμε ότι αυτές είναι διαφορετικές, άρα οι δύο συνδεσμολογίες της εικόνας 40 δεν είναι κατ' ανάγκη ισοδύναμες. Η ακόλουθη συνθήκη εξασφαλίζει την επιθυμητή ισοδυναμία των δύο συνδεσμολογιών του Σχ6.7 Ισοδυναμία Συνδεσμολογιών Υπερδειγματολήπτη, Υποδειγματολήπτη:Οι δύο σε σειρά συνδεσμολογίες υπερδειγματολήπτη, υποδειγματολήπτη είναι ισοδύναμες, εφόσον οι ακέραιοι Κ και Μ είναι πρώτοι μεταξύ τους, έχουν δηλαδή μέγιστο κοινό διαιρέτη μονάδα Θα πρέπει να σημειώσουμε ότι η συνθήκη που αναφέραμε δεν είναι ιδιαίτερα περιοριστική, όταν στοχεύουμε σε κλασματική αλλαγή ρυθμού. Ως γνωστόν, οποιοδήποτε κλάσμα μπορεί να εκφραστεί σαν ο λόγος με τους ακέραιους Μ, Κ να είναι πρώτη μεταξύ τους. Μ Κ Στο Σχ6.8 παρουσιάζονται δύο επιπλέον συνδεσμολογίες σε σειρά, με τις αντίστοιχες ισοδύναμες μετατροπές τους. Οι περιπτώσεις αυτές συνδυάζουν τα βασικά στοιχεία αλλαγής ρυθμού με γραμμικά χρονικά σταθερά φίλτρα. Σχ 6.8 Ισοδύναμες εν σειρά συνδεσμολογίες Συνδυασμοί της μορφής αυτής θα εφαρμοστούν στα επόμενα κεφάλαια και οι ισοδυναμίες θα χρησιμοποιηθούν για απλοποίηση των αντίστοιχων συστημάτων Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 86

88 6.6 Φίλτρα Αλλαγής Ρυθμού Δειγματοληψίας 'Όταν ένα σήμα υποδειγματοληπτείται, εμφανίζεται το φαινόμενο της αναδίπλωσης της συχνότητας, κατά το οποίο οι υψηλές συχνότητες συμπεριφέρονται σαν χαμηλές. Για να αποφύγουμε το φαινόμενο αυτό είναι απαραίτητο, όπως και στη δειγματοληψία αναλογικών σημάτων, να εφαρμόσουμε ένα φίλτρο αντιαναδίπλωσης. Το μεγάλο πλεονέκτημα στην περίπτωση της υποδειγματοληψίας είναι ότι το φίλτρο αντιαναδίπλωσης είναι ψηφιακό (σε αντίθεση με το αντίστοιχο της δειγματοληψίας αναλογικών σημάτων, το οποίο είναι αναλογικό). Εάν εξετάσουμε το Σχ6.6 παρατηρούμε ότι για την περίπτωση Μ=2, προκειμένου να αποφευχθεί j η αλλοίωση συχνοτικού περιεχομένου, πρέπει η συνάρτηση Χ( e ω ) να είναι ίδια (πέρα από τον j πολλαπλασιαστικό συντελεστή 1/2) με την Χ( e ω ) στο διάστημα [-π π]. Κάτι τέτοιο είναι δυνατό, εφόσον εφαρμόσουμε στο αρχικό σήμα x n ένα κατωπερατό φίλτρο με συχνότητα αποκοπής ω c = π /2ώστε να φιλτράρουμε τις συχνότητες [π/2 π], που αναδιπλώνονται και εισβάλουν στο διάστημα [-π π] αλλοιώνοντας το συχνοτικό περιεχόμενο. Στη γενική βέβαια περίπτωση η συχνότητα αποκοπής πρέπει να είναι ω = π / Μ c Ο συνδυασμός του κατωπερατού φίλτρου, ακολουθούμενου από ένα υποδειγματολήπτη, καλείται σύστημα αποδεκατισμού (decimation)και συμβολίζεται όπως στο Σχ6.9. Είναι αξιοπρόσεκτο το γεγονός ότι ο ρυθμός επεξεργασίας του φίλτρου Η α ( z) είναι ίδιος με τον ρυθμό της εισόδου x n Επειδή λόγω της υποδειγματοληψίας διατηρούμε Σχ 6.9 Συνδεσμολογία συστήματος Αποδεκατισμού Μόνο μια κάθε Μ εξόδους, είναι φανερό ότι δεν είναι αναγκαίο να υπολογίζεται η έξοδος σε κάθε χρονική στιγμή, αλλά μόνο στις στιγμές δειγματοληψίας. Η παρατήρηση αυτή συνεπάγεται μείωση του αριθμού των πράξεων κατά ένα συντελεστή Μ, όταν το φίλτρο είναι FIR. Πράγματι, εάν F είναι ο ρυθμός της εισόδου σε δείγματα ανά δευτερόλεπτο, οι πράξεις που απαιτούνται στη μονάδα του χρόνου είναι F L/ M όπου L το μήκος του φίλτρου, σε αντίθεση με F L, όταν δεν εφαρμοστεί υποδειγματοληψία. Στην περίπτωση των IIR φίλτρων, όπου είναι απαραίτητο να υπολογιστούν όλες οι ενδιάμεσες εξόδοι, λόγω της εξάρτησης της εξόδου από προηγούμενες εξόδους, δεν παρατηρείται ανάλογη μείωση σε πράξεις. Θα αναφερθούμε στη συνέχεια στη διαδικασία υπερδειγματοληψίας, η οποία χρήζει μεγαλύτερης ανάλυσης, όσον αφορά στην ανάγκη εφαρμογής κάποιου φίλτρου. Όπως προαναφέραμε, η εισαγωγή των Κ-1 μηδενικών κατά την υπερδειγματοληψία δεν συμβαδίζει με τη διαίσθησή μας, αφού θα ήταν προτιμότερη κάποια διαδικασία παρεμβολής μεταξύ διαδοχικών δειγμάτων. Θα δείξουμε ότι, με τη βοήθεια ενός απλού κατωπερατού φίλτρου, είναι δυνατό να δημιουργήσουμε την επιθυμητή ακολουθία παρεμβολής. 'Έστω x a() t ένα αναλογικό σήμα πεπερασμένου εύρους ζώνης x n= x a( nt s) η δειγματοληψία του με συχνότητα δειγματοληψίας στο όριο Nyquist. 'Έστω επίσης ότι το συχνοτικό περιεχόμενο j Χ( e ω ) της x n είναι όπως αυτό του Σχ6.10 Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 87

89 j Από το Θεώρημα Δειγματοληψίας γνωρίζουμε ότι ο Μετασχηματισμός Fourier Χ( e ω ) του Ω s Ω s j αναλογικού σήματος x a() t στο διάστημα [ ] έχει ίδια μορφή με το Χ ( e ω ) 2 2 Σχ 6.10 Συχνοτικό περιεχόμενο αναλογικού σήματος α)με Nyquist β)με 4* Nyquist στο διάστημα [-π π], επειδή δεν εμφανίζονται φαινόμενα αναδίπλωσης συχνότητας. Εάν για τη δειγματοληψία του αναλογικού σήματος εφαρμόζαμε συχνότητα π.χ. τετραπλάσια του ορίου Nyquist, τότε η ακολουθία που θα προέκυπτε θα είχε συχνοτικό περιεχόμενο όπως αυτό του Σχ 6.10β). Είναι προφανές ότι αυτή είναι και η ακολουθία που θα επιθυμούσαμε να έχουμε, εάν x n υπερδειγματοληπτούσαμε την κατά ένα συντελεστή Κ=4, αντί της εισαγωγή 3 μηδενικών μεταξύ των διαδοχικών δειγμάτων. x n που προκύπτει με την Συγκρίνοντας το Σχ 6.10β). με το συχνοτικό περιεχόμενο της ακολουθίας x n που εμφανίζεται στο Σχ 6.6. παρατηρούμε ότι το κεντρικό τμήμα της τελευταίας συμπίπτει με αυτό της πρώτης. Στο συχνοτικό περιεχόμενο της x n εμφανίζονται επιπλέον και είδωλα του κεντρικού τμήματος που οφείλονται στη διαδικασία υπερδειγματοληψίας. Είναι επομένως φανερό ότι, εάν φιλτράρουμε τα είδωλα αυτά με κατάλληλη επεξεργασία της ακολουθίας x n θα προκύψει το συχνοτικό περιεχόμενο του Σχ 6.10β). Το φίλτρο που πρέπει να εφαρμόσουμε στη συγκεκριμένη περίπτωση είναι ένα κατωπερατό φίλτρο με συχνότητα αποκοπής π/4 ενώ στη γενική περίπτωση η συχνότητα αποκοπής είναι ίση προς π/κ Σχ 6.11 Συνδεσμολογία συστήματος Παρεμβολής(Interpolation) x Το κατωπερατό φίλτρο εφαρμόζεται ψηφιακά στο υπερδειγματοληπτημένο σήμα n, αμέσως μετά τον υπερδειγματολήπτη. Ο συνδυασμός υπερδειγματολήπτη, κατωπερατού φίλτρου καλείται σύστημα παρεμβολής (Interpolation)και συμβολίζεται όπως στην εικόνα 44. Εκ πρώτης όψεως δεν φαίνεται να υπάρχει κέρδος στις πράξεις κατά ένα συντελεστή Και όταν το φίλτρο είναι FIR, όπως συμβαίνει στην περίπτωση του αποδεκατισμού. Θα διαπιστώσουμε όμως, παρακάτω ότι το κέρδος αυτό είναι δυνατόν να διασφαλιστεί, εάν η υλοποίηση του φίλτρου γίνει με συγκεκριμένο τρόπο. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 88

90 6.7 Πολυσταδιακή Υλοποίηση Συστημάτων Τα συστήματα αποδεκατισμού και παρεμβολής που παρουσιάστηκαν πριν είναι μονοσταδιακά, περιλαμβάνουν, δηλαδή, ένα μόνο φίλτρο σε συνδυασμό με ένα σύστημα αλλαγής ρυθμού. Εάν ο συντελεστής μεταβολής ρυθμού, π.χ. ο Κ σε ένα σύστημα παρεμβολής, μπορεί να αναλυθεί σε γινόμενο δύο ακεραίων Κ=Κ1Κ2, τότε είναι δυνατόν η διαδικασία παρεμβολής να υλοποιηθεί σε δύο στάδια. Στο Σχ 6,12 παρουσιάζεται η περίπτωση αυτή για ένα σύστημα αποδεκατισμού και ένα σύστημα παρεμβολής. Σχ 6.12 Υλοποίηση συστήματος (α) αποδεκατισμού και (β) παρεμβολής, δύο σταδίων Φυσικά η ίδια ιδέα επεκτείνεται και σε μεγαλύτερο αριθμό σταδίων, εφόσον ο αντίστοιχος συντελεστής αλλαγής ρυθμού μπορεί να εκφραστεί σαν γινόμενο περισσοτέρων των δύο ακεραίων. Ο λόγος που επιθυμούμε πολυσταδιακή υλοποίηση ενός συστήματος αλλαγής ρυθμού, όπως θα γίνει φανερό στη συνέχεια, είναι επειδή επιτυγχάνεται σημαντική μείωση της υπολογιστικής πολυπλοκότητας σε σχέση με αυτή που απαιτεί η μονοσταδιακή υλοποίηση. Πρέπει, βεβαίως, η σύγκριση να γίνει με τέτοιο τρόπο, ώστε να είναι δίκαιη. Αυτό ακριβώς το σημείο θα αναπτύξουμε στη συνέχεια. Ας υποθέσουμε ότι επιθυμούμε να υλοποιήσουμε ένα σύστημα αποδεκατισμού συντελεστή Μ.. Για τη μονοσταδιακή υλοποίηση θα χρησιμοποιήσουμε τη συνδεσμολογία του Σχ 6.11 με το φίλτρο H ( ) a z να είναι κατωπερατό με συχνότητα αποκοπής: Από την άλλη πλευρά, την υλοποίηση δύο σταδίων του Σχ 6.12 πρέπει να τη μετασχηματίσουμε για τους σκοπούς της δίκαιης σύγκρισης. Χρησιμοποιώντας την ισοδυναμία της εν σειρά συνδεσμολογίας τοτ Σχ 6.11β) καταλήγουμε στο Σχ6.13. Άρα το φίλτρο ' M1 H a ( z) = H1( z) H2( z ) πρέπει επίσης να είναι κατωπερατό με συχνότητα αποκοπής π/μ Σχ 6.13 Ισοδύναμη συνδεσμολογία συστήματος παρεμβολής δύο σταδίων Επομένως ο τρόπος με τον οποίο θα συγκριθούν οι δύο υλοποιήσεις είναι ο ακόλουθος: Θα σχεδιάσουμε το φίλτρο H ( ) a z, ώστε να ικανοποιεί συγκεκριμένες προδιαγραφές και κατόπιν τα ' M1 φίλτρα H1( z), H2( z) H ( ) ώστε το γινόμενό τους a z = H1( z) H2( z ) να ικανοποιεί τις ίδιες ακριβώς προδιαγραφές με το H ( ) a z. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 89

91 7.1 Προδιαγραφές και Κίνητρα ΚΕΦΑΛΑΙΟ 7 7 Interpolation φίλτρα για DAC Δέλτα Σίγμα Σε έναν δέλτα σίγμα digital to analog μετατροπέα σήμα εισόδου υπερδειγματοληπτήται ώστε να μετατραπεί σε μεγαλύτερο ρυθμό πριν εφαρμοστεί στην διαμόρφωση και να μετατραπεί σε 1 bit ακρίβεια. Αυτό επιτρέπει στο DAC παρόλο που έχει λίγα επίπεδα, να έχει μεγάλη ακρίβεια στην ζώνη βάσης. Χαρακτηριστικό ρυθμό υπερδειγματοληψίας για έναν ακουστικό DAC είναι της τάξης 32 μέχρι 128 φορές μεγαλύτερο του Nyquist Το Oversampling αποτελείται σε γενικές γραμμές από δύο διαδικασίες. Η πρώτη πρόκειται να αυξήσει το ρυθμό δειγματοληψίας με την παρεμβολή μηδενικών μεταξύ των υπαρχόντων δειγμάτων, καλείται και zero stuffing. Στη συνέχεια, γίνεται περιορισμός στην ζώνη του σήματος χρησιμοποιώντας ένα ψηφιακό φίλτρο χαμηλής διέλευσης. Αυτά συνδυάζονται χρησιμοποιώντας ένα φίλτρο παρεμβολής(interpolation Filter).Η διαδικασία για ένα interpolation με oversampling ίσο με δύο φαίνεται παρακάτω Σχ 7.1. Σχ 7.1nterpolation με oversampling ίσο με δύο Παρατηρούμε ότι παρεμβάλλοντας τα μηδενικά αυξήσαμε τον ρυθμό χωρίς να επηρεάσουμε το φασματικό περιεχόμενο του σήματος, παρεμβάλλοντας όμως ένα φίλτρο παρεμβολής έχουμε την καταστολή του ενός ειδώλου. Τα ιδανικό φίλτρο παρεμβολής έχει μια συνάρτηση μεταφοράς H L f si 1 0< f > 2 ( f) = f si Lf 0 < f > 2 2 Η κρουστική απόκριση που δίνεται από τον αντίστροφο μετασχηματισμό Furrier είναι si (7.1) 1 hn [ ] = sin cn [ ] n <, > (7.2) L Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 90

92 Μια άπειρη κρουστική απόκριση δεν είναι φυσικά εφικτή, και τα πραγματικά φίλτρα σχεδιάζονται βασισμένα στην ιδανική αυτή χαρακτηριστική απόκλιση. Οι συνηθισμένες αποκλίσεις περιλαμβάνουν passbandripple, stopband και ζώνη μετάβασης (δηλ. κλίση του φίλτρου). Αντίθετα από τον ADC, όπου το σήμα υψηλού ρυθμού δηγμάτων αποδεκατίζεται και επομένως οι απαιτήσεις του lowpass filter, και συγκεκριμένα η μείωσή του stopband, καθορίζεται αυστηρά λόγου του aliasing σε μια χαρακτηριστική εφαρμογή DAC δεν έχει καμία επόμενη δειγματοληψία του σήματος μετά από interpolator, και τα αναδιπλωμένα φάσματα από το γέμισμα με μηδέν δεν θα διπλώσουν στη ζώνη βάσης. Κατά συνέπεια, το χαμηλής διέλευσης φίλτρο θα μπορούσε θεωρητικά να παραλειφθεί. Αυτό όμως θα είχε τις αρνητικές επιπτώσεις στη γενική απόδοση, δεδομένου ότι το μεγάλο περιεχόμενο της υψηλής συχνότητας ενέργειας θα διαπότιζε το διαμορφωτή του δέλτα σίγμα και θα έκανε την επαφή μεταξύ ψηφιακών και αναλογικών στοιχείων ευαίσθητη. Η μη γραμμικότητα θα οδηγούσε επίσης σε προϊόντα διαμόρφωσης στη ζώνη βάσης Πόσο υψηλής συχνότητας περιεχόμενο ανέχεται ο διαμορφωτής εξαρτάται από το σχέδιο του διαμορφωτή. Στην έξοδο του, ο DSM θα εισαγάγει επίσης πολύ υψηλής συχνότητας δικό του περιεχόμενο, έτσι στην διεπαφή μεταξύ αναλογικών και ψηφιακών στοιχειών και ιδιαίτερα στο αναλογικό φίλτρο δεν θα έχω μεγάλες απαιτήσεις για απότομη κλήση αν καταπονηθούν τα είδωλα από το interpolation στην αρχή της διαδικασίας. Στις ακουστικές εφαρμογές, η ζώνη μετάβασης διευκρινίζεται συνήθως από το ρυθμό δειγμάτων του CD Audio που είναι 44.1kHz. Το Passband καθορίζεται μέχρι το πιθανώς ευδιάκριτο όριο 20kHz και το stopband που είναι συνήθως το 24.1kHz, αυτό δίνει μια ζώνη μετάβασης 4.1kHz. Εάν χρησιμοποιείται ένα φίλτρο παρεμβολής για όλα τα δείγματα εισαγωγής, η ζώνη μετάβασης δίνεται στην εικόνα παρακάτω Εικόνα 2Interpolator transition-band specification, based on CD-audio Πρέπει να σημειωθεί εντούτοις, ότι η ζώνη μετάβασης αυξάνεται μερικές φορές στους υψηλούς ρυθμούς δειγματοληψίας εφαρμογές και έτσι επιτρέπει να εφαρμοστούν πιο απλά φίλτρα παρεμβολής με λιγότερους συντελεστές. Πρέπει επίσης να παρατηρηθεί ότι δεν υπάρχει συνήθως μια ομοιόμορφη απαίτηση μείωσης stopband μέχρι το άπειρο. Στις υψηλές συχνότητες, ο διαμορφωτής έχει ένα υψηλό θόρυβο και η απόσβεση από το αναλογικό φίλτρο θα είναι επίσης σημαντική. Αυτό μειώνει την απαίτηση για την καταστολή των εικόνων στις συχνότητες πολύ υψηλότερες από passband και έτσι χρησιμοποιούνται αποδοτικότερα φίλτρα, τα οποία θα παρουσιαστούν αργότερα. Όπως αναφέρονται, οι απαιτήσεις για το φίλτρο σε ένα DAC είναι αρκετά διάχυτες. Η passband απόκλιση πρέπει να είναι σύμφωνα με τα ευδιάκριτα όρια, ενώ η μείωση stopband πρέπει να είναι αρκετά υψηλή για το υψηλής συχνότητας περιεχόμενο για να μην έχει επιπτώσεις στην απόδοση του υπολοίπου του μετατροπέα.. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 91

93 7.2 Πολυσταδιακή Υλοποίηση του interpolation Για να υλοποιήσουμε ένα τέτοιο φίλτρο προσεγγίζοντας όσο καλύτερα γίνεται την ιδανική χαρακτηριστική του που παρουσιάστηκε παραπάνω, θα απαιτούσε πολύ μεγάλα σε συντελεστές φίλτρα πράγμα που θα ήταν αντιοικονομικό και μη υλοποιήσιμο ιδιαίτερα σε FPGA τεχνολογία μιας και θα απαιτούσαμε πολύ μεγάλο χώρο. Εδώ θα παρουσιάσουμε με ένα παράδειγμα πως μπορούμε να αποφύγουμε τέτοιου είδους προβλήματα και υλοποιούμε τέτοιου είδους φίλτρα χωρίς να ξεφύγουμε από τις απαιτούμενες προδιαγραφές μας κατά πολύ. Θα εξετάσουμε την υλοποίηση με πολυσταδιακά φίλτρα δηλαδή με φίλτρα σε σειρά που το σύνολό τους θα έχει την απαιτούμενη χαρακτηριστική των προδιαγραφών μας. Εάν ο συντελεστής μεταβολής ρυθμού, π.χ. ο Κ σε ένα σύστημα παρεμβολής, μπορεί να αναλυθεί σε γινόμενο δύο ακεραίων Κ=Κ1Κ2, τότε είναι δυνατόν η διαδικασία παρεμβολής να υλοποιηθεί σε δύο στάδια. Φυσικά η ίδια ιδέα επεκτείνεται και σε μεγαλύτερο αριθμό σταδίων, εφόσον ο αντίστοιχος συντελεστής αλλαγής ρυθμού μπορεί να εκφραστεί σαν γινόμενο περισσοτέρων των δύο ακεραίων. Ο τύπος του φίλτρου, ο ρυθμός του αλλά και ο βαθμός του μπορεί να ποικίλη από στάδιο σε στάδιο της υλοποίησης. Θα αναφέρουμε τα πιο δημοφιλή τύπων φίλτρα και θα συγκρίνουμε την πολυπλοκότητα τους. Ο λόγος που επιθυμούμε πολυσταδιακή υλοποίηση ενός συστήματος αλλαγής ρυθμού, όπως θα γίνει φανερό στη συνέχεια, είναι επειδή επιτυγχάνεται σημαντική μείωση της υπολογιστικής πολυπλοκότητας σε σχέση με αυτή που απαιτεί η μονοσταδιακή υλοποίηση. Έστω ότι θέλουμε να φτιάξουμε ένα φίλτρο παρεμβολής για ένα σημα που έχει εύρος ζώνης fbw = 12.5 KH Z το οποίο δειγματοληπτήθηκε με συχνότητα Nyquist fs = 2 fbw, και επρόκειτο να χρησιμοποιηθεί σε έναν δέλτα σίγμα με OSR =80 δηλαδή ο ρυθμός στην έξοδο του Δέλτα Σίγμα θα είναι 2 MHz. Το ιδανικό θα ήταν να αφαιρούσε όλες τις συχνότητες μέχρι και f OSR f OSR fbw = = = 1MH z (7.3) 2 2 ' s 2 Αν το υλοποιήσουμε κατευθείαν αυτό το φίλτρο FIR θα απαιτούνταν τουλάχιστον 1900 συντελεστές και θα είχαμε και μια μείωση κατά 6.5 db στην ζώνη μετάβασης όπως φαίνεται παρακάτω Σχ 7.2 Σχ 7.2 FIR με 1900 συντελεστές Με τόσους πολλούς συντελεστές, το φίλτρο θα απαιτήσει πολλή μνήμη και πολλοί πολλαπλασιασμοί ανά δείγμα, που σημαίνει το κύκλωμα θα είναι πολύ μεγάλο και ανεπαρκές, ευτυχώς, υπάρχουν μέθοδοι για να μειώσουν την πολυπλοκότητα σημαντικά Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 92

94 Ένας τρόπος να μειωθεί ο αριθμός υπολογισμών είναι να χρησιμοποιηθούν διάφορα φίλτρα σε σειρά. Αυτό μπορεί να γίνει με ποικίλους τρόπους εμείς εδώ θα επιλέξουμε τρία στάδια, τα δύο πρώτα θα έχουν ρυθμό αύξησης δύο και το τρίτο θα έχει 20 δηλαδή 2*2*20=80. Ο τύπος των φίλτρων σε κάθε ένα στάδιο έχει σημασία τα φίλτρα με μεγαλύτερη ακρίβεια τοποθετούνται πρώτα,συνήθως το τελευταίο φίλτρο που έχει και το μεγαλύτερο ρυθμό είναι απλό στην υλοποίηση όπως το CIC φίλτρο που δούμε στην συνέχεια ή ένα Zero order Interpolation ή ακόμα και το Linear Interpolation. Τα πρώτα φίλτρα σε σειρά είναι συνήθως δυο φίλτρα Half band με διπλάσια συχνότητα αποκοπής αντίστοιχα, ή ένα απλό φίλτρο καλής χαρακτηριστικής απότομη δηλαδή και ενός αλλού φίλτρου που ονομάζεται Compensation FIR filter και χρησιμοποιείτε για διορθώσει την passband διαστρέβλωση του CIC φίλτρου αυτήν την μέθοδο θα χρησιμοποιήσουμε εμείς εδώ που είναι και η πιο δημοφιλής στους audio DAC. Με την βοήθεια της Matlab βρίσκουμε τους κατάλληλους συντελεστές των δυο πρώτων φίλτρων ενώ το τελευταίο είναι ένα CIC interpolation. Στην συνέχεια θα μελετήσουμε και θα παρουσιάσουμε το κάθε ένα στάδιο Στάδιο πρώτο είναι ένα απαλό Nyquist φίλτρο με συχνότητα δειγματοληψίας ίσο με δυο, σε αυτό το φίλτρο εισάγεται το σήμα εισόδου που έχει συχνότητα βασικής ζώνης fbw = 12.5 KH Z το οποίο δειγματοληπτήθηκε με συχνότητα Nyquist fs = 2 fbw στην έξοδο του φίλτρου θα έχουμε μια συχνότητα 50 KHz το φίλτρο σχεδιάσηικε να έχει 50 συντελεστές Το αποτέλεσμα φαίνεται παρακάτω Σχ7.4 Σχ 7.3 Προδιαγραφές του πρώτου φίλτρου Σχ 7.4Χαρακτηριστική πρώτου φίλτρου Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 93

95 Στάδιο δεύτερο είναι Compensation FIR filter και χρησιμοποιείτε για διορθώσει την passband διαστρέβλωση του CIC φίλτρου στην έξοδο του φίλτρου θα έχουμε μια συχνότητα 100 KHz το φίλτρο σχεδιάστηκε να έχει τα εξής χαρακτηρίστηκα Το αποτέλεσμα φαίνεται παρακάτω Σχ7.6 Σχ 7.5 Χαρακτηριστικά δεύτερου φίλτρου σε σειρά Σχ 7.6 Χαρακτηριστική δεύτερου φίλτρου Το τρίτο στάδιο είναι ένα CIC Interpolation φίλτρο με αύξηση ρυθμού κατά 20 η χαρακτηριστική φαίνεται παρακάτω Σχ 7.7 Σχ 7.7 Χαρακτηριστική CIC φίλτρου Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 94

96 Το αποτέλεσμα αν συνθέσουμε αυτά τα τρία σταδία είναι το ακόλουθο Σχ7.8 Σχ 7.8 Κάθε ένα φίλτρο καθώς και το τελικό Όπως μπορεί να φανεί, η ζώνη μετάβασης μπορεί να αυξηθεί για κάθε ένα επόμενο Μια καλύτερη παρουσίαση του αποτελέσματος φαίνεται παρακάτω Σχ7.9 Σχ 7.9 Τελικό Φίλτρο με Λιγότερους συντελεστές.παρατηρούμε ότι έχουμε μια πολύ μικρή απόσβεση στα 12.5 KHz. Καταφέραμε και φτιάξαμε ένα φίλτρο παρεμβολής πολύ κοντά στις προδιαγραφές μας με μόλις 80 συντελεστές. Πρέπει να αναφέρουμε ότι οι αρχιτεκτονικές για αυτά τα φίλτρα είναι διάφορες αλλά για τεχνολογία FPGA μας έχουν κάνει τι ζωή πολύ εύκολη οι εταιρίες που μας προμηθεύουν όπως Xilinx και Alter που η κάθε μια έχει ορισμένα εργαλεία που ονομάζονται IP Core και έτσι το μόνο που χρειάζεται να υπολογίσουμε είναι οι συντελεστές και αυτά κάνουν την υλοποίηση έχοντας την δυνατότητα να διαλέξουμε διάφορες αρχιτεκτονικές, τα εργαλεία αυτά είναι free αλλά όχι για όλα τα IP Core.Πρέπει να αναφέρουμε ότι τα IP CORE είναι πολύ της μόδας τελείται και παρατηρείτε μεγάλη κατεύθυνση προς τα εκεί από πολλές εταιρίες που ξεφυτρώνουν σαν μανιτάρια πουλώντας IP σε τρίτους. Παρακάτω στο σχήμα 7.10 δίνεται μια μελέτη που έγινε με τέσσερις διαφορετικές στρατηγικές προκείμενου να επιτευχθούν οι ίδιες προδιαγραφές είναι εμφανές η μείωση σε πολυπλοκότητα. Σχ 7.10 Μελέτη που έγινε με τέσσερις διαφορετικές στρατηγικές Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 95

97 7.3 Δομές φίλτρων για Interpolation Ένα [5] αιτιατό φίλτρο FIR έχει μια συνάρτηση μεταφοράς η οποία είναι πολυώνυμο του N n H ( z) = h( n) z (7.4) n= 0 Για μια είσοδο x(n) η έξοδος είναι : N yn ( ) = hkxn ( ) ( k) (7.5) k = 0 Για κάθε μια τιμή του n ο υπολογισμός του αθροίσματος αυτού απαιτεί (Ν+1) πολλαπλασιασμούς και Ν πρόσθεσης. Είναι προφανές ότι αν χρησιμοποιήσουμε αυτήν την υλοποίηση θα έχουμε πολλές πράξης. Η πιο απλή μορφή που μπορούμε να φτιάξουμε τα φίλτρα παρεμβολής είναι η μορφή που παρουσιάζεται παρακάτω. Είναι αντιληπτό ότι το zero staffing γίνεται στην αρχή, επίσης θα χρειαστούμε Ν+1 πολλαπλασιασμούς Ν καθυστερήσεις και Ν πρόσθεσης. 1 z : Εικόνα 3 Direct form implementation of FIR filter Το γεγονός ότι το σήμα εισαγωγής αποτελείται συνήθως από τα μηδενικά μπορεί να χρησιμοποιηθεί χρησιμοποιώντας τη polyphase αποσύνθεση. Χρησιμοποιώντας μια κρουστική απόκριση με μήκος 9 έχουμε Εάν ανασυγκροτούμε την εξίσωση, παίρνουμε: (7.6) (7.7) Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 96

98 και στην συνέχεια : (7.8) Ονομάζοντας το συντελεστή στην πρώτη παρένθεση E0, επόμενο E1 και τελευταίο E2, βλέπουμε ότι αυτές οι τρεις λειτουργίες είναι μόνο διαφορετικές από το μηδέν κάθε τρίτη στιγμή δειγμάτων, και μπορούμε να καθορίσουμε το H ( z ) σαν: Γενικά, η polyphase αποσύνθεση L-κλάδων μπορεί να καθοριστεί από: (7.9) L 1 m L H ( z) = z Em ( z ) m= 0 (7.10) Το γενικό διάγραμμα ροής του polyphase φίλτρου L κλάδων παρουσιάζεται στο σχήμα παρακάτω. Αρχικά είναι δύσκολο να δει κάποιος το πλεονέκτημα του φίλτρου αυτού, αλλά σύντομα θα δούμε ότι είναι πολύ αποδοτικό κατά την πραγματοποίηση του interpolators. Σχ 7.11 Efficient realization of polyphase interpolator Λόγω του zero stuffing μόνο κάθε δείγμα εισόδου L είναι διαφορετικό από το μηδέν. Για interpolator με το ρυθμό L, η polyphase σύνθεση οδηγεί σε μια μείωση κατά L φορές του αριθμού υπολογισμών ανά δευτερόλεπτο. Τα Half Band φίλτρα είναι μια υποκατηγορία των FIR φίλτρων γραμμικής φάσης που χαρακτηρίζονται από μια συμμετρική απόκριση συχνότητας, δηλ. ότι η ζώνη μετάβασης είναι ακριβώς στο μισό της Nyquist συχνότητας. Τα Half Band φίλτρα δεν είναι φυσικά ιδανικά,, αλλά η ζώνη μετάβασης είναι πάντα μισή η Nyquist συχνότητας και οι ομαλοί συντελεστές είναι πάντα μηδέν. Αυτό σημαίνει ότι το φίλτρο έχει μόνο το μισό αριθμό υπολογισμών έναντι ενός αυθαίρετου φίλτρου του ίδιου μήκους. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 97

99 7.4 Στρατηγικές εφαρμογής φίλτρων Η υλοποίηση των φίλτρων είναι από μόνη της μη τετριμμένη και η επιλεγμένη στρατηγική εξαρτάται από το στόχο, υλικό: ASIC, FPGA ή DSP.Θα εξετάσουμε μερικές πολύ χρησιμοποιημένες στρατηγικές εφαρμογής με τα πλεονεκτήματα και τα μειονεκτήματα Άμεση εφαρμογή (Direct implementation) Η αμεσότερη μέθοδος πραγματοποίησης είναι να εφαρμοστεί άμεσα το φίλτρο με άμεση μορφή. Αυτό παρουσιάζεται στο Σχ7.12. Το πλεονέκτημα της άμεσης εφαρμογής είναι ότι μπορεί να τρέξει πολύ γρήγορα, ενώ το μειονέκτημα είναι ότι μεγάλο ποσό καθυστέρησης των στοιχείων, πολλαπλασιασμών και προσθηκών οδηγεί σε μια υψηλή απαίτηση πόρων. Σχ 7.12 Direct implementation of filter transfer function Εντούτοις, αυτή η στρατηγική μπορεί να είναι αποδοτική σε μια υλοποίηση όπου οι αντί πολλαπλασιαστές χρησιμοποιούνται με διαδοχικές προσεγγίσεις δεδομένου ότι οι πολλαπλασιαστές χρησιμοποιούν τους περισσότερους πόρους, ειδικά σε μια εφαρμογή υλικού. Όταν κβαντοποιούνται, οι συντελεστές μπορούν να πραγματοποιηθούν με την διαδικασία της μετατόπισης και πρόσθεσης Αλλά και ακόμα χρησιμοποιώντας την διαδικασία της ολίσθησης αντί του πολλαπλασιασμού ο μεγάλος αριθμός σε καταχωρητές και σε αθροιστές δεν αποφεύγετε MAC Υλοποίηση Ένας πολύ δημοφιλής τρόπος για την υλοποίηση μεγάλου βαθμού φίλτρα είναι να χρησιμοποιήσουμε την αρχιτεκτονική που στηρίζετε στην εφαρμογή πολλαπλασιαστών και συσσωρευτών MAC.Χρησιμοποιώντας αυτήν την μέθοδο, περιστρέφοντας τους συντελεστές σε μια μνήμη ROM και πολλαπλασιάζοντας τους με τα διαδοχικά δείγματα της εισόδου. Η έξοδος προστίθεται σε έναν συσσωρευτή. Όταν έχουν συσσωρευτεί όλα τα δείγματα των συντελεστών, ένα ποσό συνελίξεων είναι έτοιμο και στέλνεται στην έξοδο. Τα δεδομένα εισόδου πρέπει επίσης να αποθηκευτούν σε έναν περιστροφικό καταχωρητή όπου τα νέα δείγματα μετατοπίζονται μέσα για κάθε πλήρη συνέλιξη Σχ7.13. Σχ 7.13 MAC Engine Block Diagram Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 98

100 Η εφαρμογή της MAC απαιτεί δύο καταχωρητές αποθήκευσης μήκους για ένα φίλτρο N βαθμού. Εντούτοις, δεδομένου ότι υπάρχει μόνο ένας αθροιστής και ένας πολλαπλασιαστής, η πολυπλοκότητα του κυκλώματος μειώνεται σημαντικά. Το μειονέκτημα είναι ότι οι καταχωρητές, ο πολλαπλασιαστής και ο συσσωρευτής πρέπει να λειτουργήσουν σε χρόνο Ν φορές το ρυθμό των δειγμάτων, δεδομένου ότι μια πλήρης συνέλιξη πρέπει να εκτελεσθεί για κάθε δείγμα εισαγωγής. Αυτό σημαίνει ότι η εφαρμογή της MAC δεν είναι πολύ καλή για μεγάλης ταχύτητας εφαρμογές, αλλά για χαμηλούς ρυθμούς εφαρμογές είναι πολύ αποδοτική λύση. Στις εφαρμογές audio interpolator και φιλτραρίσματος, είναι η κυρίαρχη μέθοδος εφαρμογής Distributed arithmetic implementation Η distributed arithmetic είναι αρκετά διαφορετική από τη MAC ή την άμεση πραγματοποίηση όπου στηρίζεται μόνο στους καταχωρητές ολίσθησης LUT και έναν συσσωρευτή δεν χρησιμοποιεί κανέναν πολλαπλασιαστή. Η DA είναι κυρίως μια bit σειριακή λειτουργία. Το πλεονέκτημα του DA φίλτρου είναι ότι η ρυθμός απόδοσης δειγμάτων δεν εξαρτάται από το μήκος των φίλτρων Σχ7.14. Σχ 7.14 Distributed arithmetic implementation Σε μια MAC εφαρμογή ο αριθμός διαδικασιών ανά δείγμα εισόδου είναι ανάλογος προς το μήκος L του φίλτρου, σε μια εφαρμογή DA μια παραγωγή εξόδου μπορεί να διαμορφωθεί για κάθε κύκλο ρολογιού Κ εάν η ακρίβεια εισαγωγής είναι Κ bit ανεξάρτητα από το μήκος φίλτρων. Αυτό καθιστά το DA κατάλληλος για τη μεγάλης ταχύτητας εφαρμογή. Το μειονέκτημα είναι η ανάγκη για αρκετή περιοχή γα τα υψηλότερα φίλτρα διαταγής, Η εφαρμογή της MAC είναι συνήθως περισσότερη αποδοτική, αλλά όπως αναφέρεται όχι κάλλιστα για μεγάλης ταχύτητας εφαρμογές. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 99

101 8.1 Εισαγωγή ΚΕΦΑΛΑΙΟ 8 8 Υλοποίηση και εξομοίωση του Δέλτα Σίγμα DAC Παρακάτω θα παρουσιάσουμε τον τρόπο πως από τις προδιαγραφές καταλήγουμε στην υλοποίηση του Δέλτα Σίγμα DAC. Πρέπει να αναφέρουμε ότι η μελέτη έχει γίνει μόνο για την single Bit αρχιτεκτονική και οι εξομοιώσεις έχουν γίνει θεωρώντας το interpolation τέλειο. Παρακάτω φαίνεται στην εικόνα η βασική ιδέα του διαμορφωτή Σχ8.1. Σχ 8.1 Το Μοντέλο του DAC Delta Sigma Η διαδικασία μπορεί να στηριχτεί πάνω στους ADC ιδίως για την εύρεση των κατάλληλων συντελεστών του διαμορφωτή. Η αρχιτεκτονική αυτή αποτελείτε από σύστημα κλειστού βρόχου με ανάδραση και συγκεκριμένα από ένα χαμηλοπερατό φίλτρο και από μια διαδικασία ανάδρασης του MSB, όπως φαίνεται παρακάτω Σχ8.2 Σχ 8.2 Single Bit Αρχιτεκτονική Η διαδικασία αρχίζει με την εύρεση των κατάλληλων συντελεστών ανάλογα με τον βαθμό του διαμορφωτή, το OSR και την απαίτηση για full scale input. Με χρίση μιας εφαρμογής που υλοποιήσαμε και εκτελείτε σε Dos και με την βοήθεια της Matlab δημιουργούμε το floating point μοντέλο σύμφωνα με της προδιαγραφές που εισάγουμε στο Dos. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 100

102 Η εφαρμογή είναι απλή και χρησιμοποιείτε να διευκόλυνση του χρήστη. Η εφαρμογή φαίνετε παρακάτω.σχ8.3 Σχ 8.3 Εφαρμογή Dos Αφού φτιάξουμε το μοντέλο στην Matlab μετά με χρήση ενός εργαλείου από την Xilinx που ονομάζεται AccelDSP δημιουργούμε το Fixed Point μοντέλο μας. Το εργαλείο αυτό είναι σχετικά καινούριο και έχει τεράστιες δυνατότητες. Μας παρέχει τη δυνατότητα να παράγουμε τον κώδικα VHDL που είναι πλήρης συνθέσιμος σε όλα τα FPGA ακόμα και για Full Custom Design. Μας δίνει την δυνατότητα να χρησιμοποιήσουμε διαδοχικές προσεγγίσεις με καταχωρητές ολίσθησης ώστε να αποφευχθούν οι πολλαπλασιασμοί. Το πρόγραμμα είναι διαθέσιμο για όλους με evaluation license 60 μέρες. Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 101

103 8.2 Υλοποίηση ενός δευτέρου βαθμού DAC Εδώ θα εξετάσουμε την υλοποίηση ενός δεύτερου βαθμού DAC πάνω στον οποίο μπορούμε να στηριχτούμε για να κατασκευάσουμε και μεγαλύτερους βαθμούς. Παρακάτω δίνετε ο αλγόριθμος με βάση τον οποίον βρίσκουμε τους συντελεστές του διαμορφωτή ανάλογα με της προδιαγραφές μας που είναι ο βαθμός το OSR και διάφορα αλλά που θα δούμε στην συνέχεια Σχ8.4. Σχ 8.4 Αλγόριθμος εύρεσης συντελεστών Η διαδικασία [1],έχει ως εξής αφού ορίσουμε το OSR τον βαθμό και επίπεδα του κβαντιστή εδώ για εμάς είναι 2,στην συνέχεια μέσω των συναρτήσεων synhesizentf βρίσκουμε την NTF και STF εδώ έχουμε και την δυνατότητα να κάνουμε και ένα είδος optimization με ένα όρισμα που εισάγουμε στην συνάρτηση και αυτό ερμηνεύετε στο μοντέλο ότι συμμετέχει και ο συντελεστής g για να πάμε πιο κοντά τους πόλους στα μηδενικά. Στην συνέχεια με μια άλλη συνάρτηση βρίσκουμε την μήτρα διέλευσης του συστήματός μας και αν κάνουμε χρήση της συνάρτησης scaleabcd τότε θα έχουμε την δυνατότητα να χρησιμοποιήσουμε τον διαμορφωτή για full rangε είσοδο που αυτό πάλι ερμηνεύεται στο μοντέλο ότι θα συμμετέχουν και οι συντελεστές c, αυτό αφήνεται να το αποφασίσει ο χρήστης, αν θέλει αυξάνοντας την πολυπλοκότητα του συστήματος. Έτσι καταλήγουμε στο floating point μοντέλο που φτιάχνεται άτομα σύμφωνα με το παρακάτω μοντέλο εδώ είναι δευτέρου βαθμού. Σχ 8.5 CIFB Μοντέλο δευτέρου βαθμού Δέλτα Σίγμα Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 102

104 Στην συνέχεια κάνουμε εξομοιώσεις για να δούμε διάφορες παραμέτρους και όρια που μας επιβάλλονται Σχ 8.6 Γεωμετρικός τόπος και Bode Διάγραμμα Στο Σχ8.6 βλέπουμε ότι ο θόρυβος μειώνεται μέσα στην ζώνη ενδιαφέροντα κατά 57 db και ότι είναι ευσταθές. Στην παρακάτω Σχ8.7 βλέπουμε το SNR σε σχέση με την DC είσοδο εδώ γίνεται ο έλεγχος μέχρι ποιο όριο της εισόδου είναι ευσταθές το σύστημα μας Σχ 8.7 SNR vs DC Input Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 103

105 Στα δύο επόμενα γίνεται έλεγχος στην έξοδο των ολοκληρωτών για να έχουμε μια διαίσθηση το ποσά Bit θα χρειαστούν για την υλοποίηση. Εμείς διαλέξαμε no SCALING Σχ 8.8 Κατάσταση των εξόδων των ολοκληρωτών Στην συνέχεια γίνεται μια προσομοίωση του διαμορφωτή με είσοδο 0.5 volt OSR=100, Input Bandwidth=200Hz ζώνη απόκρισης 1000 KHz. Το αποτέλεσμα είναι φαίνεται παρακάτωσχ8.9. Σχ 8.9 Έξοδος 2 order Δέλτα Σίγμα Παρατηρούμε ότι έχουμε μια ακρίβεια 13 Bit στην έξοδο αρκετά ικανοποιητικά και χωρίς εντονη παρουσία των Idle Tones Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 104

106 Το μοντέλο είναι αρκετά ικανοποιητικό και το παίρνουμε αυτόματα από την Matlab όπως φαίνετε παρακάτω Σχ 8.10 Floating Point Model Στην συνέχεια με την βοήθεια του εργαλείου AccelDSP μετατρέπουμε το μοντέλο μας σε Fixed point το εργαλείο έχει την δυνατότητα να κατεβάσει κατευθείαν το μοντέλο μας σε ένα FPGA και να κάνει real time verification καθώς και Hardware in the Loop Testing. Μια όψη του εργαλείου φαίνεται παρακάτω Σχ8.11 Σχ 8.11 AccelDSP Στιγμιότυπο Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 105

107 Τώρα είμαστε σε θέση να διαλέξουμε τι πρωτόκολλο επικοινωνίας θέλουμε να υπάρχει με αλλά περιφερειακά Push Protocol, ή Handshaking αν θέλουμε register στην έξοδο είσοδο να γίνε verification στο ModelSim ακόμα και να γίνει μοντέλο στην Matlab ή και ακόμα στο Simuling Ο κώδικας VHDL παράγετε αυτόματα με δυνατότητα χωρίς ή με πολλαπλασιαστές όπως φαίνεται παρακάτω Σχ8.12 Σχ 8.12 AccelDSP Στιγμιότυπο Εδώ μπορούμε να συγκρίνουμε τα δυο αυτά μοντέλα για να δούμε αν πετύχαμε την ίδια χαρακτηριστική Σχ8.13 Σχ 8.13 Επαληθεύσει του floating Point Κ. ΤΣΙΑΤΟΥΡΑΣ Σελίδα 106

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία ΗΜΥ 100 Εισαγωγή στην Τεχνολογία Δρ. Στέλιος Τιμοθέου ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΑ ΘΕΜΑΤΑ ΜΑΣ ΣΗΜΕΡΑ Αναλογικά και ψηφιακά συστήματα Μετατροπή

Διαβάστε περισσότερα

Αναλογικά & Ψηφιακά Κυκλώματα ιαφάνειες Μαθήματος ρ. Μηχ. Μαραβελάκης Εμ.

Αναλογικά & Ψηφιακά Κυκλώματα ιαφάνειες Μαθήματος ρ. Μηχ. Μαραβελάκης Εμ. Αναλογικά & Ψηφιακά Κυκλώματα ιαφάνειες Μαθήματος ρ. Μηχ. Μαραβελάκης Εμ. 1 Εισαγωγή Αναλογικό σήμα (analog signal): συνεχής συνάρτηση στην οποία η ανεξάρτητη μεταβλητή και η εξαρτημένη μεταβλητή (π.χ.

Διαβάστε περισσότερα

ΠΑΡΟΥΣΙΑΣΗ ΔΙΠΛΩΜΑΤΙΚΗΣ ΕΡΓΑΣΙΑΣ

ΠΑΡΟΥΣΙΑΣΗ ΔΙΠΛΩΜΑΤΙΚΗΣ ΕΡΓΑΣΙΑΣ ΠΑΡΟΥΣΙΑΣΗ ΔΙΠΛΩΜΑΤΙΚΗΣ ΕΡΓΑΣΙΑΣ ΜΕΛΕΤΗ ΔΟΜΩΝ ΜΕΤΑΤΡΟΠΕΩΝ ΑΝΑΛΟΓΙΚΟΥ ΣΗΜΑΤΟΣ ΣΕ ΨΗΦΙΑΚΟ Καραβίτης Κωνσταντίνος Α.Μ: 5030 Επιβλέπων Καθηγητής: Κ.Ευσταθίου Συνεπιβλέπων Καθηγητής: Γ.Παπαδόπουλος ΠΑΤΡΑ 2008

Διαβάστε περισσότερα

Παλμοκωδική Διαμόρφωση. Pulse Code Modulation (PCM)

Παλμοκωδική Διαμόρφωση. Pulse Code Modulation (PCM) Παλμοκωδική Διαμόρφωση Pulse Code Modulation (PCM) Pulse-code modulation (PCM) Η PCM είναι ένας στοιχειώδης τρόπος διαμόρφωσης που δεν χρησιμοποιεί φέρον! Το μεταδιδόμενο (διαμορφωμένο) σήμα PCM είναι

Διαβάστε περισσότερα

Ψηφιακή Επεξεργασία Σημάτων

Ψηφιακή Επεξεργασία Σημάτων Ψηφιακή Επεξεργασία Σημάτων Ενότητα 7: Μετατροπή Σήματος από Αναλογική Μορφή σε Ψηφιακή Δρ. Μιχάλης Παρασκευάς Επίκουρος Καθηγητής 1 Μετατροπή Αναλογικού Σήματος σε Ψηφιακό Είδη Δειγματοληψίας: Ιδανική

Διαβάστε περισσότερα

Παλμοκωδική Διαμόρφωση. Pulse Code Modulation (PCM)

Παλμοκωδική Διαμόρφωση. Pulse Code Modulation (PCM) Παλμοκωδική Διαμόρφωση Pulse Code Modulation (PCM) Pulse-code modulation (PCM) Η PCM είναι ένας στοιχειώδης τρόπος διαμόρφωσης που δεν χρησιμοποιεί φέρον! Το μεταδιδόμενο (διαμορφωμένο) σήμα PCM είναι

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ ΚΕΦΑΛΑΙΟ

ΚΕΦΑΛΑΙΟ ΚΕΦΑΛΑΙΟ ΘΕΩΡΙΑ Περιεχόμενα 1ο Μέρος ΚΕΦΑΛΑΙΟ 1...9 ΧΑΡΑΚΤΗΡΙΣΤΙΚΑ ΜΕΤΡΗΤΙΚΩΝ ΔΙΑΤΑΞΕΩΝ... 9 1.1 Εισαγωγή... 9 1.2 Ακρίβεια (Αccuracy)... 10 1.2.1 Παράδειγμα... 11 1.2.2 Παράδειγμα... 12 1.3 Σαφήνεια (Precision)...

Διαβάστε περισσότερα

Διπλωματική Εργασία Μελέτη Μετατροπέων Δέλτα Σίγμα (ΣΔ-DAC/ADC)

Διπλωματική Εργασία Μελέτη Μετατροπέων Δέλτα Σίγμα (ΣΔ-DAC/ADC) Διπλωματική Εργασία Μελέτη Μετατροπέων Δέλτα Σίγμα (ΣΔ-DAC/ADC) Τσιατούρας Κωνσταντίνος Δομή Παρουσίασης Δομή του Δέλτα Σίγμα DAC Θόρυβος Κβαντισμού Η έννοια του Oversampling Η έννοια του Noise Shaping

Διαβάστε περισσότερα

Εργαστηριακές ασκήσεις λογικών κυκλωμάτων 11 A/D-D/A

Εργαστηριακές ασκήσεις λογικών κυκλωμάτων 11 A/D-D/A 11.1 Θεωρητικό μέρος 11 A/D-D/A 11.1.1 Μετατροπέας αναλογικού σε ψηφιακό σήμα (A/D converter) με δυαδικό μετρητή Σχ.1 Μετατροπέας A/D με δυαδικό μετρητή Στο σχήμα 1 απεικονίζεται σε block diagram ένας

Διαβάστε περισσότερα

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία ιάλεξη 18

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία ιάλεξη 18 ΗΜΥ 100 Εισαγωγή στην Τεχνολογία ιάλεξη 18 14 Νοεµβρίου, 2006 Γεώργιος Έλληνας Επίκουρος Καθηγητής ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΑ ΘΕΜΑΤΑ

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 4 ΠΑΛΜΟΚΩΔΙΚΗ ΔΙΑΜΟΡΦΩΣΗ - PCM (ΜΕΡΟΣ Α)

ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 4 ΠΑΛΜΟΚΩΔΙΚΗ ΔΙΑΜΟΡΦΩΣΗ - PCM (ΜΕΡΟΣ Α) ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 4 ΠΑΛΜΟΚΩΔΙΚΗ ΔΙΑΜΟΡΦΩΣΗ - PCM (ΜΕΡΟΣ Α) 3.1. ΣΚΟΠΟΣ ΑΣΚΗΣΗΣ Σκοπός της εργαστηριακής αυτής άσκησης είναι η μελέτη της παλμοκωδικής διαμόρφωσης που χρησιμοποιείται στα σύγχρονα τηλεπικοινωνιακά

Διαβάστε περισσότερα

Συστήματα Επικοινωνιών ΙI

Συστήματα Επικοινωνιών ΙI + Διδάσκων: Δρ. Κ. Δεμέστιχας e-mail: cdemestichas@uowm.gr Συστήματα Επικοινωνιών ΙI Παλμοκωδική διαμόρφωση (PCM) I + Ιστοσελίδα nιστοσελίδα του μαθήματος: n https://eclass.uowm.gr/courses/icte302/ + Περιεχόμενα

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΕΛΟΠΟΝΝΗΣΟΥ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΕΛΟΠΟΝΝΗΣΟΥ ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙςΤΗΜΗς & ΤΕΧΝΟΛΟΓΙΑς ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΜΔΕ Προηγμένα Τηλεπικοινωνιακά Συστήματα και Δίκτυα Διάλεξη 2 η Νικόλαος Χ. Σαγιάς Επίκουρος Καθηγητής Webpage: http://eclass.uop.gr/courses/tst233

Διαβάστε περισσότερα

Διάλεξη 3. Δειγματοληψία και Ανακατασκευή Σημάτων. Δειγματοληψία και Ανακατασκευή Σημάτων. (Κεφ & 4.6,4.8)

Διάλεξη 3. Δειγματοληψία και Ανακατασκευή Σημάτων. Δειγματοληψία και Ανακατασκευή Σημάτων. (Κεφ & 4.6,4.8) University of Cyprus Biomedical Imaging & Applied Optics Διάλεξη 3 Δειγματοληψία και Ανακατασκευή (Κεφ. 4.0-4.3 & 4.6,4.8) Περιοδική δειγματοληψία (periodic sampling) Περίοδος (sampling period) T Συχνότητα

Διαβάστε περισσότερα

HMY 429: Εισαγωγή στην Επεξεργασία Ψηφιακών

HMY 429: Εισαγωγή στην Επεξεργασία Ψηφιακών HMY 429: Εισαγωγή στην Επεξεργασία Ψηφιακών Σημάτων Διάλεξη 12: Δειγματοληψία και ανακατασκευή (IV) Παρεμβολή (Interpolation) Γενικά υπάρχουν πολλοί τρόποι παρεμβολής, π.χ. κυβική παρεμβολή (cubic spline

Διαβάστε περισσότερα

Συστήματα Επικοινωνιών ΙI

Συστήματα Επικοινωνιών ΙI + Διδάσκων: Δρ. Κ. Δεμέστιχας e-mail: cdemestichas@uowm.gr Συστήματα Επικοινωνιών ΙI Διαφορική Παλμοκωδική Διαμόρφωση + Ιστοσελίδα nιστοσελίδα του μαθήματος: n https://eclass.uowm.gr/courses/icte302/ +

Διαβάστε περισσότερα

Ήχος. Τεχνολογία Πολυμέσων και Πολυμεσικές Επικοινωνίες 04-1

Ήχος. Τεχνολογία Πολυμέσων και Πολυμεσικές Επικοινωνίες 04-1 Ήχος Χαρακτηριστικά του ήχου Ψηφιοποίηση με μετασχηματισμό Ψηφιοποίηση με δειγματοληψία Κβαντοποίηση δειγμάτων Παλμοκωδική διαμόρφωση Συμβολική αναπαράσταση μουσικής Τεχνολογία Πολυμέσων και Πολυμεσικές

Διαβάστε περισσότερα

Κεφάλαιο 5 Διασύνδεση Αναλογικών & Ψηφιακών Συστημάτων

Κεφάλαιο 5 Διασύνδεση Αναλογικών & Ψηφιακών Συστημάτων Κεφάλαιο 5 Διασύνδεση Αναλογικών & Ψηφιακών Συστημάτων Αναλογικές & Ψηφιακές Διατάξεις Τα διάφορα μεγέθη των φυσικών διεργασιών τα μετράμε με αισθητήρες που ουσιαστικά παρέχουν ηλεκτρικά σήματα χαμηλής

Διαβάστε περισσότερα

4. ΚΕΦΑΛΑΙΟ ΕΦΑΡΜΟΓΕΣ ΤΟΥ ΜΕΤΑΣΧΗΜΑΤΙΣΜΟΥ FOURIER

4. ΚΕΦΑΛΑΙΟ ΕΦΑΡΜΟΓΕΣ ΤΟΥ ΜΕΤΑΣΧΗΜΑΤΙΣΜΟΥ FOURIER 4. ΚΕΦΑΛΑΙΟ ΕΦΑΡΜΟΓΕΣ ΤΟΥ ΜΕΤΑΣΧΗΜΑΤΙΣΜΟΥ FOURIER Σκοπός του κεφαλαίου είναι να παρουσιάσει μερικές εφαρμογές του Μετασχηματισμού Fourier (ΜF). Ειδικότερα στο κεφάλαιο αυτό θα περιγραφούν έμμεσοι τρόποι

Διαβάστε περισσότερα

Τηλεπικοινωνίες. Ενότητα 5: Ψηφιακή Μετάδοση Αναλογικών Σημάτων. Μιχάλας Άγγελος Τμήμα Μηχανικών Πληροφορικής ΤΕ

Τηλεπικοινωνίες. Ενότητα 5: Ψηφιακή Μετάδοση Αναλογικών Σημάτων. Μιχάλας Άγγελος Τμήμα Μηχανικών Πληροφορικής ΤΕ Τηλεπικοινωνίες Ενότητα 5: Ψηφιακή Μετάδοση Αναλογικών Σημάτων Μιχάλας Άγγελος Τμήμα Μηχανικών Πληροφορικής ΤΕ Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης Creative Commons. Για

Διαβάστε περισσότερα

27-Ιαν-2009 ΗΜΥ (ι) Βασική στατιστική (ιι) Μετατροπές: αναλογικό-σεψηφιακό και ψηφιακό-σε-αναλογικό

27-Ιαν-2009 ΗΜΥ (ι) Βασική στατιστική (ιι) Μετατροπές: αναλογικό-σεψηφιακό και ψηφιακό-σε-αναλογικό ΗΜΥ 429 2. (ι) Βασική στατιστική (ιι) Μετατροπές: αναλογικό-σεψηφιακό και ψηφιακό-σε-αναλογικό 1 (ιι) Μετατροπές: αναλογικό-σεψηφιακό και ψηφιακό-σε-αναλογικό 2 Βασικά μέρη συστήματος ΨΕΣ Φίλτρο αντι-αναδίπλωσης

Διαβάστε περισσότερα

Ιατρικά Ηλεκτρονικά. Δρ. Π. Ασβεστάς Εργαστήριο Επεξεργασίας Ιατρικού Σήματος & Εικόνας Τμήμα Τεχνολογίας Ιατρικών Οργάνων

Ιατρικά Ηλεκτρονικά. Δρ. Π. Ασβεστάς Εργαστήριο Επεξεργασίας Ιατρικού Σήματος & Εικόνας Τμήμα Τεχνολογίας Ιατρικών Οργάνων Ιατρικά Ηλεκτρονικά Δρ. Π. Ασβεστάς Εργαστήριο Επεξεργασίας Ιατρικού Σήματος & Εικόνας Τμήμα Τεχνολογίας Ιατρικών Οργάνων Χρήσιμοι Σύνδεσμοι Σημειώσεις μαθήματος: http://medisp.bme.teiath.gr/eclass/courses/tio127/

Διαβάστε περισσότερα

Εθνικό και Καποδιστριακό Πανεπιστήμιο Αθηνών Τμήμα Φυσικής Εισαγωγή στα Συστήματα Τηλεπικοινωνιών Συστήματα Παλμοκωδικής Διαμόρφωσης

Εθνικό και Καποδιστριακό Πανεπιστήμιο Αθηνών Τμήμα Φυσικής Εισαγωγή στα Συστήματα Τηλεπικοινωνιών Συστήματα Παλμοκωδικής Διαμόρφωσης Εθνικό και Καποδιστριακό Πανεπιστήμιο Αθηνών Τμήμα Φυσικής Εισαγωγή στα Συστήματα Τηλεπικοινωνιών Συστήματα Παλμοκωδικής Διαμόρφωσης Καθηγητής Ι. Τίγκελης itigelis@phys.uoa.gr ΚΒΑΝΤΙΣΗ Διαδικασία με την

Διαβάστε περισσότερα

Ιατρικά Ηλεκτρονικά. Δρ. Π. Ασβεστάς Εργαστήριο Επεξεργασίας Ιατρικού Σήματος & Εικόνας Τμήμα Τεχνολογίας Ιατρικών Οργάνων

Ιατρικά Ηλεκτρονικά. Δρ. Π. Ασβεστάς Εργαστήριο Επεξεργασίας Ιατρικού Σήματος & Εικόνας Τμήμα Τεχνολογίας Ιατρικών Οργάνων Ιατρικά Ηλεκτρονικά Δρ. Π. Ασβεστάς Εργαστήριο Επεξεργασίας Ιατρικού Σήματος & Εικόνας Τμήμα Τεχνολογίας Ιατρικών Οργάνων Χρήσιμοι Σύνδεσμοι Σημειώσεις μαθήματος: http://medisp.bme.teiath.gr/eclass/courses/tio127/

Διαβάστε περισσότερα

Κεφάλαιο 5 Διασύνδεση Αναλογικών & Ψηφιακών Συστηµάτων

Κεφάλαιο 5 Διασύνδεση Αναλογικών & Ψηφιακών Συστηµάτων Κεφάλαιο 5 Διασύνδεση Αναλογικών & Ψηφιακών Συστηµάτων Αναλογικές & Ψηφιακές Διατάξεις Control Systems Laboratory Τα διάφορα μεγέθη των φυσικών διεργασιών τα μετράμε με αισθητήρες που ουσιαστικά παρέχουν

Διαβάστε περισσότερα

Τελεστικοί Ενισχυτές

Τελεστικοί Ενισχυτές Τελεστικοί Ενισχυτές Ενισχυτές-Γενικά: Οι ενισχυτές είναι δίθυρα δίκτυα στα οποία η τάση ή το ρεύμα εξόδου είναι ευθέως ανάλογη της τάσεως ή του ρεύματος εισόδου. Υπάρχουν τέσσερα διαφορετικά είδη ενισχυτών:

Διαβάστε περισσότερα

Τεχνολογία Πολυμέσων. Ενότητα # 4: Ήχος Διδάσκων: Γεώργιος Ξυλωμένος Τμήμα: Πληροφορικής

Τεχνολογία Πολυμέσων. Ενότητα # 4: Ήχος Διδάσκων: Γεώργιος Ξυλωμένος Τμήμα: Πληροφορικής Τεχνολογία Πολυμέσων Ενότητα # 4: Ήχος Διδάσκων: Γεώργιος Ξυλωμένος Τμήμα: Πληροφορικής Χρηματοδότηση Το παρόν εκπαιδευτικό υλικό έχει αναπτυχθεί στα πλαίσια του εκπαιδευτικού έργου του διδάσκοντα. Το

Διαβάστε περισσότερα

Ημιτονοειδή σήματα Σ.Χ.

Ημιτονοειδή σήματα Σ.Χ. Ημιτονοειδή σήματα Σ.Χ. Αρμονική ταλάντωση και επειδή Ω=2πF Περιοδικό με βασική περίοδο Τ p =1/F Ημιτονοειδή σήματα Σ.Χ. 1 Ημιτονοειδή σήματα Σ.Χ. Σύμφωνα με την ταυτότητα του Euler Το ημιτονοειδές σήμα

Διαβάστε περισσότερα

Εισαγωγή στην Επεξεργασία Σήματος. Νόκας Γιώργος

Εισαγωγή στην Επεξεργασία Σήματος. Νόκας Γιώργος Εισαγωγή στην Επεξεργασία Σήματος Νόκας Γιώργος Βιβλιογραφία στον εύδοξο 1. Γ. Β. Μουστακίδης, Βασικές Τεχνικές Ψηφιακής Επεξεργασίας Σημάτων και Συστημάτων, εκδόσεις Α. Τζιόλα & Υιοί Ο.Ε., Θεσσαλονίκη,

Διαβάστε περισσότερα

Αρχές Τηλεπικοινωνιών

Αρχές Τηλεπικοινωνιών ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Αρχές Τηλεπικοινωνιών Ενότητα #12: Δειγματοληψία, κβαντοποίηση και κωδικοποίηση Χ. ΚΑΡΑΪΣΚΟΣ Τμήμα Μηχανικών Αυτοματισμών Τ.Ε.

Διαβάστε περισσότερα

Τηλεπικοινωνιακά Συστήματα Ι

Τηλεπικοινωνιακά Συστήματα Ι Τηλεπικοινωνιακά Συστήματα Ι Διάλεξη 10: Παλμοκωδική Διαμόρφωση, Διαμόρφωση Δέλτα και Πολύπλεξη Διαίρεσης Χρόνου Δρ. Μιχάλης Παρασκευάς Επίκουρος Καθηγητής 1 Παλμοκωδική Διαμόρφωση (PCM) Παλμοκωδική Διαμόρφωση

Διαβάστε περισσότερα

Ι. Ν. ΛΥΓΟΥΡΑΣ ΚΑΘΗΓΗΤΗΣ ΠΟΛΥΤΕΧΝΙΚΗΣ ΣΧΟΛΗΣ Δ. Π. Θ

Ι. Ν. ΛΥΓΟΥΡΑΣ ΚΑΘΗΓΗΤΗΣ ΠΟΛΥΤΕΧΝΙΚΗΣ ΣΧΟΛΗΣ Δ. Π. Θ Ι. Ν. ΛΥΓΟΥΡΑΣ ΚΑΘΗΓΗΤΗΣ ΠΟΛΥΤΕΧΝΙΚΗΣ ΣΧΟΛΗΣ Δ. Π. Θ Έκδοση 4 η 4 Στη Χαρά τον Νίκο και τον Λευτέρη 5 6 ΠΕΡΙΕΧΟΜΕΝΑ ΠΡΟΛΟΓΟΣ 15 ΚΕΦΑΛΑΙΟ 1 ΕΣΩΤΕΡΙΚΗ ΔΟΜΗ ΤΟΥ ΤΕΛΕΣΤΙΚΟΥ ΕΝΙΣΧΥΤΗ 1.1. ΕΙΣΑΓΩΓΗ 19 1.2. Ο

Διαβάστε περισσότερα

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 1) Να μετατρέψετε τον δεκαδικό αριθμό (60,25) 10, στον αντίστοιχο δυαδικό 11111,11 111001,01 111100,01 100111,1 111100,01 2)

Διαβάστε περισσότερα

Εισαγωγή στις Τηλεπικοινωνίες / Εργαστήριο

Εισαγωγή στις Τηλεπικοινωνίες / Εργαστήριο ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Εισαγωγή στις Τηλεπικοινωνίες / Εργαστήριο Εργαστηριακή Άσκηση 7: Κβάντιση και Κωδικοποίηση Σημάτων Προσομοίωση σε Η/Υ Δρ. Ηρακλής

Διαβάστε περισσότερα

Ιατρικά Ηλεκτρονικά. Δρ. Π. Ασβεστάς Εργαστήριο Επεξεργασίας Ιατρικού Σήματος & Εικόνας Τμήμα Τεχνολογίας Ιατρικών Οργάνων

Ιατρικά Ηλεκτρονικά. Δρ. Π. Ασβεστάς Εργαστήριο Επεξεργασίας Ιατρικού Σήματος & Εικόνας Τμήμα Τεχνολογίας Ιατρικών Οργάνων Ιατρικά Ηλεκτρονικά Δρ. Π. Ασβεστάς Εργαστήριο Επεξεργασίας Ιατρικού Σήματος & Εικόνας Τμήμα Τεχνολογίας Ιατρικών Οργάνων Χρήσιμοι Σύνδεσμοι Σημειώσεις μαθήματος: http://medisp.bme.teiath.gr/eclass/courses/tio127/

Διαβάστε περισσότερα

Μετάδοση πληροφορίας - Διαμόρφωση

Μετάδοση πληροφορίας - Διαμόρφωση Μετάδοση πληροφορίας - Διαμόρφωση MYE006: ΑΣΥΡΜΑΤΑ ΔΙΚΤΥΑ Ευάγγελος Παπαπέτρου ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ ΤΜΗΜΑ ΜΗΧ. Η/Υ & ΠΛΗΡΟΦΟΡΙΚΗΣ Διάρθρωση μαθήματος Μετάδοση Βασικές έννοιες Διαμόρφωση ορισμός είδη

Διαβάστε περισσότερα

Μετάδοση πληροφορίας - Διαμόρφωση

Μετάδοση πληροφορίας - Διαμόρφωση ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ ΤΜΗΜΑ ΜΗΧ. Η/Υ & ΠΛΗΡΟΦΟΡΙΚΗΣ Μετάδοση πληροφορίας - Διαμόρφωση MYE006-ΠΛΕ065: ΑΣΥΡΜΑΤΑ ΔΙΚΤΥΑ Ευάγγελος Παπαπέτρου Διάρθρωση μαθήματος Βασικές έννοιες μετάδοσης Διαμόρφωση ορισμός

Διαβάστε περισσότερα

1. ΤΕΛΕΣΤΙΚΟΙ ΕΝΙΣΧΥΤΕΣ

1. ΤΕΛΕΣΤΙΚΟΙ ΕΝΙΣΧΥΤΕΣ 1. ΤΕΛΕΣΤΙΚΟΙ ΕΝΙΣΧΥΤΕΣ Ο τελεστικός ενισχυτής αποτελεί την βασική δομική μονάδα των περισσοτέρων αναλογικών κυκλωμάτων. Στην ενότητα αυτή θα μελετήσουμε τις ιδιότητες του τελεστικού ενισχυτή, μερικά βασικά

Διαβάστε περισσότερα

Συστήματα Αυτόματου Ελέγχου

Συστήματα Αυτόματου Ελέγχου ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Συστήματα Αυτόματου Ελέγχου Ενότητα : Ψηφιακός Έλεγχος Συστημάτων Aναστασία Βελώνη Τμήμα Η.Υ.Σ Άδειες Χρήσης Το παρόν εκπαιδευτικό

Διαβάστε περισσότερα

Τελεστικοί Ενισχυτές

Τελεστικοί Ενισχυτές Τελεστικοί Ενισχυτές Ο Τελεστικός Ενισχυτής (ΤΕ) αποτελεί ένα ιδιαίτερο είδος ενισχυτή, το οποίο έχει ευρύτατη αποδοχή ως δομικό στοιχείο των ηλεκτρονικών κυκλωμάτων. Η μεγάλη του δημοτικότητα οφείλεται

Διαβάστε περισσότερα

Εφαρμογή στις ψηφιακές επικοινωνίες

Εφαρμογή στις ψηφιακές επικοινωνίες Δειγματοληψία Εφαρμογή στις ψηφιακές επικοινωνίες Γεννήτρια σήματος RF, (up converter Ενισχυτής) Προενισχυτής down-converter Ψηφιοποιητής σήματος RF Μονάδα ψηφ. επεξεργασίας Μονάδα ψηφ. επεξεργασίας 100

Διαβάστε περισσότερα

Ο ΜΕΤΑΣΧΗΜΑΤΙΣΜΟΣ Ζ διακριτές σήματα και συστήματα διακριτού χρόνου χρονοσειρές (time series)

Ο ΜΕΤΑΣΧΗΜΑΤΙΣΜΟΣ Ζ διακριτές σήματα και συστήματα διακριτού χρόνου χρονοσειρές (time series) Ο ΜΕΤΑΣΧΗΜΑΤΙΣΜΟΣ Ζ Είναι σύνηθες να μελετάμε διάφορα φαινόμενα σε διακριτές (και όχι συνεχείς) τιμές της μεταβλητής του χρόνου, οπότε, μιλάμε για για σήματα και συστήματα διακριτού χρόνου. Τα σήματα διακριτού

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 7 Τελεστικός ενισχυτής

ΚΕΦΑΛΑΙΟ 7 Τελεστικός ενισχυτής ΚΕΦΑΛΑΙΟ 7 Τελεστικός ενισχυτής Ο τελεστικός ενισχυτής, TE (operational ampliier, op-amp) είναι ένα από τα πιο χρήσιμα αναλογικά κυκλώματα. Κατασκευάζεται ως ολοκληρωμένο κύκλωμα (integrated circuit) και

Διαβάστε περισσότερα

Να σχεδιαστεί ένας ενισχυτής κοινού εκπομπού (σχ.1) με τα εξής χαρακτηριστικά: R 2.3 k,

Να σχεδιαστεί ένας ενισχυτής κοινού εκπομπού (σχ.1) με τα εξής χαρακτηριστικά: R 2.3 k, Να σχεδιαστεί ένας ενισχυτής κοινού εκπομπού (σχ) με τα εξής χαρακτηριστικά: 3 k, 50, k, S k και V 5 α) Nα υπολογιστούν οι τιμές των αντιστάσεων β) Να επιλεγούν οι χωρητικότητες C, CC έτσι ώστε ο ενισχυτής

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΗΛΕΚΤΡΟΝΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΕΦΑΡΜΟΓΩΝ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΗΛΕΚΤΡΟΝΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΗΛΕΚΤΡΟΝΙΚΗΣ ΚΑΙ ΥΠΟΛΟΓΙΣΤΩΝ ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΠΡΟΗΓΜΕΝΑ ΜΙΚΤΑ ΑΝΑΛΟΓΙΚΑ / ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΚΑΙ ΔΙΑΤΑΞΕΙΣ

Διαβάστε περισσότερα

Τεχνολογικό Eκπαιδευτικό Ίδρυμα Kρήτης TMHMA MHXANOΛOΓIAΣ. Δρ. Φασουλάς Γιάννης

Τεχνολογικό Eκπαιδευτικό Ίδρυμα Kρήτης TMHMA MHXANOΛOΓIAΣ. Δρ. Φασουλάς Γιάννης Τεχνολογικό Eκπαιδευτικό Ίδρυμα Kρήτης TMHMA MHXANOΛOΓIAΣ Δρ. Φασουλάς Γιάννης jfasoulas@staff.teicrete.gr Θα μάθετε: Έννοιες που σχετίζονται με την μετατροπή μεταξύ αναλογικών και ψηφιακών σημάτων Πώς

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ Σχολή Θετικών Επιστημών Τεχνολογίας Τηλεπικοινωνιών Τμήμα Επιστήμης και Τεχνολογίας Τηλεπικοινωνιών ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ ΕΠΙΚΟΙΝΩΝΙΕΣ ΙI Εργαστήριο 9 ο : Δειγματοληψία και Ανασύσταση

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΕΛΟΠΟΝΝΗΣΟΥ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΕΛΟΠΟΝΝΗΣΟΥ ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ & ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ Κ 17 Επικοινωνίες ΙΙ Χειμερινό Εξάμηνο Διάλεξη 5 η Νικόλαος Χ. Σαγιάς Επίκουρος Καθηγητής Webpage: http://eclass.uop.gr/courses/tst215

Διαβάστε περισσότερα

Εισαγωγή στις Τηλεπικοινωνίες / Εργαστήριο

Εισαγωγή στις Τηλεπικοινωνίες / Εργαστήριο ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Εισαγωγή στις Τηλεπικοινωνίες / Εργαστήριο Εργαστηριακή Άσκηση 8: Κβάντιση και παλμοκωδική διαμόρφωση - Πειραματική μελέτη Δρ.

Διαβάστε περισσότερα

Εισαγωγή στις Τηλεπικοινωνίες

Εισαγωγή στις Τηλεπικοινωνίες ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Εισαγωγή στις Τηλεπικοινωνίες Ενότητα 3: Δειγματοληψία και Ανακατασκευή Σημάτων Όνομα Καθηγητή: Δρ. Ηρακλής Σίμος Τμήμα: Ηλεκτρονικών

Διαβάστε περισσότερα

Εισαγωγή στις Τηλεπικοινωνίες

Εισαγωγή στις Τηλεπικοινωνίες ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Εισαγωγή στις Τηλεπικοινωνίες Ενότητα 4: Κβάντιση και Κωδικοποίηση Σημάτων Όνομα Καθηγητή: Δρ. Ηρακλής Σίμος Τμήμα: Ηλεκτρονικών

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Μετατροπή Αναλογικού Σήματος σε και Ψηφιακού Σήματος σε Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Εισαγωγή A/D Ψηφιακή Επεξεργασία

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ ΠΕΡΙΕΧΟΜΕΝΑ.3 ΑΣΥΓΧΡΟΝΟΣ ΔYΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.5 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.7 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ ΜΕ LATCH.

ΠΕΡΙΕΧΟΜΕΝΑ ΠΕΡΙΕΧΟΜΕΝΑ.3 ΑΣΥΓΧΡΟΝΟΣ ΔYΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.5 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.7 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ ΜΕ LATCH. ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΗΛΕΚΤΡΟΝΙΚΗΣ & ΥΠΟΛΟΓΙΣΤΩΝ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ & ΣΥΣΤΗΜΑΤΑ ΣΗΜΕΙΩΣΕΙΣ ΑΠΑΡΙΘΜΗΤΕΣ Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ

Διαβάστε περισσότερα

Ιατρικά Ηλεκτρονικά. Δρ. Π. Ασβεστάς Τμήμα Μηχανικών Βιοϊατρικής Τεχνολογίας Τ.Ε

Ιατρικά Ηλεκτρονικά. Δρ. Π. Ασβεστάς Τμήμα Μηχανικών Βιοϊατρικής Τεχνολογίας Τ.Ε Ιατρικά Ηλεκτρονικά Δρ. Π. Ασβεστάς Τμήμα Μηχανικών Βιοϊατρικής Τεχνολογίας Τ.Ε Χρήσιμοι Σύνδεσμοι Σημειώσεις μαθήματος: http://medisp.bme.teiath.gr/eclass/courses/tio127/ https://eclass.teiath.gr/courses/tio101/

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ Σχολή Οικονομίας Διοίκησης και Πληροφορικής Τμήμα Πληροφορικής και Τηλεπικοινωνιών ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ Αρχές Τηλ/ων Συστημάτων Εργαστήριο 7 ο : Δειγματοληψία και Ανασύσταση Βασική

Διαβάστε περισσότερα

Μετάδοση σήματος PCM

Μετάδοση σήματος PCM Μετάδοση σήματος PCM Συγχρονισμός ΌπωςσεόλατασυστήματαTDM, απαιτείται συγχρονισμός μεταξύ πομπού και δέκτη Εάν τα ρολόγια στον πομπό και τον δέκτη διαφέρουν, αυτό θα οδηγήσει σε παραμορφώσεις του σήματος

Διαβάστε περισσότερα

ΠΑΡΑΔΟΤΕΟ (Π b) ΥΠΗΡΕΣΙΑ ΕΡΓΑΣΤΗΡΙΟΥ ΣΧΕΔΙΑΣΜΟΥ ΚΑΙ ΑΝΑΛΥΣΗΣ ΕΥΡΥΖΩΝΙΚΩΝ ΔΙΚΤΥΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ

ΠΑΡΑΔΟΤΕΟ (Π b) ΥΠΗΡΕΣΙΑ ΕΡΓΑΣΤΗΡΙΟΥ ΣΧΕΔΙΑΣΜΟΥ ΚΑΙ ΑΝΑΛΥΣΗΣ ΕΥΡΥΖΩΝΙΚΩΝ ΔΙΚΤΥΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ ΠΑΡΑΔΟΤΕΟ (Π 3.2.2.1b) ΓΙΑ ΤΟ ΥΠΟΕΡΓΟ 2 «ΑΝΑΠΤΥΞΗ ΥΠΗΡΕΣΙΩΝ ΠΡΟΣΤΙΘΕΜΕΝΗΣ ΑΞΙΑΣ ΕΙΚΟΝΙΚΩΝ ΕΡΓΑΣΤΗΡΙΩΝ» ΤΟΥ ΕΡΓΟΥ «ΥΠΗΡΕΣΙΕΣ ΕΙΚΟΝΙΚΩΝ ΕΡΓΑΣΤΗΡΙΩΝ ΤΟΥ ΤΕΙ ΑΘΗΝΑΣ» (MIS 304191) ΥΠΗΡΕΣΙΑ ΕΡΓΑΣΤΗΡΙΟΥ ΣΧΕΔΙΑΣΜΟΥ

Διαβάστε περισσότερα

Ραδιοτηλεοπτικά Συστήματα Ενότητα 3: Θεωρία Ψηφιοποίησης

Ραδιοτηλεοπτικά Συστήματα Ενότητα 3: Θεωρία Ψηφιοποίησης ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Ραδιοτηλεοπτικά Συστήματα Ενότητα 3: Θεωρία Ψηφιοποίησης Δρ. Νικόλαος- Αλέξανδρος Τάτλας Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε Κάντε

Διαβάστε περισσότερα

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΗΛΕΚΤΡΟΝΙΚΗΣ & ΥΠΟΛΟΓΙΣΤΩΝ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ & ΣΥΣΤΗΜΑΤΑ ΣΗΜΕΙΩΣΕΙΣ ΑΠΑΡΙΘΜΗΤΕΣ Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ

Διαβάστε περισσότερα

Εισαγωγή στα ψηφιακά Συστήµατα Μετρήσεων

Εισαγωγή στα ψηφιακά Συστήµατα Μετρήσεων 1 Εισαγωγή στα ψηφιακά Συστήµατα Μετρήσεων 1.1 Ηλεκτρικά και Ηλεκτρονικά Συστήµατα Μετρήσεων Στο παρελθόν χρησιµοποιήθηκαν µέθοδοι µετρήσεων που στηριζόταν στις αρχές της µηχανικής, της οπτικής ή της θερµοδυναµικής.

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΕΛΟΠΟΝΝΗΣΟΥ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΕΛΟΠΟΝΝΗΣΟΥ ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ & ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ Κ 17 Επικοινωνίες ΙΙ Χειμερινό Εξάμηνο Διάλεξη 7 η Νικόλαος Χ. Σαγιάς Επίκουρος Καθηγητής Webpage: http://eclass.uop.gr/courses/tst15

Διαβάστε περισσότερα

Ιατρικά Ηλεκτρονικά. Χρήσιμοι Σύνδεσμοι. ΙΑΤΡΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ - ΔΙΑΛΕΞΗ 1η. Σημειώσεις μαθήματος: E mail:

Ιατρικά Ηλεκτρονικά. Χρήσιμοι Σύνδεσμοι. ΙΑΤΡΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ - ΔΙΑΛΕΞΗ 1η. Σημειώσεις μαθήματος: E mail: Ιατρικά Ηλεκτρονικά Δρ. Π. Ασβεστάς Τμήμα Μηχανικών Βιοϊατρικής Τεχνολογίας Τ.Ε Χρήσιμοι Σύνδεσμοι Σημειώσεις μαθήματος: http://medisp.bme.teiath.gr/eclass/courses/tio127/ E mail: pasv@teiath.gr 2 1 ΠΕΡΙΕΧΟΜΕΝΑ

Διαβάστε περισσότερα

ΔΙΔΑΣΚΩΝ: Λ. ΜΠΙΣΔΟΥΝΗΣ ΛΥΣΕΙΣ ΕΞΕΤΑΣΗΣ ΣΤΟ ΜΑΘΗΜΑ «ΤΕΧΝΟΛΟΓΙΑ ΜΕΤΡΗΣΕΩΝ» ΗΜΕΡΟΜΗΝΙΑ: 28/01/2015

ΔΙΔΑΣΚΩΝ: Λ. ΜΠΙΣΔΟΥΝΗΣ ΛΥΣΕΙΣ ΕΞΕΤΑΣΗΣ ΣΤΟ ΜΑΘΗΜΑ «ΤΕΧΝΟΛΟΓΙΑ ΜΕΤΡΗΣΕΩΝ» ΗΜΕΡΟΜΗΝΙΑ: 28/01/2015 ΛΥΣΕΙΣ ΕΞΕΤΑΣΗΣ ΣΤΟ ΜΑΘΗΜΑ «ΤΕΧΝΟΛΟΓΙΑ ΜΕΤΡΗΣΕΩΝ» ΗΜΕΡΟΜΗΝΙΑ: 8//5 ΘΕΜΑ ο (.5 μονάδες) Η έξοδος του αισθητήρα του παρακάτω σχήματος είναι γραμμικό σήμα τάσης, το οποίο εφαρμόζεται για χρονικό διάστημα

Διαβάστε περισσότερα

Συστήματα Επικοινωνιών ΙI

Συστήματα Επικοινωνιών ΙI + Διδάσκων: Δρ. Κ. Δεμέστιχας e-mail: cdemestichas@uowm.gr Συστήματα Επικοινωνιών ΙI Εισαγωγή Δειγματοληψία + Περιεχόμενα n Εισαγωγή n αναλογικό η ψηφιακό σήμα; n ψηφιακά συστήματα επικοινωνιών n Δειγματοληψία

Διαβάστε περισσότερα

6. Τελεστικοί ενισχυτές

6. Τελεστικοί ενισχυτές 6. Τελεστικοί ενισχυτές 6. Εισαγωγή Ο τελεστικός ενισχυτής (OP AMP) είναι ένας ενισχυτής με μεγάλη απολαβή στον οποίο προσαρτάται ανάδραση, ώστε να ελέγχεται η λειτουργία του. Χρησιμοποιείται για την πραγματοποίηση

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016 ΤΕΧΝΟΛΟΓΙΑ (ΙΙ) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Ψηφιακά Ηλεκτρονικά

Διαβάστε περισσότερα

ΘΕΩΡΙΑ ΠΛΗΡΟΦΟΡΙΑΣ. Κεφάλαιο 7-8 : Συστήματα Δειγματοληψία Χρήστος Ξενάκης. Πανεπιστήμιο Πειραιώς, Τμήμα Ψηφιακών Συστημάτων

ΘΕΩΡΙΑ ΠΛΗΡΟΦΟΡΙΑΣ. Κεφάλαιο 7-8 : Συστήματα Δειγματοληψία Χρήστος Ξενάκης. Πανεπιστήμιο Πειραιώς, Τμήμα Ψηφιακών Συστημάτων ΘΕΩΡΙΑ ΠΛΗΡΟΦΟΡΙΑΣ Κεφάλαιο 7-8 : Συστήματα Δειγματοληψία Χρήστος Ξενάκης Πανεπιστήμιο Πειραιώς, Τμήμα Ψηφιακών Συστημάτων Περιεχόμενα Ομιλίας Κεφάλαιο 7 ο Ταξινόμηση Συστημάτων Κρουστική Απόκριση Κεφάλαιο

Διαβάστε περισσότερα

Θεώρημα δειγματοληψίας

Θεώρημα δειγματοληψίας Δειγματοληψία Θεώρημα δειγματοληψίας Ένα βαθυπερατό σήμα πεπερασμένης ενέργειας που δεν περιέχει συχνότητες μεγαλύτερες των W Hertz μπορεί να περιγραφθεί πλήρως από τις τιμές του σε χρονικές στιγμές ισαπέχουσες

Διαβάστε περισσότερα

Συστήματα Επικοινωνιών

Συστήματα Επικοινωνιών Συστήματα Επικοινωνιών Ενότητα 9: Παλμοκωδική Διαμόρφωση (PCM) Μιχαήλ Λογοθέτης Πολυτεχνική Σχολή Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σκοποί ενότητας Περιγραφή της μεθόδου παλμοκωδικής

Διαβάστε περισσότερα

Κεφάλαιο 1 ο. Βασικά στοιχεία των Κυκλωμάτων

Κεφάλαιο 1 ο. Βασικά στοιχεία των Κυκλωμάτων Κεφάλαιο 1 ο Βασικά στοιχεία των Κυκλωμάτων Ένα ηλεκτρικό/ηλεκτρονικό σύστημα μπορεί εν γένει να παρασταθεί από ένα κυκλωματικό διάγραμμα ή δικτύωμα, το οποίο αποτελείται από στοιχεία δύο ακροδεκτών συνδεδεμένα

Διαβάστε περισσότερα

Η πρωτεύουσα διάταξη Α, για την αποστολή θερμοκρασιακών δεδομένων μέσω υπέρυθρης ζεύξης.

Η πρωτεύουσα διάταξη Α, για την αποστολή θερμοκρασιακών δεδομένων μέσω υπέρυθρης ζεύξης. Κεφάλαιο 7 Η πρωτεύουσα διάταξη Α, για την αποστολή θερμοκρασιακών δεδομένων μέσω υπέρυθρης ζεύξης Εναλλακτική λύση στο πρόβλημα της μετάδοσης της πληροφορίας από το ρότορα είναι η χρήση υπέρυθρης ζεύξης

Διαβάστε περισσότερα

Διπλωματική Εργασία του φοιτητή του Τμήματος Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών της Πολυτεχνικής Σχολής του Πανεπιστημίου Πατρών

Διπλωματική Εργασία του φοιτητή του Τμήματος Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών της Πολυτεχνικής Σχολής του Πανεπιστημίου Πατρών ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ: Ηλεκτρονικής και Υπολογιστών ΕΡΓΑΣΤΗΡΙΟ Διπλωματική Εργασία του φοιτητή του Τμήματος Ηλεκτρολόγων Μηχανικών και Τεχνολογίας

Διαβάστε περισσότερα

15/3/2009. Ένα ψηφιακό σήμα είναι η κβαντισμένη εκδοχή ενός σήματος διάκριτου. χρόνου. Φλώρος Ανδρέας Επίκ. Καθηγητής

15/3/2009. Ένα ψηφιακό σήμα είναι η κβαντισμένη εκδοχή ενός σήματος διάκριτου. χρόνου. Φλώρος Ανδρέας Επίκ. Καθηγητής 15/3/9 Από το προηγούμενο μάθημα... Ένα ψηφιακό σήμα είναι η κβαντισμένη εκδοχή ενός σήματος διάκριτου Μάθημα: «Ψηφιακή Επεξεργασία Ήχου» Δάλ Διάλεξη 3 η : «Επεξεργαστές Ε ξ έ Δυναμικής Περιοχής» Φλώρος

Διαβάστε περισσότερα

Διαδικασία Ψηφιοποίησης (1/2)

Διαδικασία Ψηφιοποίησης (1/2) Διαδικασία Ψηφιοποίησης (1/2) Η διαδικασία ψηφιοποίησης περιλαμβάνει: Φιλτράρισμα και δειγματοληψία Κβαντισμό και κωδικοποίηση Φιλτράρισμα και δειγματοληψία Κβαντισμός και κωδικοποίηση Κβαντισμός Τα αναλογικά

Διαβάστε περισσότερα

Ήχος και φωνή. Τεχνολογία Πολυµέσων 04-1

Ήχος και φωνή. Τεχνολογία Πολυµέσων 04-1 Ήχος και φωνή Φύση του ήχου Ψηφιοποίηση µε µετασχηµατισµό Ψηφιοποίηση µε δειγµατοληψία Παλµοκωδική διαµόρφωση Αναπαράσταση µουσικής Ανάλυση και σύνθεση φωνής Μετάδοση φωνής Τεχνολογία Πολυµέσων 4-1 Φύση

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΕΛΟΠΟΝΝΗΣΟΥ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΕΛΟΠΟΝΝΗΣΟΥ ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ & ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ Κ 17 Επικοινωνίες ΙΙ Χειμερινό Εξάμηνο Διάλεξη 9 η Νικόλαος Χ. Σαγιάς Επίκουρος Καθηγητής Webpage: http://eclass.uop.gr/courses/tst215

Διαβάστε περισσότερα

Τελεστικοί Ενισχυτές. Σπύρος Νικολαΐδης Αναπληρωτής Καθηγητής Τομέας Ηλεκτρονικής & ΗΥ Τμήμα Φυσικής

Τελεστικοί Ενισχυτές. Σπύρος Νικολαΐδης Αναπληρωτής Καθηγητής Τομέας Ηλεκτρονικής & ΗΥ Τμήμα Φυσικής Τελεστικοί Ενισχυτές Σπύρος Νικολαΐδης Αναπληρωτής Καθηγητής Τομέας Ηλεκτρονικής & ΗΥ Τμήμα Φυσικής Ο ιδανικός τελεστικός ενισχυτής Είσοδος αντιστροφής Ισοδύναμα Είσοδος μη αντιστροφής A( ) A d 2 1 2 1

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007 Μάθημα : Ψηφιακά Ηλεκτρονικά Τεχνολογία ΙΙ Τεχνικών Σχολών, Θεωρητικής Κατεύθυνσης

Διαβάστε περισσότερα

Ενότητα 4: Δειγματοληψία - Αναδίπλωση

Ενότητα 4: Δειγματοληψία - Αναδίπλωση Ενότητα 4: Δειγματοληψία - Αναδίπλωση Σήματα και Συστήματα Τα συστήματα επεξεργάζονται ένα ή περισσότερα σήματα: Το παραπάνω σύστημα μετατρέπει το σήμα x(t) σε y(t). π.χ. Σε ένα σήμα ήχου μπορεί να ενισχύσει

Διαβάστε περισσότερα

ΜΕΤΑΤΡΟΠΕΙΣ D/A & A/D

ΜΕΤΑΤΡΟΠΕΙΣ D/A & A/D ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΕΦΑΛΑΙΟ 5ο ΜΕΤΑΤΡΟΠΕΙΣ D/A & A/D Μετατροπή αναλογικών σημάτων σε ψηφιακά & αντιστρόφως ADC (Analog to Digital Converter) Μετατρέπει τα αναλογικά σήματα σε ψηφιακά για να μπορούμε να

Διαβάστε περισσότερα

ΜΕΤΑΤΡΟΠΕΙΣ ΣΥΝΕΧΟΥΣ ΡΕΥΜΑΤΟΣ

ΜΕΤΑΤΡΟΠΕΙΣ ΣΥΝΕΧΟΥΣ ΡΕΥΜΑΤΟΣ ΜΑΘ.. 12 ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΜΕΤΑΤΡΟΠΕΙΣ ΣΥΝΕΧΟΥΣ ΡΕΥΜΑΤΟΣ 1. ΓΕΝΙΚΑ Οι μετατροπείς συνεχούς ρεύματος επιτελούν τη μετατροπή μιας τάσης συνεχούς μορφής, σε συνεχή τάση με ρυθμιζόμενο σταθερό πλάτος ή και πολικότητα.

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΕΛΟΠΟΝΝΗΣΟΥ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΕΛΟΠΟΝΝΗΣΟΥ ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ & ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ Κ 17 Επικοινωνίες ΙΙ Χειμερινό Εξάμηνο Διάλεξη 8 η Νικόλαος Χ. Σαγιάς Επίκουρος Καθηγητής Webpage: http://eclass.uop.gr/courses/tst15

Διαβάστε περισσότερα

Συστήματα Πολυμέσων. Ενότητα 2: Εισαγωγικά θέματα Ψηφιοποίησης. Θρασύβουλος Γ. Τσιάτσος Τμήμα Πληροφορικής ΑΡΙΣΤΟΤΕΛΕΙΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΟΝΙΚΗΣ

Συστήματα Πολυμέσων. Ενότητα 2: Εισαγωγικά θέματα Ψηφιοποίησης. Θρασύβουλος Γ. Τσιάτσος Τμήμα Πληροφορικής ΑΡΙΣΤΟΤΕΛΕΙΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΟΝΙΚΗΣ ΑΡΙΣΤΟΤΕΛΕΙΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΟΝΙΚΗΣ ΑΝΟΙΧΤΑ ΑΚΑΔΗΜΑΙΚΑ ΜΑΘΗΜΑΤΑ Ενότητα 2: Εισαγωγικά θέματα Ψηφιοποίησης Θρασύβουλος Γ. Τσιάτσος Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ ΠΕΡΙΕΧΟΜΕΝΑ Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ 1.1 Εισαγωγή...11 1.2 Τα κύρια αριθμητικά Συστήματα...12 1.3 Μετατροπή αριθμών μεταξύ των αριθμητικών συστημάτων...13 1.3.1 Μετατροπή ακέραιων

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΕΛΟΠΟΝΝΗΣΟΥ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΕΛΟΠΟΝΝΗΣΟΥ ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΕΛΟΠΟΝΝΗΣΟΥ Κβάντιση και Κωδικοποίηση ΨΗΦΙΑΚΕΣ ΕΠΙΚΟΙΝΩΝΙΕΣ Χειμερινό Εξάμηνο Τμήμα Πληροφορικής και Τηλεπικοινωνίων Νικόλαος Χ. Σαγιάς Αναπληρωτής Καθηγητής Webpage: http://eclass.uop.gr/courses/tst15

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ ΠΑΤΡΑΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ 21/06/2011 ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΙΑΣ

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ ΠΑΤΡΑΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ 21/06/2011 ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ 2/06/20 ΘΕΜΑ ο (2 μονάδες) Το ρεύμα που διαρρέει κλάδο ενός ηλεκτρικού δικτύου μετρήθηκε με ηλεκτρονικό πολύμετρο και προέκυψαν οι ακόλουθες μετρήσεις: Μέτρηση Τιμή (ma) 4.75

Διαβάστε περισσότερα

ΑΝΑΛΥΣΗ ΣΗΜΑΤΩΝ ΚΑΙ ΣΥΣΤΗΜΑΤΩΝ ΜΕ ΤΟ ΜΕΤΑΣΧΗΜΑΤΙΣΜΟ FOURIER

ΑΝΑΛΥΣΗ ΣΗΜΑΤΩΝ ΚΑΙ ΣΥΣΤΗΜΑΤΩΝ ΜΕ ΤΟ ΜΕΤΑΣΧΗΜΑΤΙΣΜΟ FOURIER ΑΝΑΛΥΣΗ ΣΗΜΑΤΩΝ ΚΑΙ ΣΥΣΤΗΜΑΤΩΝ ΜΕ ΤΟ ΜΕΤΑΣΧΗΜΑΤΙΣΜΟ FOURIER Ανάλυση σημάτων και συστημάτων Ο μετασχηματισμός Fourier (DTFT και DFT) είναι σημαντικότατος για την ανάλυση σημάτων και συστημάτων Εντοπίζει

Διαβάστε περισσότερα

ΤΗΛ412 Ανάλυση & Σχεδίαση (Σύνθεση) Τηλεπικοινωνιακών Διατάξεων. Διάλεξη 6. Άγγελος Μπλέτσας ΗΜΜΥ Πολυτεχνείου Κρήτης, Φθινόπωρο 2016

ΤΗΛ412 Ανάλυση & Σχεδίαση (Σύνθεση) Τηλεπικοινωνιακών Διατάξεων. Διάλεξη 6. Άγγελος Μπλέτσας ΗΜΜΥ Πολυτεχνείου Κρήτης, Φθινόπωρο 2016 ΤΗΛ412 Ανάλυση & Σχεδίαση (Σύνθεση) Τηλεπικοινωνιακών Διατάξεων Διάλεξη 6 Άγγελος Μπλέτσας ΗΜΜΥ Πολυτεχνείου Κρήτης, Φθινόπωρο 2016 1 Διάλεξη 6 Αρχιτεκτονικές Δεκτών (συνέχεια) Προηγούµενες διαλέξεις:

Διαβάστε περισσότερα

ΣΗΜΑΤΑ ΚΑΙ ΣΥΣΤΗΜΑΤΑ. Εισαγωγή στα Σήµατα Εισαγωγή στα Συστήµατα Ανάπτυγµα - Μετασχηµατισµός Fourier Μετασχηµατισµός Z

ΣΗΜΑΤΑ ΚΑΙ ΣΥΣΤΗΜΑΤΑ. Εισαγωγή στα Σήµατα Εισαγωγή στα Συστήµατα Ανάπτυγµα - Μετασχηµατισµός Fourier Μετασχηµατισµός Z ΣΗΜΑΤΑ ΚΑΙ ΣΥΣΤΗΜΑΤΑ Εισαγωγή στα Σήµατα Εισαγωγή στα Συστήµατα Ανάπτυγµα - Μετασχηµατισµός Fourier Μετασχηµατισµός Laplace Μετασχηµατισµός Z Εφαρµογές Παράδειγµα ενός ηλεκτρικού συστήµατος Σύστηµα Παράδειγµα

Διαβάστε περισσότερα

Ειδικά Θέματα Ηλεκτρονικών 1

Ειδικά Θέματα Ηλεκτρονικών 1 Ειδικά Θέματα Ηλεκτρονικών 1 ΠΕΡΙΕΧΟΜΕΝΑ ΚΕΦΑΛΑΙΟ 3...2 ΑΠΟΚΡΙΣΗ ΣΥΧΝΟΤΗΤΑΣ ΕΝΙΣΧΥΤΩΝ...2 3.1 Απόκριση συχνότητας ενισχυτών...2 3.1.1 Παραμόρφωση στους ενισχυτές...5 3.1.2 Πιστότητα των ενισχυτών...6 3.1.3

Διαβάστε περισσότερα

Δυαδικό Σύστημα Αρίθμησης

Δυαδικό Σύστημα Αρίθμησης Δυαδικό Σύστημα Αρίθμησης Το δυαδικό σύστημα αρίθμησης χρησιμοποιεί δύο ψηφία. Το 0 και το 1. Τα ψηφία ενός αριθμού στο δυαδικό σύστημα αρίθμησης αντιστοιχίζονται σε δυνάμεις του 2. Μονάδες, δυάδες, τετράδες,

Διαβάστε περισσότερα

Εισαγωγή στις Τηλεπικοινωνίες / Εργαστήριο

Εισαγωγή στις Τηλεπικοινωνίες / Εργαστήριο ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Εισαγωγή στις Τηλεπικοινωνίες / Εργαστήριο Εργαστηριακή Άσκηση 6: Δειγματοληψία - Πειραματική Μελέτη Δρ. Ηρακλής Σίμος Τμήμα:

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ

ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ Σχολή Θετικών Επιστημών Τεχνολογίας Τηλεπικοινωνιών Τμήμα Επιστήμης και Τεχνολογίας Τηλεπικοινωνιών ΕΡΓΑΣΤΗΡΙΟ ΑΝΑΛΟΓΙΚΩΝ & ΨΗΦΙΑΚΩΝ ΕΠΙΚΟΙΝΩΝΙΩΝ ΕΠΙΚΟΙΝΩΝΙΕΣ ΙI Εργαστήριο 3 ο : Πολυπλεξία με διαίρεση

Διαβάστε περισσότερα

Ενδεικτικές Ασκήσεις για το μάθημα: «Μετρήσεις Φυσικών Μεγεθών»

Ενδεικτικές Ασκήσεις για το μάθημα: «Μετρήσεις Φυσικών Μεγεθών» Ενδεικτικές Ασκήσεις για το μάθημα: «Μετρήσεις Φυσικών Μεγεθών» Άσκηση 1 Τα φίλτρα Butterworth χαρακτηρίζονται από την ιδιότητα, η συνάρτηση απόκρισής τους να είναι ιδιαίτερα επίπεδη στην περιοχή διέλευσης.

Διαβάστε περισσότερα

Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές. 6 ο Μάθημα. Λεωνίδας Αλεξόπουλος Λέκτορας ΕΜΠ. url:

Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές. 6 ο Μάθημα. Λεωνίδας Αλεξόπουλος Λέκτορας ΕΜΠ.   url: στους Ηλεκτρονικούς Υπολογιστές 6 ο Μάθημα Λεωνίδας Αλεξόπουλος Λέκτορας ΕΜΠ email: leo@mail.ntua.gr url: http://users.ntua.gr/leo Άδεια Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης Creative

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016 ΤΕΧΝΟΛΟΓΙΑ (ΙΙ) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΠΡΑΚΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Τεχνολογία και

Διαβάστε περισσότερα

ΑΝΑLOG TO DIGITAL CONVERTER (ADC)

ΑΝΑLOG TO DIGITAL CONVERTER (ADC) ΑΝΑLOG TO DIGITAL CONVERTER (ADC) O ADC αναλαμβάνει να μετατρέψει αναλογικές τάσεις σε ψηφιακές ώστε να είναι διαθέσιμες εσωτερικά στο μικροελεγκτή για επεξεργασία. Η αναλογική τάση που θέλουμε να ψηφιοποιηθεί

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 ΤΕΧΝΟΛΟΓΙΑ (ΙΙ) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Ψηφιακά Ηλεκτρονικά

Διαβάστε περισσότερα

Σήματα και Συστήματα. Διάλεξη 1: Σήματα Συνεχούς Χρόνου. Δρ. Μιχάλης Παρασκευάς Επίκουρος Καθηγητής

Σήματα και Συστήματα. Διάλεξη 1: Σήματα Συνεχούς Χρόνου. Δρ. Μιχάλης Παρασκευάς Επίκουρος Καθηγητής Σήματα και Συστήματα Διάλεξη 1: Σήματα Συνεχούς Χρόνου Δρ. Μιχάλης Παρασκευάς Επίκουρος Καθηγητής 1 Εισαγωγή στα Σήματα 1. Σκοποί της Θεωρίας Σημάτων 2. Κατηγορίες Σημάτων 3. Χαρακτηριστικές Παράμετροι

Διαβάστε περισσότερα

Τηλεπικοινωνιακά Συστήματα ΙΙ

Τηλεπικοινωνιακά Συστήματα ΙΙ Τηλεπικοινωνιακά Συστήματα ΙΙ Διάλεξη 1: Χωρητικότητα Καναλιών Το θεώρημα Shannon - Hartley Δρ. Μιχάλης Παρασκευάς Επίκουρος Καθηγητής 1 Ατζέντα 1. Δυαδική σηματοδοσία 2. Μορφές δυαδικής σηματοδοσίας 3.

Διαβάστε περισσότερα