HY220 Εργαςτήριο Ψηφιακών Κυκλωμάτων. Διδάςκων: Χ. ωτηρίου, Βοηθοί: Ε. Κουναλάκησ, Π. Ματτθαιάκησ, Δ. Σςαλιαγκόσ.

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "HY220 Εργαςτήριο Ψηφιακών Κυκλωμάτων. Διδάςκων: Χ. ωτηρίου, Βοηθοί: Ε. Κουναλάκησ, Π. Ματτθαιάκησ, Δ. Σςαλιαγκόσ."

Transcript

1 HY220 Εργαςτήριο Ψηφιακών Κυκλωμάτων Διδάςκων: Χ. ωτηρίου, Βοηθοί: Ε. Κουναλάκησ, Π. Ματτθαιάκησ, Δ. Σςαλιαγκόσ 1 Περιεχόμενα Κακυςτζρθςθ και παράγοντεσ που τθν επθρεάηουν υνδυαςτικι Πφλθ Ακολουκιακι Πφλθ Περιοριςμοί Πρόκεςθσ (Setup), Διατιρθςθσ (Hold) Γενικό Μοντζλο φγχρονου Κυκλϊματοσ Είδθ Μονοπατιϊν τατικι Χρονικι Ανάλυςθ Βάςθ Περιοριςμϊν Παράδειγμα τατικισ Χρονικισ Ανάλυςθσ Χρονικοί Περιοριςμοί ςτο Ρολόι χεδίαςθ Δζντρων Φραγι Ρολογιοφ (Clock Gating) 2 1

2 Περιεχόμενα Κακυςτζρθςθ και παράγοντεσ που τθν επθρεάηουν υνδυαςτικι Πφλθ Ακολουκιακι Πφλθ Περιοριςμοί Πρόκεςθσ (Setup), Διατιρθςθσ (Hold) Γενικό Μοντζλο φγχρονου Κυκλϊματοσ Είδθ Μονοπατιϊν τατικι Χρονικι Ανάλυςθ Βάςθ Περιοριςμϊν Παράδειγμα τατικισ Χρονικισ Ανάλυςθσ Χρονικοί Περιοριςμοί ςτο Ρολόι χεδίαςθ Δζντρων Φραγι Ρολογιοφ (Clock Gating) 3 Καθυςτέρηςη και Παράγοντεσ που την επηρεάζουν Καθυςτζρηςη υνδυαςτικήσ Πφλησ Καθυςτζρηςη Ακολουθιακήσ Πφλησ input output t D clk Q setup time clock to Q delay Παράγοντεσ: Κακυςτζρθςθ Ομοίωσ με τα ςυνδυαςτικά με επιπλζον περιοριςμοφσ: Χρόνοσ ανόδου/κακόδου Πρόκεςθσ (Setup) Γενικά: Διατιρθςθσ (Hold) Δ = fd(c out, t r/f(input) ) t r/f(output) = fr(c out, t r/f(input) ) Ο πρϊτοσ ορίηει τθν μζγιςτθ ςυχνότθτα ενϊ ο δεφτεροσ επιβάλλει ελάχιςτθ κακυςτζρθςθ μεταξφ καταχωρθτϊν 4 2

3 Περιεχόμενα Κακυςτζρθςθ και παράγοντεσ που τθν επθρεάηουν υνδυαςτικι Πφλθ Ακολουκιακι Πφλθ Περιοριςμοί Πρόκεςθσ (Setup), Διατιρθςθσ (Hold) Γενικό Μοντζλο φγχρονου Κυκλϊματοσ Είδθ Μονοπατιϊν τατικι Χρονικι Ανάλυςθ Βάςθ Περιοριςμϊν Παράδειγμα τατικισ Χρονικισ Ανάλυςθσ Χρονικοί Περιοριςμοί ςτο Ρολόι χεδίαςθ Δζντρων Φραγι Ρολογιοφ (Clock Gating) 5 Ακολουθιακά τοιχεία: Flip-Flop και Latch D-Type Flip-Flop Σο παραπάνω FF, μεγαλφτερου εμβαδοφ, πρακτικά αποτελείται από τρείσ μανταλωτζσ (D, CLK), (CLK, Y), (S, R) 6 ΗΤ220 - Διάλεξθ 3θ, Επανάλθψθ 1/11/2011 3

4 Ακολουθιακά τοιχεία: Flip-Flop Όταν το CLK ςτο latch κάνει μετάβαςθ 10, τα 2 αριςτερά latches κλείνουν Αν το D αλλάηει κατά τθν διάρκεια του κλειςίματοσ, δθλ. ςε χρόνο μικρότερο από τθν κακυςτζρθςθ των SR, τότε το latch εξόδου δεν κα αποκθκεφςει τθν ςωςτι κατάςταςθ Η τελικι κατάςταςθ που κα αποκθκευτεί κα εξαρτάται από τον κόρυβο και δρομιςεισ ςτο κφκλωμα Περιοριςμοί Setup (Πρόκεςθσ) και Hold (Διατιρθςθσ) FF CLK (Clock) Setup Hold D (Data) 7 ΗΤ220 - Διάλεξθ 3θ, Επανάλθψθ 1/11/2011 Flip-Flop: Χρονικέσ Παράμετροι T c2qm T c2q D Q Clk T su T hd Clk D A B Q 8 A Η είςοδοσ D πρζπει να μείνει ςτακερι τουλάχιςτον για χρόνο T su (setup time) πρίν τθν ακμι του ρολογιοφ και τουλάχιςτον T hd (hold time) μετά τθν ακμι. Ζνα παράκυρο χρόνου γφρω από τθν ακμι του ρολογιοφ για το οποίο θ είςοδοσ πρζπει να μείνει ςτακερι Η ζξοδοσ Q αλλάηει λίγο μετά τθν ακμι του ρολογίου Σ c2q είναι ο χρόνοσ κακυςτζρθςθσ από τθν ακμι ςτθν ζξοδο (propagation delay) Σ c2qm είναι ο ελάχιςτοσ χρόνοσ κακυςτζρθςθσ από τθν ακμι ςτθν ζξοδο (αρχίηουν να αλλάηουν τα δεδομζνα) B 4

5 Περιεχόμενα Κακυςτζρθςθ και παράγοντεσ που τθν επθρεάηουν υνδυαςτικι Πφλθ Ακολουκιακι Πφλθ Περιοριςμοί Πρόκεςθσ (Setup), Διατιρθςθσ (Hold) Γενικό Μοντζλο φγχρονου Κυκλϊματοσ Είδθ Μονοπατιϊν τατικι Χρονικι Ανάλυςθ Βάςθ Περιοριςμϊν Παράδειγμα τατικισ Χρονικισ Ανάλυςθσ Χρονικοί Περιοριςμοί ςτο Ρολόι χεδίαςθ Δζντρων Φραγι Ρολογιοφ (Clock Gating) 9 Γενικό Μοντέλο ύγχρονου Κυκλώματοσ Πιθανή Ανάδπαζη Ππυηεύοςζερ Είζοδοι (Primary Inputs) Πιθανή Ανάδπαζη υνδυαςτικι Λογικι (.Λ.) Καηασυπηηέρ Πιθανή Ανάδπαζη υνδυαςτικι Λογικι (.Λ.) Καηασυπηηέρ Ππυηεύοςζερ Έξοδοι (Primary Outputs) Ρολόι υνδζςεισ, Καταχωρθτζσ αποτελοφνται από πολλαπλά bit Καταχωρητζσ φνολο Flip-flop υνοριακά τοιχεία Ππυηεύοςζερ Είζοδοι (Primary Inputs) υνδυαςτική Λογική (ςφννεφο) Σοπικζσ Ζξοδοι = f(σοπικϊν Ειςόδων) Είναι πικανό να υπάρχει ανάδραςθ μεταξφ των τμθμάτων 10 5

6 Είδη Μονοπατιών αν μονοπάτι ορίηουμε μια διαδρομι πυλϊν, θ οποία αντιςτοιχεί ςε περιοριςμό setup ι hold τα κυκλϊματα ςυνικωσ αναπαριςτϊνται ωσ γράφοι (κόμβοι = πφλεσ, ακμζσ = ςυνδζςεισ), ζτςι θ ζννοια του μονοπατιοφ είναι όμοια με ζνα μονοπάτι ςτον γράφο Διακρίνουμε τριϊν ειδϊν μονοπάτια που ενδιαφζρουν ωσ προσ τουσ χρονικοφσ περιοριςμοφσ: Από Είςοδο ςε Καταχωρθτι Από Καταχωρθτι ςε Καταχωρθτι (ςτον εαυτό του ι άλλον) Από Καταχωρθτι ςε Ζξοδο 11 Παράδειγμα Κυκλώματοσ ειριακόσ Ολιςθητήσ με Παράλληλη Φόρτιςη Μονοπάτια και Καταχωρθτζσ 1 bit υνδυαςτικι Λογικι πολυπλζκτθσ Δεν υπάρχει ανάδραςθ μεταξφ των τμθμάτων.λ. 12 6

7 Περιεχόμενα Κακυςτζρθςθ και παράγοντεσ που τθν επθρεάηουν υνδυαςτικι Πφλθ Ακολουκιακι Πφλθ Περιοριςμοί Πρόκεςθσ (Setup), Διατιρθςθσ (Hold) Γενικό Μοντζλο φγχρονου Κυκλϊματοσ Είδθ Μονοπατιϊν τατικι Χρονικι Ανάλυςθ Βάςθ Περιοριςμϊν Παράδειγμα τατικισ Χρονικισ Ανάλυςθσ Χρονικοί Περιοριςμοί ςτο Ρολόι χεδίαςθ Δζντρων Φραγι Ρολογιοφ (Clock Gating) 13 τατική Χρονική Ανάλυςη D Q D Q υνδυαςτικι Λογικι (.Λ.) D Q D Q Ρολόι Χρονικζσ Παράμετροι Καταχωρητών T clk : Περίοδοσ Ρολογιοφ T su : Setup time T hd : Hold time T c2q : Clock to Q (worst-case) T c2qm : Clock to Q (min) Χρονικζσ Παράμετροι.Λ. T cl : Μζγιςτθ Κακυςτζρθςθ.Λ. Κπίζιμο μονοπάηι T clm : Ελάχιςτθ Κακυςτζρθςθ.Λ. Ελάχιστο μονοπάτι 14 7

8 ΧΑ Ελάχιςτη Περίοδοσ D Q υνδυαςτικι 1 Λογικι (.Λ.) D Q 2 D Q CL out Clk Clk In T su T hd a b Q T 1 c2q a b T c2qm CL out A B T clogm T cl T su2 T clk T c2q + T cl + T su 15 ΧΑ Περιοριςμόσ Διατήρηςησ (Hold) D Q υνδυαςτικι 1 Λογικι (.Λ.) D Q 2 D Q Clk Clk In T su T hd a b Q T 1 c2q a b T c2qm CL out A B T clm T cl T hd2 T hd T c2qm + T clm 16 8

9 τατική Χρονική Ανάλυςη Γενικά, για ςωςτι λειτουργία πρζπει για όλα τα μονοπάτια να ιςχφει: T clk T c2q + T cl + T su T hd T c2qm + T clm Πϊσ βρίςκουμε όλα τα μονοπάτια ; Από κάκε είςοδο ι ζξοδο καταχωρθτι ςε κάκε είςοδο καταχωρθτι ι ζξοδο του κυκλϊματοσ Σο πιο αργό μονοπάτι ςυνδυαςτικισ λογικισ είναι αυτό που κακορίηει το T cl (οπότε και τθν ελάχιςτθ περίοδο) και λζγεται κρίςιμο μονοπάτι. Ο εντοπιςμόσ του μασ δίνει τθ δυνατότθτα να προςπακιςουμε να απλοποιιςουμε τθν λογικι του μονοπατιοφ και να πετφχουμε υψθλότερθ ςυχνότθτα λειτουργίασ του κυκλϊματοσ. 17 Περιεχόμενα Κακυςτζρθςθ και παράγοντεσ που τθν επθρεάηουν υνδυαςτικι Πφλθ Ακολουκιακι Πφλθ Περιοριςμοί Πρόκεςθσ (Setup), Διατιρθςθσ (Hold) Γενικό Μοντζλο φγχρονου Κυκλϊματοσ Είδθ Μονοπατιϊν τατικι Χρονικι Ανάλυςθ Βάςθ Περιοριςμϊν Παράδειγμα τατικισ Χρονικισ Ανάλυςθσ Χρονικοί Περιοριςμοί ςτο Ρολόι χεδίαςθ Δζντρων Φραγι Ρολογιοφ (Clock Gating) 18 9

10 Παράδειγμα (1/6) T and = 2ns, T or = 1ns, T mux = 3ns, T c2q = 0.5ns, T c2qm = 0.2ns, T su =0.4ns, T hd = 0.3ns Ποιό είναι το κρίσιμο μονοπάτι; Πόςθ είναι θ ελάχιςτθ περίοδοσ ρολογιοφ; Καλφπτονται όλεσ οι ςυνκικεσ χρονιςμοφ; 19 Παράδειγμα (2/6) T and = 2ns, T or = 1ns, T mux = 3ns, T c2q = 0.5ns, T c2qm = 0.2ns, T su =0.4ns, T hd = 0.3ns Ποιό είναι το κρίςιμο μονοπάτι; 20 10

11 Παράδειγμα (3/6) T and = 2ns, T or = 1ns, T mux = 3ns, T c2q = 0.5ns, T c2qm = 0.2ns, T su =0.4ns, T hd = 0.3ns Πόςθ είναι θ ελάχιςτθ περίοδοσ ρολογιοφ; T min = T c2q + T and + T mux + T and + T su = 7.9 ns 21 Παράδειγμα (4/6) T and = 2ns, T or = 1ns, T mux = 3ns, T c2q = 0.5ns, T c2qm = 0.2ns, T su =0.4ns, T hd = 0.3ns Καλφπτονται όλεσ οι ςυνκικεσ χρονιςμοφ; OXI!!! Ζχουμε T clm = 0 ns και T c2qm = 0.2ns Πρζπει T hd T c2qm + T clm 22 11

12 Παράδειγμα (5/6) 0 T and = 2ns, T or = 1ns, T mux = 3ns, T c2q = 0.5ns, T c2qm = 0.2ns, T su =0.4ns, T hd = 0.3ns Καλφπτονται όλεσ οι ςυνκικεσ χρονιςμοφ; Πρζπει T hd T c2qm + T clm Προςκζτουμε μια πφλθ με Σ or = 1ns (αρκεί;) Ζςτω T orm = T or και γενικά για όλεσ τισ πφλεσ, Σώρα ΝAI!!! Ζχουμε T clm = 1ns και T c2qm = 0.2ns 23 Παράδειγμα (6/6) T and = 2ns, T or = 1ns, T mux = 3ns, T c2q = 0.5ns, T c2qm = 0.2ns, T su =0.4ns, T hd = 0.3ns Καλφπτονται όλεσ οι ςυνκικεσ χρονιςμοφ; Πρζπει T hd T c2qm + T clogm υνικωσ βάηουμε 2 αντιςτροφείσ (ζςτω T invm = 0.3ns) ΟΚ!!! Ζχουμε T clm = 0.6 ns και T c2qm = 0.2ns 24 12

13 Περιεχόμενα Κακυςτζρθςθ και παράγοντεσ που τθν επθρεάηουν υνδυαςτικι Πφλθ Ακολουκιακι Πφλθ Περιοριςμοί Πρόκεςθσ (Setup), Διατιρθςθσ (Hold) Γενικό Μοντζλο φγχρονου Κυκλϊματοσ Είδθ Μονοπατιϊν τατικι Χρονικι Ανάλυςθ Βάςθ Περιοριςμϊν Παράδειγμα τατικισ Χρονικισ Ανάλυςθσ Χρονικοί Περιοριςμοί ςτο Ρολόι χεδίαςθ Δζντρων Φραγι Ρολογιοφ (Clock Gating) 25 Περιοριςμοί ςτο Ρολόι Απόκλιςη (Skew) D AQ B Clk Δ Αν Δ = 0, το ςφςτθμα εναλλάςςει τιμζσ ΑΒ, ΒΑ, το παραπάνω παράδειγμα απόκλιςθσ άφιξθσ μπορεί να εμπεριζχεται και ςυνδυαςτικι λογικι μεταξφ Α, Β. Σι κα ςυμβεί κακϊσ το Δ 0, και το Δ μεγαλϊνει; Ο διακζςιμοσ χρόνοσ ΒΑ μικραίνει Αν Δ Σc2q, τότε θ είςοδοσ του Β κα ζχει γίνει Β, μια και κα ζχει επαναγραφεί το Α, άρα κα μείνουμε με ΒΒ, ΒΒ, 26 13

14 Απόκλιςη (Skew) και Σρίκλιςμα (Jitter) Απόκλιςη (Skew) τακερι από κφκλο ςε κφκλο Απόκλιςθ ςτουσ χρόνουσ άφιξθσ ςτο ςιμα ρολογιοφ ςτα διαφορετικά ςθμεία του κυκλϊματοσ Σρίκλιςμα (Jitter) Μεταβλθτι απόκλιςθ από μεταβατικά, περιοδικά θ μθ φαινόμενα ςε ςυγκεκριμζνο ςθμείο του κυκλϊματοσ Τ jitter Τ skew 27 Θετική και Αρνητική Απόκλιςη (α) Θεηική Απόκλιζη Ρολόι και Δεδομένα ππορ ηην ίδια καηεύθςνζη (β) Απνηηική Απόκλιζη Ρολόι και Δεδομένα ππορ ανηίθεηη καηεύθςνζη 28 14

15 Θετική Απόκλιςη Η ακμή αποζηολήρ καηαθηάνει ππιν ηην ακμή λήτηρ 29 Αρνητική Απόκλιςη Η ακμή λήτηρ καηαθηάνει ππιν ηην ακμή αποζηολήρ 30 15

16 Σρίκλιςμα (Jitter) Σο τρίκλιςμα προκαλεί δυναμικι μεταβολι τθσ περιόδου Σ από κφκλο ςε κφκλο 31 ΧΑ με Απόκλιςη και Σρίκλιςμα Απόκλιση: T sk = δ Τρίκλισμα : T jt t clk1 t clk2 T clk T c2q + T cl + T su + T sk + 2T jt (σείπιζηη πεπίπηυζη μέγιζηηρ καθςζηέπηζηρ) T hd T c2qm + T clm -T sk - 2T jt (σείπιζηη πεπίπηυζη ελάσιζηηρ καθςζηέπηζηρ 32 16

17 ΧΑ με Απόκλιςη και Σρίκλιςμα Απόκλιση: T sk = δ Τρίκλισμα : T jt 33 Πηγέσ Απόκλιςησ, Σρικλίςματοσ (1): Γεννιτρια ρολογιοφ (PLL) Σρίκλιςμα (3): Μεταβλθτότθτα ςτισ υνδζςεισ Απόκλιςθ (2): Μεταβλθτότθτα ςτουσ οδθγοφσ Απόκλιςθ (4, 5): Μεταβλθτότθτα ςτθν τάςθ πθγισ, κερμοκραςία Σρίκλιςμα και Απόκλιςθ (6, 7): Αλλθλοεπίδραςθ μζςω Χωρθτικότθτασ (Παραςιτικζσ υνδζςεισ Πυκνωτϊν) Σρίκλιςμα 34 17

18 Δέντρα/Δίκτυα Ρολογιού και ΧΑ Καταχωρθτισ Χπόνοι Άθιξηρ Ενιςχυτισ Buffer Καταχωρθτισ Ρίηα - CLK Ενιςχυτισ Buffer Απόκλιζη Καταχωρθτισ Καταχωρθτισ Σφποι Δικτφων Ρολογιοφ Δζντρο (όπωσ δεξιά) Πλζγμα (Mesh) Ενιςχυτισ Buffer Καταχωρθτισ Καταχωρθτισ 35 Διάταξη Η-Δένδρου Με ηέλεια ιζοζκέλιζη ηυν μονοπαηιών η απόκλιζη μποπεί να γίνει μηδέν Μποπεί να ςποζηηπίξει θπαγή ηος πολογιού ζε διάθοπα επίπεδα ηος δένδπος 36 18

19 Πραγματικό Η-Δέντρο 37 Φραγή Ρολογιού (Clock Gating) Κατά ζνα μεγάλο ποςοςτό, οι καταχωρθτζσ διατθροφν τθν τιμι τουσ από κφκλο ςε κφκλο Ιδζα τόχοσ να εμποδίςουμε την ςχετική μετάβαςη του ρολογιοφ Μείωςη δυναμικήσ κατανάλωςησ ενζργειασ clk clk en en_1 en_2 en_3 en_

20 Φραγή Ρολογιού με χρήςη Μανταλωτή Σο ςιμα ενεργοποίθςθσ (Enable) είναι ςτακερό μόνο πριν την ςχετική ακμή Χρησιμοποιούμε μανταλωτή πολικότητας αντίθετης των flip-flop Enable Clock D G Latch Q Gated_clock Clock Enable Stability region Q Gated_clock 39 20

HY422 Ειςαγωγή ςτα υςτήματα VLSI. 5/9/ ΗΤ422 - Διάλεξθ 10θ Χρονιςμόσ. Γενικό Μοντζλο φγχρονου Κυκλώματοσ

HY422 Ειςαγωγή ςτα υςτήματα VLSI.  5/9/ ΗΤ422 - Διάλεξθ 10θ Χρονιςμόσ. Γενικό Μοντζλο φγχρονου Κυκλώματοσ HY422 Ειςαγωγή ςτα υςτήματα VLSI Διδάςκων: Χ. ωτηρίου, Βοηθόσ: Π. Ματθαιάκησ http://www.csd.uoc.gr/~hy422 1 ΗΤ422 - Διάλεξθ 10θ Χρονιςμόσ Περιεχόμενα Γενικό Μοντζλο φγχρονου Κυκλώματοσ Είδθ Μονοπατιών

Διαβάστε περισσότερα

HY333 Εργαστηριακή Σχεδίαση Ψηφιακών Κυκλωμάτων με εργαλεία Ηλεκτρονικού Σχεδιαστικού Αυτοματισμού.

HY333 Εργαστηριακή Σχεδίαση Ψηφιακών Κυκλωμάτων με εργαλεία Ηλεκτρονικού Σχεδιαστικού Αυτοματισμού. HY333 Εργαστηριακή Σχεδίαση Ψηφιακών Κυκλωμάτων με εργαλεία Ηλεκτρονικού Σχεδιαστικού Αυτοματισμού Διδάσκων: Χ. Σωτηρίου http://inf-server.inf.uth.gr/courses/ce333/ 1 Περιεχόμενα Καθυστέρηση και παράγοντες

Διαβάστε περισσότερα

HY422 Ειςαγωγό ςτα Συςτόματα VLSI Διδϊςκων: Χ. Σωτηρύου, Βοηθόσ: Π. Ματθαιϊκησ http://www.csd.uoc.gr/~hy422 1 Μανταλωτζσ κετικισ, αρνθτικισ πολικότθτασ χεδίαςθ με Μανταλωτζσ Κακυςτζρθςθ FF τφπου HLFF (AM

Διαβάστε περισσότερα

3 θ διάλεξθ Επανάλθψθ, Επιςκόπθςθ των βαςικϊν γνϊςεων τθσ Ψθφιακισ Σχεδίαςθσ

3 θ διάλεξθ Επανάλθψθ, Επιςκόπθςθ των βαςικϊν γνϊςεων τθσ Ψθφιακισ Σχεδίαςθσ 3 θ διάλεξθ Επανάλθψθ, Επιςκόπθςθ των βαςικϊν γνϊςεων τθσ Ψθφιακισ Σχεδίαςθσ 1 2 3 4 5 6 7 Παραπάνω φαίνεται θ χαρακτθριςτικι καμπφλθ μετάβαςθσ δυναμικοφ (voltage transfer characteristic) για ζναν αντιςτροφζα,

Διαβάστε περισσότερα

HY220 Εργαςτήριο Ψηφιακών Κυκλωμάτων. 9/28/ ΗΥ220 - Διάλεξθ 3θ, Επανάλθψθ

HY220 Εργαςτήριο Ψηφιακών Κυκλωμάτων.  9/28/ ΗΥ220 - Διάλεξθ 3θ, Επανάλθψθ HY220 Εργαςτήριο Ψηφιακών Κυκλωμάτων Διδάςκων: Χ. Σωτηρίου, Βοηθοί: Ε. Κουναλάκησ, Π. Ματτθαιάκησ http://www.csd.uoc.gr/~hy220 1 Περιεχόμενα Συςτιματα Αρικμϊν και Δυαδικοί Αρικμοί Ψθφιακι Λογικι Ηλεκτρικά

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2017-2018 Λογικές Πύλες, Στοιχεία Μνήμης, Συνδυαστική Λογική και Κυματομορφές ΗΥ220 - Βασίλης Παπαευσταθίου & Γιώργος Καλοκαιρινός 1 Τα βασικά της

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2015-2016 ΗΥ220 -Γιώργος Καιλοκαιρινός & Βασίλης Παπαευσταθίου 1 Λογικές Πύλες, Στοιχεία Μνήμης, Συνδυαστική Λογική και Κυματομορφές ΗΥ220 -Γιώργος

Διαβάστε περισσότερα

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI. 1 ΗΥ330 - Διάλεξη 7η - Ακολουθιακά Κυκλώματα

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI.  1 ΗΥ330 - Διάλεξη 7η - Ακολουθιακά Κυκλώματα HY330 Ψηφιακά - Εισαγωγή στα Συστήματα VLSI Διδάσκων: Χ. Σωτηρίου, Βοηθοί: θα ανακοινωθούν http://inf-server.inf.uth.gr/courses/ce330 1 Μανταλωτές θετικής, αρνητικής πολικότητας Σχεδίαση με Μανταλωτές

Διαβάστε περισσότερα

Χρονισμός Σύγχρονων Κυκλωμάτων, Καταχωρητές και Μανταλωτές. Χειμερινό Εξάμηνο

Χρονισμός Σύγχρονων Κυκλωμάτων, Καταχωρητές και Μανταλωτές. Χειμερινό Εξάμηνο HY220 Χρονισμός Σύγχρονων Κυκλωμάτων, Καταχωρητές και Μανταλωτές Χειμερινό Εξάμηνο 2009 20102010 Γενικό Μοντέλο Σύγχρονων Κυκλωμάτων clock input input CL reg CL reg output option feedback Τα καλώδια, εκτός

Διαβάστε περισσότερα

HY437 Αλγόριθμοι CAD

HY437 Αλγόριθμοι CAD HY437 Αλγόριθμοι CAD Διδάςκων: Χ. Σωτηρίου http://inf-server.inf.uth.gr/courses/ce437/ 1 ΗΥ437 - Πολυεπίπεδθ Λογικι Απλοποίθςθ με Περιεχόμενα Είδθ Αδιάφορων Τιμϊν ςε Πολφ-επίπεδα Δυαδικά Δίκτυα Αδιάφορεσ

Διαβάστε περισσότερα

Συπικζσ Γλϊςςεσ Περιγραφισ Τλικοφ Διάλεξθ 5

Συπικζσ Γλϊςςεσ Περιγραφισ Τλικοφ Διάλεξθ 5 Τμήμα Μησανικών Πληποφοπικήρ, Τ.Ε.Ι. Ηπείπος Ακαδημαϊκό Έτορ 2016-2017, 6 ο Εξάμηνο Συπικζσ Γλϊςςεσ Περιγραφισ Τλικοφ Διάλεξθ 5 Διδάςκων Σςιακμάκθσ Κυριάκοσ, Phd MSc in Electronic Physics (Radioelectrology)

Διαβάστε περισσότερα

7 η διάλεξη Ακολουθιακά Κυκλώματα

7 η διάλεξη Ακολουθιακά Κυκλώματα 7 η διάλεξη Ακολουθιακά Κυκλώματα 1 2 3 4 5 6 7 Παραπάνω βλέπουμε ακολουθιακό κύκλωμα σχεδιασμένο με μανταλωτές διαφορετικής φάσης. Παρατηρούμε ότι συνδυαστική λογική μπορεί να προστεθεί μεταξύ και των

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Χειµερινό Εξάµηνο 2017-2018 Χρονισµός Σύγχρονων Κυκλώµατων, Καταχωρητές και Μανταλωτές ΗΥ220 - Γιώργος Καλοκαιρινός & Βασίλης Παπαευσταθίου 1 Γενικό Μοντέλο Σύγχρονων

Διαβάστε περισσότερα

HY523 Εργαςτηριακό χεδύαςη Ψηφιακών Κυκλωμϊτων με εργαλεύα Ηλεκτρονικού χεδιαςτικού Αυτοματιςμού.

HY523 Εργαςτηριακό χεδύαςη Ψηφιακών Κυκλωμϊτων με εργαλεύα Ηλεκτρονικού χεδιαςτικού Αυτοματιςμού. HY523 Εργαςτηριακό χεδύαςη Ψηφιακών Κυκλωμϊτων με εργαλεύα Ηλεκτρονικού χεδιαςτικού Αυτοματιςμού Διδϊςκων: Χ. ωτηρύου http://www.csd.uoc.gr/~hy523 1 Περιεχόμενα Ροι Φυςικισ χεδίαςθσ χεδίαςθ με Κακιερωμζνα

Διαβάστε περισσότερα

Σχεδίαςη Σφγχρονων Ακολουθιακών Κυκλωμάτων

Σχεδίαςη Σφγχρονων Ακολουθιακών Κυκλωμάτων Σχεδίαςη Σφγχρονων Ακολουθιακών Κυκλωμάτων Πίνακεσ Διζγερςησ των FF Όπωσ είδαμε κατά τθ μελζτθ των FF, οι χαρακτθριςτικοί πίνακεσ δίνουν τθν τιμι τθσ επόμενθσ κατάςταςθσ κάκε FF ωσ ςυνάρτθςθ τθσ παροφςασ

Διαβάστε περισσότερα

HY523 Εργαςτηριακή Σχεδίαςη Ψηφιακών Κυκλωμάτων με εργαλεία Ηλεκτρονικού Σχεδιαςτικού Αυτοματιςμού. http://www.csd.uoc.gr/~hy523. 2 ΗΥ523 - Χωροκζτθςθ

HY523 Εργαςτηριακή Σχεδίαςη Ψηφιακών Κυκλωμάτων με εργαλεία Ηλεκτρονικού Σχεδιαςτικού Αυτοματιςμού. http://www.csd.uoc.gr/~hy523. 2 ΗΥ523 - Χωροκζτθςθ HY523 Εργαςτηριακή Σχεδίαςη Ψηφιακών Κυκλωμάτων με εργαλεία Ηλεκτρονικού Σχεδιαςτικού Αυτοματιςμού Διδάςκων: Χ. Σωτηρίου http://www.csd.uoc.gr/~hy523 1 ΗΥ523 - Χωροκζτθςθ Περιεχόμενα Δομζσ Ειςόδου/Εξόδου

Διαβάστε περισσότερα

Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 9 : Διαδικαςία φνκεςθσ Φϊτιοσ Βαρτηιϊτθσ

Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 9 : Διαδικαςία φνκεςθσ Φϊτιοσ Βαρτηιϊτθσ Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Ψθφιακά Ηλεκτρονικά Ενότθτα 9 : Διαδικαςία φνκεςθσ Φϊτιοσ Βαρτηιϊτθσ 1 Ανοιχτά Σμιμα Ψθφιακά Ηλεκτρονικά Ενότητα 9: Διαδικαςία φνκεςθσ Φϊτιοσ

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Χειµερινό Εξάµηνο 2006-2007 Χρονισµός Σύγχρονων Κυκλώµατων, Καταχωρητές και Μανταλωτές ΗΥ220 - Βασίλης Παπαευσταθίου 1 Γενικό Μοντέλο Σύγχρονων Κυκλωµάτων clock input

Διαβάστε περισσότερα

Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 10 : Καταχωρθτζσ Φϊτιοσ Βαρτηιϊτθσ

Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 10 : Καταχωρθτζσ Φϊτιοσ Βαρτηιϊτθσ Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Ψθφιακά Ηλεκτρονικά Ενότθτα 10 : Καταχωρθτζσ Φϊτιοσ Βαρτηιϊτθσ 1 Ανοιχτά Ακαδημαϊκά Σμιμα Ψθφιακά Ηλεκτρονικά Ενότητα 10: Καταχωρθτζσ Φϊτιοσ

Διαβάστε περισσότερα

Κεφάλαιο 7 ο. Γ. Τσιατούχας. VLSI Technology and Computer Architecture Lab. Ακολουθιακή Λογική 2

Κεφάλαιο 7 ο. Γ. Τσιατούχας. VLSI Technology and Computer Architecture Lab. Ακολουθιακή Λογική 2 ΚΥΚΛΩΜΑΤΑ VLSI Ακολουθιακή Λογική Κεφάλαιο 7 ο Γ. Τσιατούχας ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. Δισταθή κυκλώματα Μεταστάθεια 2. Μανδαλωτές 3. Flip Flops Flops 4. Δομές διοχέτευσης 5. Διανομή ρολογιού 6. Συγχρονισμός

Διαβάστε περισσότερα

Ελλθνικι Δθμοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 1 : Ειςαγωγι. Φϊτιοσ Βαρτηιϊτθσ

Ελλθνικι Δθμοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 1 : Ειςαγωγι. Φϊτιοσ Βαρτηιϊτθσ Ελλθνικι Δθμοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Ψθφιακά Ηλεκτρονικά Ενότθτα 1 : Ειςαγωγι Φϊτιοσ Βαρτηιϊτθσ 1 Ανοιχτά Ακαδημαϊκά Μαθήματα Τμιμα Ψθφιακά Ηλεκτρονικά Ενότητα 1: Ειςαγωγι Φϊτιοσ

Διαβάστε περισσότερα

Ακολουκιακά Λογικά Κυκλώματα

Ακολουκιακά Λογικά Κυκλώματα Ακολουκιακά Λογικά Κυκλώματα Τα ψθφιακά λογικά κυκλϊματα που μελετιςαμε μζχρι τϊρα ιταν ςυνδυαςτικά κυκλϊματα. Στα ςυνδυαςτικά κυκλϊματα οι ζξοδοι ςε κάκε χρονικι ςτιγμι εξαρτϊνται αποκλειςτικά και μόνο

Διαβάστε περισσότερα

Σχεδιασμός Ψηφιακών Συστημάτων

Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ 2: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 27 Νοε-7 ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 27 Ακολουθιακά Κυκλώματα: Μανδαλωτές (Latches) και Flip-Flops Flops Διδάσκουσα:

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΚΑΤΑΧΩΡΗΤΕΣ ΟΛΙΣΘΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΚΑΤΑΧΩΡΗΤΕΣ ΟΛΙΣΘΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΚΑΤΑΧΩΡΗΤΕΣ ΟΛΙΣΘΗΤΕΣ 1) Το παρακάτω κύκλωμα του σχήματος 1 είναι ένας καταχωρητής-ολισθητής

Διαβάστε περισσότερα

HY437 Αλγόριθμοι CAD

HY437 Αλγόριθμοι CAD HY437 Αλγόριθμοι CAD Διδάςκων: Χ. Σωτηρίου http://inf-server.inf.uth.gr/courses/ce437/ 1 Περιεχόμενα Ζλεγχοσ Σφαλμάτων μετά τθν Καταςκευι Μοντζλο Κολλθμζνο-ςτο-0, -1 Παραδείγματα Διαδικαςίασ Ελζγχου Λογικι

Διαβάστε περισσότερα

Ραραπάνω παρουςιάηεται ο πυρινασ των εντολϊν του επεξεργαςτι MIPS, με τισ οποίεσ, και τθν υλοποίθςθ τουσ ςε υλικό κα αςχολθκοφμε.

Ραραπάνω παρουςιάηεται ο πυρινασ των εντολϊν του επεξεργαςτι MIPS, με τισ οποίεσ, και τθν υλοποίθςθ τουσ ςε υλικό κα αςχολθκοφμε. 1 2 3 Ραραπάνω παρουςιάηεται ο πυρινασ των εντολϊν του επεξεργαςτι MIPS, με τισ οποίεσ, και τθν υλοποίθςθ τουσ ςε υλικό κα αςχολθκοφμε. 4 5 Ραραπάνω φαίνονται τα απαιτοφμενα βιματα για τθν εκτζλεςθ κάθε

Διαβάστε περισσότερα

Παράςταςη ακεραίων ςτο ςυςτημα ςυμπλήρωμα ωσ προσ 2

Παράςταςη ακεραίων ςτο ςυςτημα ςυμπλήρωμα ωσ προσ 2 Παράςταςη ακεραίων ςτο ςυςτημα ςυμπλήρωμα ωσ προσ 2 Δρ. Χρήζηος Ηλιούδης Μθ Προςθμαςμζνοι Ακζραιοι Εφαρμογζσ (ςε οποιαδιποτε περίπτωςθ δεν χρειάηονται αρνθτικοί αρικμοί) Καταμζτρθςθ. Διευκυνςιοδότθςθ.

Διαβάστε περισσότερα

Κεφάλαιο 10 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Ακολουθιακή Λογική 2

Κεφάλαιο 10 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Ακολουθιακή Λογική 2 ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων Ακολουθιακή Λογική Κεφάλαιο 10 ο Τμήμα Μηχανικών Η/Υ και Πληροφορικής Γ. Τσιατούχας ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. Δισταθή κυκλώματα Μεταευστάθεια 2. Μανδαλωτές 3. Flip

Διαβάστε περισσότερα

HY422 Ειςαγωγή ςτα Συςτήματα VLSI. HY422 - Διάλεξθ 4θ - Διαςυνδζςεισ

HY422 Ειςαγωγή ςτα Συςτήματα VLSI.  HY422 - Διάλεξθ 4θ - Διαςυνδζςεισ HY422 Ειςαγωγή ςτα Συςτήματα VLSI Διδάςκων: Χ. Σωτηρίου, Βοηθόσ: Π. Ματτθαιάκησ http://www.csd.uoc.gr/~hy422 Περιεχόμενα Διαςυνδζςεισ Μοντελοποίθςθ των Παραςιτικών Διαςυνδζςεισ ςε ζνα Πραγματικό Κφκλωμα

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη q Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

Xρονισμός ψηφιακών κυκλωμάτων

Xρονισμός ψηφιακών κυκλωμάτων Xρονισμός ψηφιακών κυκλωμάτων Γιώργος Δημητρακόπουλος Τμήμα Επιστήμης Υπολογιστών Πανεπιστήμιο Κρήτης Φθινόπωρο 2008 ΗΥ220 1 Περιεχόμενα μαθήματος Καθυστέρηση λογικών πυλών και των συνδυαστικών κυκλωμάτων

Διαβάστε περισσότερα

HY220 Εργαςτόριο Ψηφιακών Κυκλωμϊτων

HY220 Εργαςτόριο Ψηφιακών Κυκλωμϊτων HY220 Εργαςτόριο Ψηφιακών Κυκλωμϊτων Διδϊςκων: Χ. Σωτηρύου, Βοηθού: Ε. Κουναλϊκησ, Π. Ματτθαιϊκησ 1 υγχρονιςμόσ Οριςμόσ και Περιπτϊςεισ Καμπφλθ Μεταβίβαςθσ και Μθχανικό Ανάλογο υγχρονιςμόσ με FF Μεταςτάκεια

Διαβάστε περισσότερα

HY430 Εργαςτόριο Ψηφιακών Κυκλωμϊτων.

HY430 Εργαςτόριο Ψηφιακών Κυκλωμϊτων. HY430 Εργαςτόριο Ψηφιακών Κυκλωμϊτων Διδϊςκων: Χ. Σωτηρύου, Βοηθόσ: (θα ανακοινωθεύ) http://inf-server.inf.uth.gr/courses/ce430/ 1 2 1 3 Συγχρονιςμόσ Οριςμόσ, Περιπτώςεισ Αςφγχρονη Είςοδοσ Διαφορετικά

Διαβάστε περισσότερα

HY437 Αλγόριθμοι CAD

HY437 Αλγόριθμοι CAD HY437 Αλγόριθμοι CAD Διδϊςκων: Χ. Σωτηρύου http://inf-server.inf.uth.gr/courses/ce437/ 1 Περιεχόμενα Στόχοι τθσ Τεχνολογικισ Απεικόνιςθσ Περιγραφι σ ωσ Βαςικοί Γράφοι Μεταςχθματιςμόσ Δυαδικοφ Κυκλϊματοσ

Διαβάστε περισσότερα

Γράφοι. Δομζσ Δεδομζνων Διάλεξθ 9

Γράφοι. Δομζσ Δεδομζνων Διάλεξθ 9 Γράφοι Δομζσ Δεδομζνων Διάλεξθ 9 Περιεχόμενα Γράφοι Γενικζσ ζννοιεσ, οριςμόσ, κτλ Παραδείγματα Γράφων Αποκικευςθ Γράφων Βαςικοί Οριςμοί Γράφοι και Δζντρα Διάςχιςθ Γράφων Περιοδεφων Πωλθτισ Γράφοι Οριςμόσ:

Διαβάστε περισσότερα

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων Αγγελική Αραπογιάννη Σχολή Θετικών Επιστημών Τμήμα Πληροφορικής και Τηλεπικοινωνιών Η λειτουργία RESET R IN OUT Εάν το σήμα R είναι λογικό «1» στην έξοδο

Διαβάστε περισσότερα

Λαμβάνοντασ υπόψη ότι κατά την πρόςθεςη δφο δυαδικϊν ψηφίων ιςχφει: Κρατοφμενο

Λαμβάνοντασ υπόψη ότι κατά την πρόςθεςη δφο δυαδικϊν ψηφίων ιςχφει: Κρατοφμενο Αριθμητικά κυκλώματα Ημιαθροιστής (Half Adder) Ο ημιαθροιςτήσ είναι ζνα κφκλωμα το οποίο προςθζτει δφο δυαδικά ψηφία (bits) και δίνει ωσ αποτζλεςμα το άθροιςμά τουσ και το κρατοφμενο. Με βάςη αυτή την

Διαβάστε περισσότερα

Ψθφιακά Ηλεκτρονικά. Ενότθτα 7 : Ελαχιςτοποίθςθ και κωδικοποίθςθ καταςτάςεων Φϊτιοσ Βαρτηιϊτθσ

Ψθφιακά Ηλεκτρονικά. Ενότθτα 7 : Ελαχιςτοποίθςθ και κωδικοποίθςθ καταςτάςεων Φϊτιοσ Βαρτηιϊτθσ Ελλθνικι Δθμοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Ψθφιακά Ηλεκτρονικά Ενότθτα 7 : Ελαχιςτοποίθςθ και κωδικοποίθςθ καταςτάςεων Φϊτιοσ Βαρτηιϊτθσ 1 Ανοιχτά Ακαδημαϊκά Μαθήματα ςτο ΤΕΙ Ηπείρου Τμιμα

Διαβάστε περισσότερα

Ψθφιακά Ηλεκτρονικά. Ενότθτα 5 : Ανάλυςθ κυκλώματοσ με D και JK FLIP- FLOP Φώτιοσ Βαρτηιώτθσ

Ψθφιακά Ηλεκτρονικά. Ενότθτα 5 : Ανάλυςθ κυκλώματοσ με D και JK FLIP- FLOP Φώτιοσ Βαρτηιώτθσ Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Ψθφιακά Ηλεκτρονικά Ενότθτα 5 : Ανάλυςθ κυκλώματοσ με D και JK FLIP- FLOP Φώτιοσ Βαρτηιώτθσ 1 Ανοιχτά Ακαδημαϊκά Μαθήματα ςτο ΤΕΙ Ηπείρου Σμιμα

Διαβάστε περισσότερα

Ιςοηυγιςμζνα δζντρα και Β- δζντρα. Δομζσ Δεδομζνων

Ιςοηυγιςμζνα δζντρα και Β- δζντρα. Δομζσ Δεδομζνων Ιςοηυγιςμζνα δζντρα και Β- δζντρα Δομζσ Δεδομζνων Περιεχόμενα Ιςοηυγιςμζνα δζντρα Μζκοδοι ιςοηφγιςθσ δζντρων Μονι Περιςτροφι Διπλι Περιςτροφι Β - δζντρα Ιςοηυγιςμζνα δζντρα Η μορφι ενόσ δυαδικοφ δζντρου

Διαβάστε περισσότερα

ΑΝΩΣΑΣΟ ΕΚΠΑΙΔΕΤΣΙΚΟ ΙΔΡΤΜΑ ΠΕΙΡΑΙΑ ΣΕΧΝΟΛΟΓΙΚΟΤ ΣΟΜΕΑ ΧΟΛΗ ΣΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΣΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΑΤΣΟΜΑΣΙΜΟΤ Σ.Ε.

ΑΝΩΣΑΣΟ ΕΚΠΑΙΔΕΤΣΙΚΟ ΙΔΡΤΜΑ ΠΕΙΡΑΙΑ ΣΕΧΝΟΛΟΓΙΚΟΤ ΣΟΜΕΑ ΧΟΛΗ ΣΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΣΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΑΤΣΟΜΑΣΙΜΟΤ Σ.Ε. ΑΝΩΣΑΣΟ ΕΚΠΑΙΔΕΤΣΙΚΟ ΙΔΡΤΜΑ ΠΕΙΡΑΙΑ ΣΕΧΝΟΛΟΓΙΚΟΤ ΣΟΜΕΑ ΧΟΛΗ ΣΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΣΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΑΤΣΟΜΑΣΙΜΟΤ Σ.Ε. ΤΣΗΜΑΣΑ ΑΤΣΟΜΑΣΟΤ ΕΛΕΓΧΟΤ Ι ΑΚΗΕΙ ΠΡΑΞΗ Καθηγητήσ: Δ. ΔΗΜΟΓΙΑΝΝΟΠΟΤΛΟ Καθ. Εφαρμ:. ΒΑΙΛΕΙΑΔΟΤ

Διαβάστε περισσότερα

Ο ήχοσ ωσ φυςικό φαινόμενο

Ο ήχοσ ωσ φυςικό φαινόμενο Ο ήχοσ ωσ φυςικό φαινόμενο Φφλλο Εργαςίασ Ονοματεπώνυμο. Παραγωγή και διάδοςη του ήχου Ήχοσ παράγεται όταν τα ςωματίδια κάποιου υλικοφ μζςου αναγκαςκοφν να εκτελζςουν ταλάντωςθ. Για να διαδοκεί ο ιχοσ

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο Διάλεξη 8 η : Μηχανές Πεπερασμένων Κaταστάσεων σε FPGAs

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο Διάλεξη 8 η : Μηχανές Πεπερασμένων Κaταστάσεων σε FPGAs ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 8 η :

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές

Διαβάστε περισσότερα

Slide 1. Εισαγωγή στη ψυχρομετρία

Slide 1. Εισαγωγή στη ψυχρομετρία Slide 1 Εισαγωγή στη ψυχρομετρία 1 Slide 2 Σφντομη ειςαγωγή ςτη ψυχρομετρία. Διάγραμμα Mollier (πίεςησ-ενθαλπίασ P-H) Σο διάγραμμα Mollier είναι μία γραφικι παράςταςθ ςε ζναν άξονα ςυντεταγμζνων γραμμϊν

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων. Χειμερινό Εξάμηνο

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων. Χειμερινό Εξάμηνο ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Ρολόι και Χρονισμός Χειμερινό Εξάμηνο 2009 2010 Synchronous Timing Όλα τα στοιχεία στο σύστημα ενημερώνονται ταυτόχρονα με ένα κεντρικό ρολόι Στην πραγματικότητα Clock

Διαβάστε περισσότερα

Πολυπλέκτες. 0 x 0 F = S x 0 + Sx 1 1 x 1

Πολυπλέκτες. 0 x 0 F = S x 0 + Sx 1 1 x 1 Πολυπλέκτες Ο πολυπλζκτθσ (multipleer - ) είναι ζνα ςυνδυαςτικό κφκλωμα που επιλζγει δυαδικι πλθροφορία μιασ από πολλζσ γραμμζσ ειςόδου και τθν κατευκφνει ςε μια και μοναδικι γραμμι εξόδου. Η επιλογι μιασ

Διαβάστε περισσότερα

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2009 Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

ΘΥ101: Ειςαγωγι ςτθν Πλθροφορικι

ΘΥ101: Ειςαγωγι ςτθν Πλθροφορικι Παράςταςη κινητήσ υποδιαςτολήσ ςφμφωνα με το πρότυπο ΙΕΕΕ Δρ. Χρήστος Ηλιούδης το πρότυπο ΙΕΕΕ 754 ζχει χρθςιμοποιθκεί ευρζωσ ςε πραγματικοφσ υπολογιςτζσ. Το πρότυπο αυτό κακορίηει δφο βαςικζσ μορφζσ κινθτισ

Διαβάστε περισσότερα

Σο θλεκτρικό κφκλωμα

Σο θλεκτρικό κφκλωμα Σο θλεκτρικό κφκλωμα Για να είναι δυνατι θ ροι των ελεφκερων θλεκτρονίων, για να ζχουμε θλεκτρικό ρεφμα, απαραίτθτθ προχπόκεςθ είναι θ φπαρξθ ενόσ κλειςτοφ θλεκτρικοφ κυκλϊματοσ. Είδθ κυκλωμάτων Σα κυκλϊματα

Διαβάστε περισσότερα

Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 3 : τοιχεία Μνιμθσ flip-flop.

Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 3 : τοιχεία Μνιμθσ flip-flop. Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Ψθφιακά Ηλεκτρονικά Ενότθτα 3 : τοιχεία Μνιμθσ flip-flop Φϊτιοσ Βαρτηιϊτθσ 1 Ανοιχτά Ακαδημαϊκά Σμιμα Ψθφιακά Ηλεκτρονικά Ενότητα 3: τοιχεία

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Κεφάλαιο 7 i: Καταχωρητές Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές Ολίσθησης Σειριακή Φόρτωση Σειριακή Ολίσθηση Καταχωρητές Ολίσθησης Παράλληλης Φόρτωσης

Διαβάστε περισσότερα

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops K24 Ψηφιακά Ηλεκτρονικά 9: TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ ΤΕΧΝΟΛΟΓΙΚΟ Περιεχόμενα 1 2 3 Γενικά Ύστερα από τη μελέτη συνδυαστικών ψηφιακών κυκλωμάτων, θα μελετήσουμε

Διαβάστε περισσότερα

HY220 Εργαςτήριο Ψηφιακών Κυκλωμάτων.

HY220 Εργαςτήριο Ψηφιακών Κυκλωμάτων. HY220 Εργαςτήριο Ψηφιακών Κυκλωμάτων Διδάςκων: Χ. Σωτηρίου, Βοηθοί: Ε. Κουναλάκησ, Π. Ματτθαιάκησ http://www.csd.uoc.gr/~hy220 1 ΗΥ220 - Διάλεξθ 7θ - Αρικμθτικά Κυκλϊματα Κυκλϊματα Πρόςκεςθσ Half-adder

Διαβάστε περισσότερα

Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 11 : Μετρθτζσ Ριπισ Φϊτιοσ Βαρτηιϊτθσ

Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 11 : Μετρθτζσ Ριπισ Φϊτιοσ Βαρτηιϊτθσ Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Ψθφιακά Ηλεκτρονικά Ενότθτα 11 : Μετρθτζσ Ριπισ Φϊτιοσ Βαρτηιϊτθσ 1 Ανοιχτά Σμιμα Ψθφιακά Ηλεκτρονικά Ενότητα 11: Μετρθτζσ Ριπισ Φϊτιοσ Βαρτηιϊτθσ

Διαβάστε περισσότερα

Παραπάνω παρουςιάηεται ο πιο ςυνικθσ χωροκζτθςθ αρικμθτικϊν, λογικϊν κυκλωμάτων. Η μονάδα επεξεργαςίασ είναι θ λζξθ (λ.χ. 32-bit ςε επεξεργαςτζσ,

Παραπάνω παρουςιάηεται ο πιο ςυνικθσ χωροκζτθςθ αρικμθτικϊν, λογικϊν κυκλωμάτων. Η μονάδα επεξεργαςίασ είναι θ λζξθ (λ.χ. 32-bit ςε επεξεργαςτζσ, 1 2 3 4 Παραπάνω παρουςιάηεται ο πιο ςυνικθσ χωροκζτθςθ αρικμθτικϊν, λογικϊν κυκλωμάτων. Η μονάδα επεξεργαςίασ είναι θ λζξθ (λ.χ. 32-bit ςε επεξεργαςτζσ, 8-bit ςε DSP) και αυτι κακορίηει και τθν δομι τθσ

Διαβάστε περισσότερα

Παράςταςη ςυμπλήρωμα ωσ προσ 1

Παράςταςη ςυμπλήρωμα ωσ προσ 1 Δρ. Χρήστος Ηλιούδης Θζματα διάλεξησ ΣΤ1 Προςθεςη αφαίρεςη ςτο ΣΤ1 2 ή ΣΤ1 Ονομάηουμε ςυμπλιρωμα ωσ προσ μειωμζνθ βάςθ R ενόσ μθ προςθμαςμζνου αρικμοφ Χ = ( Χ θ-1 Χ θ-2... Χ 0 ) R ζναν άλλον αρικμό Χ'

Διαβάστε περισσότερα

Διάδοση θερμότητας σε μία διάσταση

Διάδοση θερμότητας σε μία διάσταση Διάδοση θερμότητας σε μία διάσταση Η θεωρητική μελζτη που ακολουθεί πραγματοποιήθηκε με αφορμή την εργαςτηριακή άςκηςη μζτρηςησ του ςυντελεςτή θερμικήσ αγωγιμότητασ του αλουμινίου, ςτην οποία διαγωνίςτηκαν

Διαβάστε περισσότερα

Ελλθνικι Δθμοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 13 : Άλλοι Μετρθτζσ Φϊτιοσ Βαρτηιϊτθσ

Ελλθνικι Δθμοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 13 : Άλλοι Μετρθτζσ Φϊτιοσ Βαρτηιϊτθσ Ελλθνικι Δθμοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Ψθφιακά Ηλεκτρονικά Ενότθτα 13 : Άλλοι Μετρθτζσ Φϊτιοσ Βαρτηιϊτθσ 1 Ανοιχτά Τμιμα Ψθφιακά Ηλεκτρονικά Ενότητα 13: Άλλοι Μετρθτζσ Φϊτιοσ Βαρτηιϊτθσ

Διαβάστε περισσότερα

Σχεδιασμός Ψηφιακών Συστημάτων

Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ 2: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 28 ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 28 Ακολουθιακά Κυκλώματα: Μανδαλωτές (Latches) και Flip-Flops Flops Διδάσκουσα: Μαρία

Διαβάστε περισσότερα

ΚΤΚΛΩΜΑ RLC Ε ΕΙΡΑ (Απόκριςη ςε ημιτονοειδή είςοδο)

ΚΤΚΛΩΜΑ RLC Ε ΕΙΡΑ (Απόκριςη ςε ημιτονοειδή είςοδο) ΚΤΚΛΩΜΑ RLC Ε ΕΙΡΑ (Απόκριςη ςε ημιτονοειδή είςοδο) χήμα Κφκλωμα RLC ςε ςειρά χήμα 2 Διανυςματικι παράςταςθ τάςεων και ρεφματοσ Ζςτω ότι ςτο κφκλωμα του ςχιματοσ που περιλαμβάνει ωμικι, επαγωγικι και χωρθτικι

Διαβάστε περισσότερα

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 4

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 4 Τμήμα Μησανικών Πληποφοπικήρ, Τ.Ε.Ι. Ηπείπος Ακαδημαϊκό Έτορ 2016-2017, 6 ο Εξάμηνο Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 4 Διδάςκων Τςιακμάκθσ Κυριάκοσ, Phd MSc in Electronic Physics (Radioelectrology)

Διαβάστε περισσότερα

ΠΑΙΔΑΓΩΓΙΚΟ ΙΝΣΙΣΟΤΣΟ ΚΤΠΡΟΤ Πρόγραμμα Επιμόρυωσης Τποψηυίων Καθηγητών Σεχνολογίας. Ηλεκτρονικά ΙΙ

ΠΑΙΔΑΓΩΓΙΚΟ ΙΝΣΙΣΟΤΣΟ ΚΤΠΡΟΤ Πρόγραμμα Επιμόρυωσης Τποψηυίων Καθηγητών Σεχνολογίας. Ηλεκτρονικά ΙΙ ΠΑΙΔΑΓΩΓΙΚΟ ΙΝΣΙΣΟΤΣΟ ΚΤΠΡΟΤ Πρόγραμμα Επιμόρυωσης Τποψηυίων Καθηγητών Σεχνολογίας Ηλεκτρονικά ΙΙ Πέμπτη 3/3/2011 Διδάζκων: Γιώργος Χαηζηιωάννοσ Τηλέθωνο: 99653828 Ε-mail: georghios.h@cytanet.com.cy Ώρες

Διαβάστε περισσότερα

Διαδικασία με βήματα. 1. Αλλάηω το χρϊμα ςκθνικοφ ςε γκρι(#3333).

Διαδικασία με βήματα. 1. Αλλάηω το χρϊμα ςκθνικοφ ςε γκρι(#3333). Διαδικασία με βήματα 1. Αλλάηω το χρϊμα ςκθνικοφ ςε γκρι(#3333). 2. Διαλζγω το Polystar Tool. Από τα Options κάνω το Polygon ςε Star και τα υπόλοιπα όπωσ είναι. Ζωγραφίηω ζνα αςτζρι πάνω αριςτερά. Fill

Διαβάστε περισσότερα

ΧΗΥΙΑΚΟ ΔΚΠΑΙΔΔΤΣΙΚΟ ΒΟΗΘΗΜΑ «ΥΤΙΚΗ ΘΔΣΙΚΗ ΚΑΙ ΣΔΦΝΟΛΟΓΙΚΗ ΚΑΣΔΤΘΤΝΗ» ΦΥΣΙΚΗ ΘΔΤΙΚΗΣ ΚΑΙ ΤΔΧΝΟΛΟΓΙΚΗΣ ΚΑΤΔΥΘΥΝΣΗΣ ΘΔΜΑ Α ΘΔΜΑ Β

ΧΗΥΙΑΚΟ ΔΚΠΑΙΔΔΤΣΙΚΟ ΒΟΗΘΗΜΑ «ΥΤΙΚΗ ΘΔΣΙΚΗ ΚΑΙ ΣΔΦΝΟΛΟΓΙΚΗ ΚΑΣΔΤΘΤΝΗ» ΦΥΣΙΚΗ ΘΔΤΙΚΗΣ ΚΑΙ ΤΔΧΝΟΛΟΓΙΚΗΣ ΚΑΤΔΥΘΥΝΣΗΣ ΘΔΜΑ Α ΘΔΜΑ Β 4 o ΔΙΓΩΝΙΜ ΠΡΙΛΙΟ 04: ΔΝΔΔΙΚΣΙΚΔ ΠΝΣΗΔΙ ΦΥΣΙΚΗ ΘΔΤΙΚΗΣ ΚΙ ΤΔΧΝΟΛΟΓΙΚΗΣ ΚΤΔΥΘΥΝΣΗΣ 4 ο ΔΙΓΩΝΙΣΜ ΔΝΔΔΙΚΤΙΚΔΣ ΠΝΤΗΣΔΙΣ ΘΔΜ. β. β 3. α 4. γ 5. α.σ β.σ γ.λ δ.σ ε.λ. ΘΔΜ Β Σωςτι είναι θ απάντθςθ γ. Έχουμε ελαςτικι

Διαβάστε περισσότερα

HY121 Ηλεκτρικϊ Κυκλώματα

HY121 Ηλεκτρικϊ Κυκλώματα HY Ηλεκτρικϊ Κυκλώματα Διδϊςκων: Χ. Σωτηρύου, Βοηθού: Ε. Βαςιλϊκησ, Δ. Πούλιοσ http://www.csd.uoc.gr/~hy Περιεχόμενα Στατικζσ Πφλεσ CMOS και Μεγζκθ Τρανηίςτορ Λογικι Λόγου Αντίςταςθσ/Μεγεκών (NMOS) Διαφορικι

Διαβάστε περισσότερα

Ψθφιακά Ηλεκτρονικά. Ενότθτα 4 : Ανάλυςθ ακολουκιακϊν κυκλωμάτων με ρολόι Φϊτιοσ Βαρτηιϊτθσ

Ψθφιακά Ηλεκτρονικά. Ενότθτα 4 : Ανάλυςθ ακολουκιακϊν κυκλωμάτων με ρολόι Φϊτιοσ Βαρτηιϊτθσ Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Κδρυμα Ηπείρου Ψθφιακά Ηλεκτρονικά Ενότθτα 4 : Ανάλυςθ ακολουκιακϊν κυκλωμάτων με ρολόι Φϊτιοσ Βαρτηιϊτθσ 1 Ανοιχτά Ακαδημαϊκά Μαθήματα ςτο ΤΕΙ Ηπείρου Σμιμα

Διαβάστε περισσότερα

Σχεδίαση υψηλών επιδόσεων pipelining παράλληλη επεξεργασία

Σχεδίαση υψηλών επιδόσεων pipelining παράλληλη επεξεργασία Σχεδίαση υψηλών επιδόσεων pipelining παράλληλη επεξεργασία Γιώργος Δημητρακόπουλος Τμήμα Επιστήμης Υπολογιστών Πανεπιστήμιο Κρήτης Φθινόπωρο 2008 ΗΥ220 1 Περιεχόμενα μαθήματος Ρυθμός εκτέλεσης εργασιών

Διαβάστε περισσότερα

Τεχνικζσ Ανάλυςησ Διοικητικών Αποφάςεων

Τεχνικζσ Ανάλυςησ Διοικητικών Αποφάςεων Τεχνικζσ Ανάλυςησ Διοικητικών Αποφάςεων Ενότητα 3: υςτιματα ουρϊν αναμονισ Κακθγθτισ Γιάννθσ Γιαννίκοσ χολι Οργάνωςθσ και Διοίκθςθσ Επιχειριςεων Σμιμα Διοίκθςθσ Επιχειριςεων Σκοποί ενότητασ Μελζτθ ςυςτθμάτων

Διαβάστε περισσότερα

GNSS Solutions guide. 1. Create new Project

GNSS Solutions guide. 1. Create new Project GNSS Solutions guide 1. Create new Project 2. Import Raw Data Αναλόγωσ τον τφπο των δεδομζνων επιλζγουμε αντίςτοιχα το Files of type. παράδειγμα ζχουν επιλεγεί για ειςαγωγι αρχεία τφπου RINEX. το Με τθν

Διαβάστε περισσότερα

Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο του Άβακα

Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο του Άβακα Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο του Άβακα Αυτζσ οι οδθγίεσ ζχουν ςτόχο λοιπόν να βοθκιςουν τουσ εκπαιδευτικοφσ να καταςκευάςουν τισ δικζσ τουσ δραςτθριότθτεσ με το μοντζλο του Άβακα. Παρουςίαςη

Διαβάστε περισσότερα

Λογικά Ψθφιακά Κυκλϊματα

Λογικά Ψθφιακά Κυκλϊματα Λογικά Ψθφιακά Κυκλϊματα Δρ. Χρήστος Ηλιούδης Θζματα διάλεξησ Βαςικεσ λογικεσ ςυναρτηςεισ Βαςικεσ πυλεσ Συνθετεσ πυλεσ ςυνδυαςτικά κυκλώματα 2 Ψηφιακζσ Λογικζσ Πφλεσ Οι λογικζσ ςυναρτιςεισ είναι δυνατόν

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9. Tα Flip-Flop

ΑΣΚΗΣΗ 9. Tα Flip-Flop ΑΣΚΗΣΗ 9 Tα Flip-Flop 9.1. ΣΚΟΠΟΣ Η κατανόηση της λειτουργίας των στοιχείων μνήμης των ψηφιακών κυκλωμάτων. Τα δομικά στοιχεία μνήμης είναι οι μανδαλωτές (latches) και τα Flip-Flop. 9.2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ

Διαβάστε περισσότερα

HY225 Οργϊνωςη Υπολογιςτών

HY225 Οργϊνωςη Υπολογιςτών HY225 Οργϊνωςη Υπολογιςτών Διδϊςκοντεσ: Δ. Νικολόπουλοσ, Χ. ωτηρύου. http://www.csd.uoc.gr/~hy225 1 Πωσ μετρϊμε την Απόδοςη; Ορίηουμε Απόδοςθ = 1/(Χρόνοσ Εκτζλεςθσ) «Χ είναι ν φορζσ γρθγορότερο από το

Διαβάστε περισσότερα

Διαδικασία Δημιοσργίας Ειδικών Λογαριασμών. (v.1.0.7)

Διαδικασία Δημιοσργίας Ειδικών Λογαριασμών. (v.1.0.7) Διαδικασία Δημιοσργίας Ειδικών Λογαριασμών (v.1.0.7) 1 Περίληψη Το ςυγκεκριμζνο εγχειρίδιο δημιουργήθηκε για να βοηθήςει την κατανόηςη τησ διαδικαςίασ δημιουργίασ ειδικών λογαριαςμών. Παρακάτω προτείνεται

Διαβάστε περισσότερα

Δζντρα. Δομζσ Δεδομζνων

Δζντρα. Δομζσ Δεδομζνων Δζντρα Δομζσ Δεδομζνων Περιεχόμενα Δζντρα Γενικζσ ζννοιεσ Κόμβοσ ενόσ δζντρου Δυαδικά δζντρα αναηιτθςθσ Αναηιτθςθ Κόμβου Ειςαγωγι ι δθμιουργία κόμβου Δζντρα Γενικζσ ζννοιεσ Οι προθγοφμενεσ δομζσ που εξετάςτθκαν

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 2008

ΗΜΥ 210: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 2008 ΗΜΥ-211: Εργαστήριο Σχεδιασμού Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2009 Ακολουθιακά Κυκλώματα: Μανδαλωτές (Latches), Flip-FlopsFlops και Μετρητές Ριπής Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων

Διαβάστε περισσότερα

Ενδεικτικζσ Λφςεισ Θεμάτων

Ενδεικτικζσ Λφςεισ Θεμάτων c AM (t) x(t) ΤΕΙ Σερρών Τμήμα Πληροφορικής & Επικοινωνιών Σειρά Β Ειςηγητήσ: Δρ Απόςτολοσ Γεωργιάδησ ΕΠΙΚΟΙΝΩΝΙΕΣ Ι Ενδεικτικζσ Λφςεισ Θεμάτων Θζμα 1 ο (1 μον.) Ζςτω περιοδικό ςιμα πλθροφορίασ με περίοδο.

Διαβάστε περισσότερα

ΑΔΡΑΝΕΙΑ ΜΑΘΗΣΕ: ΜΑΡΙΑΝΝΑ ΠΑΡΑΘΤΡΑ ΑΝΑΣΑΗ ΠΟΤΛΙΟ ΠΑΝΑΓΙΩΣΗ ΠΡΟΔΡΟΜΟΤ ΑΝΑΣΑΙΑ ΠΟΛΤΧΡΟΝΙΑΔΟΤ ΙΩΑΝΝΑ ΠΕΝΓΚΟΤ

ΑΔΡΑΝΕΙΑ ΜΑΘΗΣΕ: ΜΑΡΙΑΝΝΑ ΠΑΡΑΘΤΡΑ ΑΝΑΣΑΗ ΠΟΤΛΙΟ ΠΑΝΑΓΙΩΣΗ ΠΡΟΔΡΟΜΟΤ ΑΝΑΣΑΙΑ ΠΟΛΤΧΡΟΝΙΑΔΟΤ ΙΩΑΝΝΑ ΠΕΝΓΚΟΤ ΑΔΡΑΝΕΙΑ ΜΑΘΗΣΕ: ΜΑΡΙΑΝΝΑ ΠΑΡΑΘΤΡΑ ΑΝΑΣΑΗ ΠΟΤΛΙΟ ΠΑΝΑΓΙΩΣΗ ΠΡΟΔΡΟΜΟΤ ΑΝΑΣΑΙΑ ΠΟΛΤΧΡΟΝΙΑΔΟΤ ΙΩΑΝΝΑ ΠΕΝΓΚΟΤ Οριςμόσ: Με τον όρο αδράνεια ςτθ Φυςικι ονομάηεται θ χαρακτθριςτικι ιδιότθτα των ςωμάτων να αντιςτζκονται

Διαβάστε περισσότερα

Κυκλώματα αποθήκευσης με ρολόι

Κυκλώματα αποθήκευσης με ρολόι Κυκλώματα αποθήκευσης με ρολόι Latches και Flip-Flops Γιώργος Δημητρακόπουλος Τμήμα Επιστήμης Υπολογιστών Πανεπιστήμιο Κρήτης 1 Γιατί χρειαζόμαστε τα ρολόγια Συνδιαστική λογική Η έξοδος εξαρτάται μόνο

Διαβάστε περισσότερα

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 1) Να μετατρέψετε τον δεκαδικό αριθμό (60,25) 10, στον αντίστοιχο δυαδικό 11111,11 111001,01 111100,01 100111,1 111100,01 2)

Διαβάστε περισσότερα

HY121 Ηλεκτρικϊ Κυκλώματα

HY121 Ηλεκτρικϊ Κυκλώματα HY121 Ηλεκτρικϊ Κυκλώματα Διδϊςκων: Χ. Σωτηρύου, Βοηθού: Ε. Βαςιλϊκησ, Δ. Πούλιοσ http://www.csd.uoc.gr/~hy121 1 Περιεχόμενα Συςκευζσ ςτο Πυρίτιο Πυρίτιο n και p Δίοδοσ Θετικι, αρνθτικι πόλωςθ Εξίςωςθ

Διαβάστε περισσότερα

Ενότητα ΑΡΧΕΣ ΑΚΟΛΟΥΘΙΑΚΗΣ ΛΟΓΙΚΗΣ LATCHES & FLIP-FLOPS

Ενότητα ΑΡΧΕΣ ΑΚΟΛΟΥΘΙΑΚΗΣ ΛΟΓΙΚΗΣ LATCHES & FLIP-FLOPS Ενότητα ΑΡΧΕΣ ΑΚΟΛΟΥΘΙΑΚΗΣ ΛΟΓΙΚΗΣ LATCHES & FLIP-FLOPS Γενικές Γραμμές Ακολουθιακή Λογική Μεταστάθεια S-R RLatch h( (active high h&l low) S-R Latch with Enable Latch Flip-Flop Ασύγχρονοι είσοδοι PRESET

Διαβάστε περισσότερα

Εργαστηριακή άσκηση στο μάθημα του Αυτομάτου Ελέγχου (ΜΜ803)

Εργαστηριακή άσκηση στο μάθημα του Αυτομάτου Ελέγχου (ΜΜ803) Εργαστηριακή άσκηση στο μάθημα του Αυτομάτου Ελέγχου (ΜΜ803) Το ςφςτθμα τθσ φωτογραφίασ αποτελείται από ζνα κινθτιρα ςτον άξονα του οποίου ζχουμε προςαρμόςει ζνα φορτίο. Στον κινθτιρα υπάρχει ςυνδεδεμζνοσ

Διαβάστε περισσότερα

HY437 Αλγόριθμοι CAD

HY437 Αλγόριθμοι CAD HY437 Αλγόριθμοι CAD Διδάςκων: Χ. Σωτηρίου http://inf-server.inf.uth.gr/courses/ce437/ 1 Περιεχόμενα Κανονικζσ Μορφζσ Οριςμόσ των Δυαδικών Διαγραμμάτων Αποφάςεων (Binary Decision Diagrams BDDs) Αναπαράςταςθ

Διαβάστε περισσότερα

HY225 Οργάνωςη Τπολογιςτών

HY225 Οργάνωςη Τπολογιςτών HY225 Οργάνωςη Τπολογιςτών Διδάςκοντεσ: Δ. Νικολόπουλοσ, Φ. ωτηρίου. http://www.csd.uoc.gr/~hy225 1 Περιεχόμενα Αναςκόπθςθ χεδίαςθ/τλοποίθςθ Επεξεργαςτι Διαδικαςία Εκτζλεςθσ Εντολισ Επιςκόπθςθ δομισ Επεξεργαςτι

Διαβάστε περισσότερα

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 7 θ Διάλεξθ Διαχείριςθ Μνιμθσ Μζροσ Γ

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 7 θ Διάλεξθ Διαχείριςθ Μνιμθσ Μζροσ Γ ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ 7 θ Διάλεξθ Διαχείριςθ Μνιμθσ Μζροσ Γ ελιδοποίθςθ (1/10) Σόςο θ κατάτμθςθ διαμεριςμάτων ςτακεροφ μεγζκουσ όςο και θ κατάτμθςθ διαμεριςμάτων μεταβλθτοφ και άνιςου μεγζκουσ δεν κάνουν

Διαβάστε περισσότερα

Προςζξτε ότι για τα A, B ςε ςειρά, θ πθγι του πάνω, όταν είναι ανοικτό φτάνει μόνο τα (Vdd Vtn)V.

Προςζξτε ότι για τα A, B ςε ςειρά, θ πθγι του πάνω, όταν είναι ανοικτό φτάνει μόνο τα (Vdd Vtn)V. 1 2 Όπωσ και ςτον αντιςτροφζα, ζτςι και ςτισ βαςικζσ ι πολφπλοκεσ ςτατικζσ διατάξεισ τρανηίςτορ μποροφμε να χρθςιμοποιιςουμε το μοντζλο τθσ ιςοδφναμθσ αντίςταςθσ. Με αυτό τον τρόπο προκφπτουν πιο πολφπλοκα

Διαβάστε περισσότερα

Ειςαγωγι ςτθν Τεχνολογία Αυτοματιςμοφ

Ειςαγωγι ςτθν Τεχνολογία Αυτοματιςμοφ ΠΑΝΕΠΙΣΗΜΙΟ ΑΙΓΑIΟΤ & ΑΕΙ ΠΕΙΡΑΙΑ Σ.Σ. Σμήματα Ναυτιλίας και Επιχειρηματικών Τπηρεσιών & Μηχ. Αυτοματισμού ΣΕ Ειςαγωγι ςτθν Τεχνολογία Αυτοματιςμοφ Ενότθτα # 7: Συςτιματα Ελζγχου Μόνιμο ςφάλμα Ευςτάκεια

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Μηχανές Πεπερασμένων Καταστάσεων Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ

Διαβάστε περισσότερα

ΦΥΕ 14 ΑΚΑΔ. ΕΤΟΣ Η ΕΡΓΑΣΙΑ. Ημερομηνία παράδοςησ: 12 Νοεμβρίου (Όλεσ οι αςκιςεισ βακμολογοφνται ιςοτίμωσ με 10 μονάδεσ θ κάκε μία)

ΦΥΕ 14 ΑΚΑΔ. ΕΤΟΣ Η ΕΡΓΑΣΙΑ. Ημερομηνία παράδοςησ: 12 Νοεμβρίου (Όλεσ οι αςκιςεισ βακμολογοφνται ιςοτίμωσ με 10 μονάδεσ θ κάκε μία) ΦΥΕ ΑΚΑΔ. ΕΤΟΣ 007-008 Η ΕΡΓΑΣΙΑ Ημερομηνία παράδοςησ: Νοεμβρίου 007 (Όλεσ οι αςκιςεισ βακμολογοφνται ιςοτίμωσ με 0 μονάδεσ θ κάκε μία) Άςκηςη α) Να υπολογιςκεί θ προβολι του πάνω ςτο διάνυςμα όταν: (.

Διαβάστε περισσότερα

The Weather Experts Team. Φεβρουάριοσ 2013

The Weather Experts Team. Φεβρουάριοσ 2013 1 Φεβρουάριοσ 2013 2 Οδηγίεσ για την ειδική πρόςβαςη ςτο WeatherExpert 1. Μζςω του browser του υπολογιςτι ςασ (π.χ. InternetExplorer, Mozilla Firefox κ.α.) ςυνδεκείτε ςτθν ιςτοςελίδα μασ : http://www.weatherexpert.gr

Διαβάστε περισσότερα

Διαγώνισμα Φυσική ς Α Λυκει ου Έργο και Ενε ργεια

Διαγώνισμα Φυσική ς Α Λυκει ου Έργο και Ενε ργεια Διαγώνισμα Φυσική ς Α Λυκει ου Έργο και Ενε ργεια Επιμέλεια: Σ. Ασημέλλης Θέμα Α Να γράψετε ςτο φφλλο απαντιςεϊν ςασ τον αρικμό κακεμιάσ από τισ παρακάτω ερωτιςεισ 1-4 και δίπλα το γράμμα που αντιςτοιχεί

Διαβάστε περισσότερα

lim x και lim f(β) f(β). (β > 0)

lim x και lim f(β) f(β). (β > 0) . Δίνεται θ παραγωγίςιμθ ςτο * α, β + ( 0 < α < β ) ςυνάρτθςθ f για τθν οποία ιςχφουν: f(α) lim (-) a και lim ( f(β)) = Να δείξετε ότι: α. f(α) < α και f(β) > β β. Αν g() = τότε θ C f και C g ζχουν ζνα

Διαβάστε περισσότερα

Μικροηλεκτρονική - VLSI

Μικροηλεκτρονική - VLSI ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Μικροηλεκτρονική - VLSI Ενότητα 7: Ακολουθιακή Λογική Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες

Διαβάστε περισσότερα

Epsilon Cloud Services

Epsilon Cloud Services 1 Περίλθψθ Το ςυγκεκριμζνο εγχειρίδιο δημιουργήθηκε για να βοηθήςει την κατανόηςη τησ λειτουργίασ και παραμετροποίηςησ του Epsilon Cloud Services ςτην εφαρμογή extra Λογιςτική Διαχείριςη. 2 2 Περιεχόμενα

Διαβάστε περισσότερα

Κεφάλαιο 7: Μοντελοποίθςθ ςυμπεριφοράσ

Κεφάλαιο 7: Μοντελοποίθςθ ςυμπεριφοράσ Κεφάλαιο 7: Μοντελοποίθςθ ςυμπεριφοράσ τόχοι Κατανόθςθ των κανόνων και των γενικϊν κατευκφνςεων για τα διαγράμματα ακολουκίασ και επικοινωνίασ, κακϊσ και για τισ ςυμπεριφορικζσ μθχανζσ καταςτάςεων. Κατανόθςθ

Διαβάστε περισσότερα

Ψηφιακή Σχεδίαση. Δρ. Μηνάς Δασυγένης Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

Ψηφιακή Σχεδίαση. Δρ. Μηνάς Δασυγένης Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 8: Μανδαλωτές SR, S R D Flip-Flops Αφέντη Σκλάβου, Σχεδιασμός Ακολουθιακών κυκλωμάτων, Πίνακας Καταστάσεων, Διάγραμμα Καταστάσεων

Διαβάστε περισσότερα

Αυγ-13 Ακολουθιακά Κυκλώματα: Μανδαλωτές και Flip-Flops. ΗΜΥ 210: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 2009.

Αυγ-13 Ακολουθιακά Κυκλώματα: Μανδαλωτές και Flip-Flops. ΗΜΥ 210: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 2009. ΗΜΥ-20: Σχεδιασμός Ψηφιακών Συστημάτων Ακολουθιακά Κυκλώματα: Μανδαλωτές (Latches) και Flip-Flops Flops Διδάσκουσα: Μαρία Κ. Μιχαήλ Ακολουθιακά Κυκλώματα Συνδυαστική Λογική: Η τιμή σε μία έξοδο εξαρτάται

Διαβάστε περισσότερα