Δημοκρίτειο Πανεπιστήμιο Θράκης Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Δημοκρίτειο Πανεπιστήμιο Θράκης Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών"

Transcript

1 Δημοκρίτειο Πανεπιστήμιο Θράκης Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Γ. Δημητρακόπουλος Ολοκληρωμένα Κυκλώματα Συνδυαστική Λογική Άσκηση 1 Η τρίλιζα παίζεται από δύο παίκτες πάνω σε ένα δισδιάστατο ταμπλό με διαστάσεις 3x3 όπως αυτό που φαίνεται στο Σχήμα 1(α). Ο πρώτος παίκτης τοποθετεί στις κενές θέσεις του ταμπλό τα σύμβολα X και ο δεύτερος τα Ο. Κάποιος παίκτης κερδίζει αν καταφέρει να τοποθετήσει τα 3 σύμβολα που του αντιστοιχούν σε κάποια από τις γραμμές, στήλες ή κύριες διαγωνίους του ταμπλό. Για παράδειγμα, στο στιγμιότυπο του Σχήματος 1(β) o δεύτερος παίκτης έχει κερδίσει εφόσον σχημάτισε μια τριάδα από Ο στη δεύτερη γραμμή του ταμπλό. Για να μπορέσουμε να αντιμετωπίσουμε τη σχεδίαση ενός κυκλώματος που θα μπορεί να παίζει τρίλιζα θα πρέπει πρώτα απ όλα να ξεκαθαρίσουμε την κωδικοποίηση που έχουμε επιλέξει για την τοποθέτηση των Χ και των Ο πάνω στο ταμπλό. Για λόγους απλότητας και μόνο επιλέξαμε να κωδικοποιήσουμε τη θέση των Χ και των Ο με δυο 9μπιτες ποσότητες. Χρησιμοποιούμε 9 καλώδια για το Χ και 9 καλώδια για το Ο. Για τα Χ όταν ένα από τα καλώδια φέρει το λογικό 1 σημαίνει πως στην αντίστοιχη θέση έχει τοποθετηθεί ένα Χ. Το ίδιο ισχύει και για τα Ο. Όταν το καλώδιο μιας θέσης είναι και για τα Χ και για τα Ο ίσο με λογικό 0 σημαίνει πως η αντίστοιχη θέση του ταμπλό είναι κενή. Η σειρά με την οποία αριθμήσαμε τις θέσεις του ταμπλό φαίνεται στο Σχήμα 1(γ). Επομένως το στιγμιότυπο που αντιστοιχεί στο Σχήμα 1(δ) κωδικοποιείται ως εξής: Χ(8 έως 0): και Ο(8 έως 0): Το καλώδιο Χ(8)=1 δείχνει ότι στη θέση 8 υπάρχει ένα Χ. Ενώ το καλωδιο Ο(5)=0 δείχνει ότι στη θέση 5 δεν υπάρχει κάποιο Ο. Εφόσον και το Χ(5)=0 και το Ο(5)=0 τότε αυτό σημαίνει πως η θέση 5 είναι κενή. Σας ζητείται να σχεδιάσετε μια υπομονάδα η οποία παράγει ως έξοδο ένα σήμα και δείχνει το αποτέλεσμα όταν το παιχνίδι τελειώνει. Το σήμα θα πρέπει να κωδικοποιεί τις επιλογές: σε εξέλιξη, νίκη, ήττα, ισοπαλία. Η κωδικοποιήση που θα διαλέξετε για το σήμα εξόδου είναι δική σας επιλογή. Απάντηση 1 Το παιχνίδι τελειώνει όταν κάποιος από τους παίκτες Χ ή Ο κερδίσει ή γεμίσει το ταμπλό, διαφορετικά είναι κόμη σε εξέλιξη. Το ταμπλό είναι γεμάτο αν υπάρχει σε κάθε θέση ένα τουλάχιστον Χ ή Ο. Άρα θέλουμε 9 επιπλέον σήματα τέτοια ώστε f[i] = X[i] + O[i]. Για το ταμπλό θα ισχύει ότι full = f8 f7 f6 f0. Αντίστοιχα δημιουργούμε τα σήματα για τη νίκη του παίκτη Χ και Ο, winx και wino όπως και στις σημειώσεις. Η έξοδος μπορεί να πάρει τέσσερις τιμές τις οποίες τις κωδικοποιούμε σε αναπαράσταση one-hot ως εξής:

2 S1: 0001 : το παιχνίδι είναι σε εξέλιξη S2: 0010 : νικητής παίκτης Χ S3: 0100 : ήττα για τον παίκτη Χ (νικητής παίκτης Ο) S4: 1000 : ισοπαλία Για την έξοδο: Όταν full = 0, winx = 0 και wino = 0, τότε η έξοδος είναι η S1. Όταν winx = 1, τότε η έξοδος είναι η S2. Όταν wino = 1, τότε η έξοδος είναι η S3. Όταν full = 1, winx = 0 και wino = 0, τότε η έξοδος είναι η S4. Άσκηση 2 Σας δίνονται δύο n-μπιτα σήματα τα οποία ακολουθούν την onehot αναπαράσταση. Σας ζητείται να σχεδιάσετε ένα κύκλωμα το οποίο θα δίνει στην έξοδο του τον μεγαλύτερο από τους δύο. Μεγαλύτερος θεωρείται ο onehot αριθμός ο οποίος έχει το ένα και μοναδικό 1 σε μια πιο σημαντική θέση. Για παράδειγμα από τους δύο αριθμούς onehot A=0010 και Β=1000, o B είναι μεγαλύτερος. Εκμεταλευτείτε με τον καλύτερο δυνατό τρόπο το γεγονός ότι οι αριθμοί σας ακολουθούν την onehot αναπαράσταση. Μπορείτε να λύσετε το πρόβλημα με ένα αλγοριθμικο-επαναληπτικό τρόπο. Σε κάθε περίπτωση η λύση σας να είναι γενική και να καλύπτει όλες τις περιπτώσεις για το εύρος των εισερχόμενων σημάτων. Απάντηση 2 Θεωρούμε πως έχουν δύο n-μπιτ σήματα Α, Β με one hot αναπαράσταση. Γνωρίζουμε πως στη one hot αναπαράσταση κάθε σήμα έχει έναν και μοναδικό 1. Αν πάρουμε το OR των δύο αυτών σημάτων Τ[i] = Α[i]+B[i], θα προκύψει ένα νέο σήμα το οποίο θα έχει 2 άσσους. πχ Α = 0010 Β = 0100 T = 0110 Ο άσσος στην πιο σημαντική θέση θα αντιστοιχεί στον μεγαλύτερο αρχικό αριθμό onehot ενώ ο δεύτερος στο μικρότερο. Αν είχαμε τελικά μόνο έναν άσσο οι δύο αριθμοί θα ήταν ίδιοι. Εμάς μας ενδιαφέρει να απομονώσουμε τον άσσο που βρίσκεται στην πιο σημαντική θέση καθώς αυτός προέκυψε από τον μεγαλύτερο αριθμό. Δηλαδή από ένα Τ = 0110 να παράξουμε ένα σήμα G= 0100 το οποίο θα διατηρεί μόνο τον πιο σημαντικό άσσο. H λειτουργία που θέλουμε να πετύχουμε μοιάζει με αυτή της διαιτησίας όπου κερδίζει η αίτηση (request) που βρίσκεται στην πιο σημαντική θέση. Έτσι, αν θεωρήσουμε το σήμα Τ ως είσοδο (Request) σε ένα κύκλωμα διαιτησίας, στο οποίο ο διαιτητής ξεκινά τον έλεγχο από τη θέση n-1, η οποία έχει την υψηλότερη προτεραιότητα, τότε η έξοδος των σημάτων grant του διαιτητή είναι ο ζητούμενος αριθμός (δλδ το maximum των δύο εισόδων που ακολουθούν την κωδικοποίηση onehot). Ακολουθώντας τη λειτουργία ενός κυκλώματος διαιτητή, η έξοδος μπορεί να γίνει 1, όταν η είσοδος είναι 1 και έχει δοθεί η προτεραιότητα στην αντίστοιχη θέση, δηλαδή Grant[i] = Req[i] P[i] Η προτεραιότητα μεταβιβάζεται στην επόμενη θέση αν δε θέλει να τη χρησιμοποιήσει, δηλαδή Req[i] = 0. Τότε, P[i-1] = P[i] και P[i] = (not Req[i]) P[i]. Στο σχήμα φαίνεται η υλοποίηση για σήματα 4 μπιτς.

3 Άσκηση 3 Στην περίπτωση ενός μεταγωγέα δικτύου (network switch) αλλά και σε πολλές άλλες εφαρμογές ψηφιακών συστημάτων μια θύρα του δικτύου διαμοιράζεται σε πολλές εισόδους. Στο παράδειγμα μας οι είσοδοι είναι 4. H είσοδος i όταν έχει να μεταδόσει κάτι προς τη συγκεκριμένη έξοδο ενεργοποιεί ένα σήμα αίτησης (request) R[i]=1, δηλώνοντας έτσι την επιθυμία της. Αντίθετα όταν δεν έχει έτοιμα προς μετάδοση δεδομένα φροντίζει το σήμα της αίτησης να είναι ανενεργό R[i]=0. Η έξοδος δέχεται τις 4 αίτησεις από όλες τις εισόδους και μπορεί να επιλέξει μόνο μια από αυτές. Για να το κάνει αυτό χρησιμοποιεί ένα σύνθετο αλγόριθμο χρονοπρογραμματισμού ο οποίος σε κάποιο βήμα του απαιτείται να γνωρίζει πόσες αιτήσεις των εισόδων είναι οι ενεργές. Για να διευκολύνεται την υλοποίηση του αλγορίθμου χρονοπρογραμματισμού καλείστε να σχεδιάσετε ένα κύκλωμα το οποίο θα ενεργοποιεί τη μία του έξοδο του κάθε φορά που μόνο μια αίτηση είναι ενεργή. Απάντηση 3 Ψάχνουμε να διαπιστώσουμε αν η είσοδος R έχει ένα μόνο bit ενεργό (άρα ακολουθεί την αναπαράσταση one-hot). Μία λέξη των 4 bit έχει 1 μόνο bit ενεργό αν: 1000 A " A # A $ A % 0100 A " A # A $ A % 0010 A " A # A $ A % 0001 A " A # A $ A % Όταν συμβεί τουλάχιστον ένας συνδυασμός, η αναπαράσταση είναι one-hot. Άρα valid = A " A # A $ A % + A " A # A $ A % + A " A # A $ A % + A " A # A $ A % Άσκηση 4 Έχετε στη διάθεση σας ένα κύκλωμα με πολυπλέκτες οι οποίοι ελέγχονται από τα σήματα S0-S3 και επιλέγουν τα δεδομένα Α, Β, C, D και Ε. Τα σήματα ελέγχου μπορούν να λάβουν οποιεσδήποτε τιμές. Στην προσπάθεια να μετασχηματίσετε το κύκλωμα αυτό καταλήξατε σε δύο λύσεις οι οποίες φαίνονται παρακάτω. Ποια από τις δύο πάραγει το ίδιο αποτέλεσμα με το αρχικό κύκλωμα και γιατί.

4 Απάντηση 4 Ο τελευταίος πολυπλέκτης του αρχικού κυκλώματος δέχεται σαν είσοδο την έξοδο του πολυπλέκτη που ελέγχεται από το s1 (έστω ότι την ονομάζουμε x) και την D ή E, ανάλογα με το s3. Επομένως η τιμή του σήματος εξόδου θα είναι: Για τις δύο επιλογές πολυπλεκτών ισχύει: Επιλογή 1: S0 S3 Έξοδος 0 0 x 0 1 x 1 0 D 1 1 E Άρα η σωστή επιλογή είναι η 1 S0 S3 Έξοδος 0 0 x 0 1 x 1 0 D 1 1 E Επιλογή 2 S0 S3 Έξοδος 0 0 x 0 1 Ε 1 0 Ε 1 1 E Άσκηση 5 Κάποιος συνάδελφος σας αποφάσισε να υλοποιήσει το κύκλωμα που απεικονίζεται αριστερά στην παρακάτω εικόνα μέσω ενός πολυπλέκτη. Ποια θα ήταν η λογική στο κενό άκρο του πολυπλέκτη ώστε τα δύο κυκλώματα να εκτλελούσαν ακριβώς την ίδια εργασία. Στη συνέχεια αφού συνδέσετε την έξοδο Ζ σε ένα λογικό αναλυτή ποια περιμένετε να είναι η κυματομορφή του αν οι είσοδοι Α, Β και C παρούν τις τιμές που απεικονίζονται πιο κάτω με γραφικό τρόπο; A A B C Z B C 0 1 Z A B C

5 Απάντηση 5 Για Α=0 η πρώτη NAND θα έχει έξοδο 1. Επομένως η τελική έξοδος θα εξαρτάται από την δεύτερη είσοδο της τελευταίας NAND όπως φαίνεται στο σχήμα. Μετά την απομάκρυνση των στοιχείων που δεν χρειάζονται Τελικώς Για Α=1 η έξοδος της πρώτης NAND εξαρτάται από το Β, και είναι αντίστροφη του B : Μετά την απομάκρυνση των στοιχείων που δεν χρειάζονται Μετά την απλοποίηση των αντιστροφέων Η έξοδος επανυπολογίζεται σε κάθε αλλαγή της εισόδου. Οι μεταβάσεις παρουσιάζονται στο παρακάτω σχήμα: Άσκηση 6 Έχετε στη διάθεση σας ένα πληκτρολόγιο με 12 πλήκτρα τα οποία είναι οργανωμένα σε 4 γραμμές και 3 στήλες. Η έξοδος του πληκτρολογίου δίνεται σε 7 σύρματα. Τα 4 από αυτά R1, R2, R3, R4 αναφέρονται στις γραμμές των πλήκτρων και τα υπόλοιπα 3 στις στήλες, C1, C2, C3. Κάθε φορά που πατιέται ένα πλήκτρο ενεργοποιούνται ταυτόχρονα η γραμμή και η στήλη στην οποία ανήκει το πλήκτρο ενώ όλα τ αλλα σύρματα της εξόδου παραμένουν ανενεργά. Δηλαδή όταν πατηθεί το πλήκτρο 2 τα σύρματα της εξόδου θα λάβουν τις εξής τιμές (R1, R2, R3, R4)=(1, 0, 0, 0) και (C1, C2, C3)=(0,1,0). Στόχος του κυκλώματος που θέλετε να σχεδιάσετε είναι να συνδέσετε τα σύρματα εξόδου του πληκτρολογίου με μια οθόνης προβολής 7 σημείων, στην οποία θα αναπαρίσταται το πλήκτρο που έχει πατηθεί. Το πλήκτρο # αναπαρίσταται με - ενώ το & με -. Για την απάντηση της άσκησης αρκεί να παρουσιάσετε τις λογικές συναρτήσεις των εξόδων Α και Β της οθόνης προβολής. Σκεφτείτε ποιοτικά αποφεύγοντας τη χρήση πινάκων αληθείας και απλοποίησης λογικών συναρτήσεων Απάντηση 6 Θέλουμε να φωτίσουμε τους παρακάτω συνδυασμούς:

6 Από την παραπάνω εικόνα φαίνεται ότι για να ενεργοποιηθεί η έξοδος Α πρέπει να είναι πατημένο ένα από τα πλήκτρα 0,2,3,5,6,7,8,9. Άρα A = R4C2 + R1C2 + R1C3 + R2C2 + R2C3 + R3C1 + R3C2 + R3C3 = R3(C1+C2+C3) + R2(C2+C3) + R1(C2+C3) + R4C2 Εάν ενεργοποιηθεί το R3 και οποιοδήποτε από τα C1,C2,C3 τότε το A είναι on. Άρα: R3 C1 + C2 + C3 = R3 Εάν ενεργοποιηθεί το R2 και ένα από τα C2, C3 τότε το A είναι on. Αυτό ισοδυναμεί με την ενεργοποίηση του R2 και οποιουδήποτε C εκτός του C1. Άρα: R2 C2 + C3 = R2C1. Οπότε μετά τις απλοποιήσεις: A = R1C1 + R2C1 + R3 + R4C2 Για το Β παρατηρούμε ότι φωτίζεται εάν πατηθεί οποιοδήποτε πλήκτρο της σειράς 0,2,3, και για το 4. Άρα: B = R1 + R2C1 + R3 + R4 Άσκηση 7 Σχεδιάστε ένα κύκλωμα συνδυαστικής λογικής το οποίο θα δέχεται στην είσοδο του ένα 4ψηφίο αριθμό Α ο οποίος ακολουθεί την αναπαράσταση συμπληρώματος με βάση το 2 και υπολογίζει στην έξοδο του την απόλυτη τιμή του. Για παράδειγμα αν η είσοδος ήταν το 0111 τότε η έξοδος θα ήταν το 0111, ενώ στην περίπτωση που 1111 τότε η έξοδος θα ήταν Γνωρίζουμε επίσης πως το Α δε μπορεί να πάρει ποτέ την τιμή -8 και όλες οι τιμές του κινούνται μεταξύ του -7 και του +7 στην περίπτωση συμπληρώματος με βάση το 2 στα 4 δυαδικά ψηφία. Για την παρουσίαση της λύσης που θα προτείνετε δεν απαιτείται ένα πλήρες λογικό διάγραμμα. Ακόμα και ένα πιο αφαιρετικό αλλά κατανοητό σχήμα αρκεί. Παρόλα αυτά είστε ελεύθεροι να επιλέξετε όποια σχεδιαστική προσέγγιση επιθυμείτε. Απάντηση 7 Ο αριθμός Α = A 3 A 2 A 1 A 0 ακολουθεί την αναπαράσταση συμπληρώματος με βάση το 2 και δεν μπορεί να πάρει την τιμή -8. Την απόλυτη τιμή του Α την υπολογίζουμε ως εξής: Α = Α, Α 3 = 0 Α = Α + 1, Α 3 = 1 Το κύκλωμα απαιτεί έναν αθροιστή (πάντα +1) και κάποιους πολυπλέκτες:

7 Κανονικά στην απόλυτη τιμή αρκούν 3bit για την αναπαράστασή της καθώς είναι πάντα θετικός αριθμός και το Α 3 δίνει το πρόσημο.

8 Ψηφιακή Αριθμητική Άσκηση 1 Σας ζητείται να σχεδιάσετε το κύκλωμα που υπολογίζει την έκφραση 2A B + 2 όπου τα A, B, είναι signed αριθμοί των 4 δυαδικών ψηφίων με όσα λιγότερα κυκλωματικά στοιχεία (FAs) μπορείτε. Δείξτε με ένα αριθμητικό παράδειγμα ότι η οργάνωση του κυκλώματος σας δουλεύει σωστά. Απάντηση 1 Τα Α, Β είναι signed αριθμοί στα 4bits. Για να κάνουμε σωστά τις πράξεις πρέπει να βρούμε την δυναμική περιοχή του αποτελέσματος A >?@ = +7, A >BC = 8 B >?@ = +7, B >BC = 8 Άρα 2Α Β + 2 >?@ = 2A >?@ B >BC + 2 = 24 2Α Β + 2 >BC = 2A >BC B >?@ + 2 = 21 Άρα το αποτέλεσμα θα είναι 6μπιτο (+31-32) A GHBIJ = a " a # a $ a % A MHBIJ = a " a " a " a # a $ a % B GHBIJ = b " b # b $ b % B MHBIJ = b " b " b " b # b $ b % (sign extension) (sign extension) Επομένως 2A MHBIJ = a " a " a " a # a $ a % ø (left shift) B MHBIJ = B + 1 a " a " a # a $ a % 0 +2 b " b " b " b # b $ b % Άσκηση 2 Το κύκλωμα που θα σχεδιάσετε δέχεται σαν είσοδο τρείς αριθμούς Α, Β και C των 4 μπιτ που ακολουθούν την unsigned αναπαράσταση. Το κύκλωμα υπολογίζει την έκφραση ΑΒ+C. Δείξτε πρώτα την οργάνωση του υπολογισμού, μετά την ολοκλήρωση του με τελίτσες και κυκλάκια και τέλος δείξτε το κύκλωμα με FAs. Με μια έξυπνη οργάνωση του υπολογισμού δε χρειάζεται να αντιμετωπίσετε χωριστά τον πολλαπλασιασμό από την πρόσθεση. Απάντηση 2 Κάθε αριθμός unsigned στα 4bit έχει τιμή Χ = x " 2 " + x # 2 # + x $ 2 $ + x % 2 % Επομένως το AB+C θα πάρει την μορφή

9 2 S 2 G 2 " 2 # 2 $ 2 % a % b " a % b # a % b $ a % b % a $ b " a $ b # a $ b $ a $ b % c % a # b " a # b # a # b $ a # b % c $ a " b " a " b # a " b $ a " b % c # c " Εφόσον σε κάθε θέση έχουμε 2bit, μπορούμε να χρησιμοποιήσουμε για το τέλος έναν απλό αθροιστή 2 εισόδων. Ακολουθώντας την οργάνωση με τις τελείες μπορούμε να σχεδιάσουμε το κύκλωμα: Άσκηση 3 Σε πολλές εφαρμογές της ψηφιακής επεξεργασίας σήματος, τα σήματα εισόδου πολλαπλασιάζονται με σταθερές τιμές. Σε μια τέτοια εφαρμογή ένα 4μπιτο σήμα X το οποίο ακολουθεί την signed αναπαράσταση πρέπει να πολλαπλασιαστεί με το 7. Ο υπολογισμός του 7Χ μπορεί να πραγματοποιηθεί είτε ως 4Χ + 2Χ + Χ ή ως 8Χ Χ. Ετοιμάστε και τις δύο υλοποιήσεις που αντιστοιχούν στους δύο τρόπους υπολογισμού. Απάντηση 3 Το σήμα Χ ακολουθεί signed αναπαράσταση και είναι 4μπιτο συνεπώς ο Χ έχει ένα εύρος [-8,7] και άρα το γινόμενο 7Χ θα έχει εύρος [-56,49]. Συνεπώς για να αναπαραστήσουμε το γινόμενο 7Χ θα χρειαστούμε 7bit, τα οποία καλύπτουν εύρος [-64,63]. Για την υλοποίηση 4x + 2x + x 4x : Αρχικά x " x # x $ x % το 4x υλοποιείται με μια ολίσθηση των ψηφίων του x αριστερά κατά δύο θέσεις άρα θα είναι x " x # x $ x % øø. Με κατάλληλη επέκταση κρατούμενου εκφράζουμε στα 7bits. 4x στα 7bits x " x " x # x $ x % øø. 2x : Αρχικά x " x # x $ x %. Το 2x υλοποιείται με μία ολίσθηση των ψηφίων του x στα αριστερά κατά μία θέση άρα θα είναι χ " χ # χ $ χ % ø. Με κατάλληλη επέκταση κρατουμένου το εκφράζουμε στα 7bits 2x στα 7bits x " x " x " x # x $ x % ø.

10 x : πρέπει να εκφραστεί με κατάλληλη επέκταση κρατουμένου στα 7bits. Άρα x " x " x " x " x # x $ x % Παρακάτω οι ποσότητες που έχουμε να προσθέσουμε φαίνονται στον πίνακα αριστερά και η υλοποίηση αριστερά: 2 M 2 S 2 G 2 " 2 # 2 $ 2 % χ " χ " χ # χ $ χ % 0 0 χ " χ " χ " χ # χ $ χ % 0 + χ " χ " χ " χ " χ # χ $ χ % S M S S S G S " S # S $ S % Επειδή η πρόσθεση του 0 σε ένα ψηφίο δεν προκαλεί μεταβολή, μπορούμε να αποφεύγεται η πρόσθεση με το 0. Το κρατούμενο εξόδου S $ αγνοείτε και ο αριθμός που προκύπτει από την άθροιση είναι S M S S S G S " S # S $ S %. Για την υλοποίηση 8x x. Η πράξη μπορεί να γραφεί 8x x = 8x + x + 1, όπου το x προκύπτει από την αντιστροφή των ψηφίων του x. 8x : Το 8x προκύπτει από την ολίσθηση των ψηφίων του x αριστερά κατά 3 θέσεις άρα θα είναι x " x # x $ x % øøø, που είναι εκφρασμένο στα 7bits οπότε δεν απαιτείται περεταίρω ενέργεια. x : Προκύπτει από την αντιστροφή των ψηφίων του x και άρα x " x # x $ x %. Με κατάλληλη επέκταση κρατουμένου το x εκφράζεται στα 7bits ως x " x " x " x " x # x $ x % Το 8x + 1 είναι x " x # x $ x % øø1 και συνεπώς οι ποσότητες που έχουμε να προσθέσουμε φαίνονται αριστερά στον πίνακα ενώ στο αριστερό σχήμα φαίνεται η υλοποίηση : 2 M 2 S 2 G 2 " 2 # 2 $ 2 % χ " χ # χ $ χ % x " x " x " x " x # x $ x % S M S S S G S " S # S $ S %

11 Άσκηση 4 Ένα FIR φίλτρο με 3 συντελεστές υπολογίζει την τιμή y[n] = a x[n] + b x[n-1] + x[n-2] όπου τα x[n-1] και x[n-2] είναι οι καθυστερημένες εκδοχές του δείγματος x[n] κατά ένα και δύο κύκλους αντίστοιχα (οι τιμές που είχαν φτάσει στο σύστημα πριν ένα ή δύο κύκλους). Τα δείγματα x[n] είναι 3μπιτες ποσότητες που ακολουθούν την unsigned αναπαράσταση. Αντίστοιχα οι συντελεστές a, b, c είναι 5μπιτες σταθερές που ακολουθούν επίσης την unsigned αναπαράσταση. Οργανώστε και υλοποιήστε με full-adders τον υπολογισμό του y[n] παράγοντας ένα ενιαίο δένδρο πρόσθεση πολλαπλών όρων. Μην αντιμετωπίσετε στην υλοποίηση δηλαδή χωριστά τον πολλαπλασιασμό από τις προσθέσεις. Απάντηση 4 Αν με Χ, Χ συμβολιστούν τα Χ[n-1], X[n-2] αντίστοιχα, η πράξη που θα εκτελεστεί θα είναι: 2 M 2 S 2 G 2 " 2 # 2 $ 2 % 0 0 X % a G X % a " X % a # X % a $ X % a % 0 X $ a G X $ a " X $ a # X $ a $ X $ a % 0 X # a G X # a " X # a # X # a $ X # a % X % b G X % b " X % b # X % b $ X % b % 0 X $ b G X $ b " X $ b # X $ b $ X $ b % 0 X # b G X # b " X # b # X # b $ X # b % X # X $ X % Άσκηση 5 Σας ζητείται να σχεδιάσετε το κύκλωμα που υπολογίζει την έκφραση 3Α+Β+4C+1 όπου τα A, B, και C είναι unsigned αριθμοί των 4 δυαδικών ψηφίων με όσα λιγότερα κυκλωματικά στοιχεία (FAs) μπορείτε. Δείξτε πρώτα την οργάνωση του υπολογισμού, μετά την ολοκλήρωση του με τελίτσες και κυκλώκια και τέλος δείξτε το κύκλωμα με FAs.

12 Απάντηση 5 Το άθροισμα 3A+B+4C+1 γράφεται ως 2A+A+B+4C+1. Το 2A και 4C αντιστοιχούν σε ολίσθηση προς τα αριστερά κατά μία θέση του Α και κατά 2 θέσεις του C. Έτσι η πράξη που εκτελείται είναι: 2 S 2 G 2 " 2 # 2 $ 2 % 2A 0 a " a # a $ a % 0 A 0 0 a " a # a $ a % B 0 0 b " b # b $ b % 4C+1 c " c # c $ c % 0 1 Σταματάμε όταν έχουμε από 2 τελίτσες σε κάθε θέση, καθώς μπορούμε να τις προσθέσουμε με μια αλυσίδα από full adders. Το κύκλωμα που υλοποιεί την πράξη είναι το ακόλουθο Άσκηση 6 Στις σημειώσεις σας περιγράφεται η σχεδίαση ενός κυκλώματος σύγκρισης δύο unsigned αριθμών. Αφού διαβάσετε και καταλάβετε τη σχεδίαση του κυκλώματος αυτού, καλείστε να σχεδιάσετε ένα κύκλωμα σύγκρισης για αριθμούς που ακολουθούν την signed αναπαράσταση (ή αλλιώς την αναπαράσταση συμπληρώματος ως προς 2) η οποία περιλαμβάνει τόσο θετικούς όσο και αρνητικούς αριθμούς. Κάθε signed αριθμός των k bit ισούται αριθμητικά με X = x Z[$ 2 Z[$ + Z[# B\% x B 2 B. Το κύκλωμα σας θα παράγει μία έξοδο η οποία θα γίνεται 1 κάθε φορά που ο πρώτος από τους δύο αριθμούς που θα δέχεστε σαν είσοδο θα είναι μεγαλύτερος από το άλλο. Οι αριθμοί σας είναι των 6 δυαδικών ψηφίων.

13 Απάντηση 6 Το κύκλωμα του unsigned συγκριτή ως μαύρο κουτί φαίνεται παρακάτω. Η έξοδος LT γίνεται 1 όταν Α<Β, η GT όταν A>B και η EQ όταν A=B. Τοποθετώ όλα τα bit εκτός από το πρώτο στον unsigned συγκριτή. Εάν ο πρώτος αριθμός είναι ο Α και ο δεύτερος ο Β, η έξοδος γίνεται 1 (Α>Β) όταν: A 5 = 0 και B 5 = 1 A 5 = 1 και B 5 = 1 και GT = 1 A 5 = 0 και B 5 = 0 και GT = 1 Άσκηση 7 Σας ζητείται να σχεδιάσετε το κύκλωμα που υπολογίζει την έκφραση 2Α+Β+5C+1 όπου τα A, B, και C είναι αριθμοί των4 δυαδικών ψηφίων με όσα λιγότερα κυκλωματικά στοιχεία μπορείτε στις εξής δύο περιπτώσεις: Α) Οι αριθμοί ακολουθούν την αναπαράσταση unsigned στα 4bits Β) Οι αριθμοί ακολουθούν την αναπαράσταση signed (συμπλήρωμα ως προς 2) στα 4 bits. Απάντηση 7 Α) Οι αριθμοί είναι unsigned Για την πράξη ισχύει 2A + B + 5C + 1 = 2A + B + 4C + C + 1. Τα 2A και 4C προκύπτουν από 1 και 2 θέσεις ολίσθηση προς τα αριστερά των Α και C αντίστοιχα. Το +1 μπορούμε να το βάλουμε στην θέση κάποιου μηδενικού των τιμών μετά την ολίσθηση.έτσι προκύπτουν ο παρακάτω πίνακας και το αντίστοιχο ψηφιακό κύκλωμα που υλοποιεί την πράξη. 2 S 2 G 2 " 2 # 2 $ 2 % a " a # a $ a % 1 2Α+1 b " b # b $ b % Β c " c # c $ c % 0 0 4C + c " c # c $ c % C Η μεγαλύτερη τιμή του αθροίσματος εφόσον οι αριθμοί είναι unsigned στα 4bits είναι = = 121 Επομένως μπορούμε να αγνοήσουμε το S c. Στο κύκλωμα υπάρχει αλλά θα είναι πάντα 0.

14 Β) Οι αριθμοί είναι signed (συμπλήρωμα ως προς 2) στα 4bits. Επομένως η δυναμική περιοχή κάθε αριθμού είναι -8 έως και +7. Η δυναμική περιοχή του αποτελέσματος είναι -63 έως και +57 που πάλι αντιστοιχεί σε 121 κωδικούς αριθμούς. Επομένως χρειαζόμαστε 7bit αποτελέσματος εμ δυναμική περιοχή σε signed αναπαράσταση -64 έως +63 που περικλείει το αποτέλεσμα. Πριν ξεκινήσουμε τις πράξεις πρέπει να αναπαραστήσουμε όλους τους αριθμούς στα 7bits με χρήση sign extension: A: a " a " a " a " a # a $ a % 2A: a " a " a " a # a $ a % 0 B: b " b " b " b " b # b $ b % C: c " c " c " c " c # c $ c % 4C: c " c " c # c $ c % 0 0 Και άρα η πράξη θα είναι: 2 M 2 S 2 G 2 " 2 # 2 $ 2 % a " a " a " a # a $ a % 1 2Α+1 b " b " b " b " b # b $ b % Β c " c " c # c $ c % 0 0 4C + c " c " c " c " c # c $ c % C

15 Ακολουθιακή Λογική Άσκηση 1 Έχοντας στη διάθεση σας τα παρακάτω κυκλωματικά στοιχεία των k δυαδικών ψηφίων σχεδιάστε το κύκλωμα που παράγει την ακολουθία Fibonacci. Η ακολουθία Fibonacci προκύπτει από την εξίσωση F n = F n 1 + F(n 2) όπου με F(n) συμβολίζεται ο αριθμός που προκύπτει μετά από n κύκλους ρολογιού, θεωρώντας πως F(0) = 0 και F(1) = 1. Θεωρήστε πως οι καταχωρητές μπορούν να αρχικοποιηθούν σε όποια τιμή επιθυμείτε και πως τα k δυαδικά ψηφία του αθροιστή και των καταχωτητών αρκούν για την αποφυγή οποιασδήποτε υπερχείλισης. Απάντηση 1

16 Άσκηση 2 Για το datapath που σας δίνεται σας ζητείται να γράψετε την ακολουθία των πράξεων σε επίπεδο RTL (register transfer level) πχ, Acc<-Sum, Sum<-A+ACC έτσι ώστε να καταφέρετε να υπολογίσετε στους λιγότερους δυνατούς κύκλους ρολογιού την έκφραση z=4*x+2*y. Οι τιμές εισόδου των x και y θεωρήστε πως βρίσκονται αποθηκευμένες στους καταχωρητές Acc = x, Sum = y και το αποτέλεσμα z θέλουμε να το δούμε αποθηκευμένο στον καταχωρητή Sum. Υπενθυμίζεται πως η μονάδα πράξεων που έχετε στη διάθεση σας εκτελεί μόνο προσθέσεις. Οι καταχωρητές Sum, ACC και Α διαθέτουν σήμα ελέγχου CE. Μόνο όταν CE=1 ο καταχωρητής φορτώνει μια νέα τιμή με την θετική ακμή του ρολογιού. Αντίστοιχα οι καταχωρητές Sum και A διαθέτουν και μία είσοδο ελέγχου clear όπου όταν ενεργοποιηθεί (λογικό 1) οδηγεί την έξοδο του καταχωρητή στην επόμενη ακμή του ρολογιού στο 0. Αφού ολοκληρώσετε την περιγραφή του αλγορίθμου σας δώστε τη χρονική αλληλουχία των τιμών των σημάτων ελέγχου των καταχωρητών και πολυπλέκτη που υλοποιεί τον αλγόριθμο σας στο συγκεκριμένο datapath. Απάντηση 2

17 Στην καλύτερη περίπτωση χρειάζονται 5 κύκλοι για να μπορέσει να υπολογιστεί στο datapath που σας δίνεται το z=4*x+2*y, θεωρώντας πως οι τιμές των εισόδων x και y βρίσκονται αποθηκευμένες στους καταχωρητές Acc = x, Sum = y ενώ το αποτέλεσμα z θα προκύψει στον καταχωρητή Sum. Ο αλγόριθμος που πρέπει να εκτελεστεί δίνεται παρακάτω μαζί με τις τιμές των σημάτων ελέγχου του datapath που τον υλοποιούν: Cycle #1: A ß Acc (x) Cycle #2: Sum ß Sum + A (x + y)) Cycle #3: Sum ß Sum + A (x + (x + y)) Cycle #4: A ß Sum (x + (x + y)) Cycle #5: sum ß Sum + A ((2x + y) + (2x + y)) Άσκηση 3 Σας δίνεται ένα ψηφιακό κύκλωμα που αποτελείται από έναν αθροιστή, έναν πολυπλέκτη και τρείς καταχωρητές με όνομα Α, sum και accumulator. Οι καταχωρητές πέρα από το σήμα ρολογιού δέχονται και ένα σήμα επίτρεψης φόρτωσης CE. Οταν το σήμα επίτρεψης φόρτωσης είναι 1 η έξοδος του καταχωρητή παίρνει ένα δείγμα της εισόδου του στην ακμή του ρολογιού και το μεταφέρει στην έξοδο του. Οταν το σήμα επίτρεψης CE είναι 0 ο καταχωρητής δεν αλλάζει τιμή και διατηρεί την τελευταία τιμή που είχε. Οι καταχωρητές Α και sum διαθέτουν ένα επιπλέον σήμα ελέγχου καθαρισμού (clear). Οταν αυτό είναι 1 η τιμή του καταχωρητή μηδενίζεται στην επόμενη ακμή του ρολογιού. Παρουσιάστε τις τιμές που πρέπει να πάρουν τα σήματα ελέγχου σε κάθε ακμή του ρολογιού ώστε στο τέλος να ανταλλάξετε τις τιμές των καταχωρητών sum και accumulator.

18 Απάντηση 3 Στην καλύτερη περίπτωση χρειάζονται 4 κύκλοι για την ανταλλαγή τιμών μεταξύ sum και accumulator. Ο αλγόριθμος που πρέπει να εκτελεστεί δίνεται παρακάτω μαζί με τις τιμές των σημάτων ελέγχου του datapath που τον υλοποιούν: Cycle #1: A ß Acc Cycle #2: Acc ß Sum Cycle #3: Sum ß 0 Cycle #4: Sum ß A Άσκηση 4 Σας δίνεται ένα ψηφιακό κύκλωμα που αποτελείται από έναν αθροιστή, έναν πολυπλέκτη και τρείς καταχωρητές με όνομα Α, sum και accumulator. Οι καταχωρητές πέρα από το σήμα ρολογιού δέχονται και ένα σήμα επίτρεψης φόρτωσης CE. Όταν το σήμα επίτρεψης φόρτωσης είναι 1 η έξοδος του καταχωρητή παίρνει ένα δείγμα της εισόδου του στην ακμή του ρολογιού και το μεταφέρει στην έξοδο του. Όταν το σήμα επίτρεψης CE είναι 0 ο καταχωρητής δεν αλλάζει τιμή και διατηρεί την τελευταία τιμή που είχε. Οι καταχωρητές Α και sum διαθέτουν ένα επιπλέον σήμα ελέγχου καθαρισμού (clear). Όταν αυτό είναι 1 η τιμή του καταχωρητή μηδενίζεται στην επόμενη ακμή του ρολογιού. Παρουσιάστε τις τιμές που πρέπει να πάρουν τα σήματα ελέγχου σε κάθε ακμή του ρολογιού, ώστε να υπολογίσετε στον sum την ακολουθία Fibonnacci F n = F n 1 + F(n 2), όπου με F(n) συμβολίζεται ο αριθμός που προκύπτει μετά από n κύκλους ρολογιού, θεωρώντας πως F(0) = 0 και F(1) = 1. Θεωρήστε ότι ο accumulator είναι αρχικοποιημένος στην τιμή 1.

19 clk Απάντηση 4 Χρειάζεται ένας κύκλος αρχικοποίησης του Α και ένας κύκλος για την αποθήκευση του πρώτου αποτελέσματος (0+1) στον sum. Στη συνέχεια οι πράξεις εκτελούνται μεταξύ sum και A, το άθροισμα αποθηκεύεται στον sum και η προηγούμενη τιμή στον Α. Cycle #1: A ß 0 Cycle #2: Sum ß A+Acc, A ß Acc Cycle #3: Sum ß A+Sum, A ß Sum Cycle #4: Sum ß A+Sum, A ß Sum Άσκηση 5 Ποιες είναι οι κυματομορφές των σημάτων a,b,c και d. Οι αρχικές τιμές των σημάτων φαίνονται πάνω στο σχήμα.

20 Απάντηση 5 Παρατηρούμε ότι: a (b+c) b a c b d c Οι κυματομορφές είναι οι παρακάτω: Άσκηση 6 To παρακάτω κύκλωμα ανήκει στην κατηγορία των καταχωρητών ολίσθησης με γραμμική ανάδραση (Linear Feedback Shift Registers - LFSR) και χρησιμοποιείται για τη γέννηση ψευδοτυχαίων ακολουθιών μέσα στα ολοκληρωμένα κυκλώματα. Στην άσκηση αυτή σας ζητείται να δώσετε τις τιμές των FF1_OUT, FF2_OUT και FF3_OUT για 8 διαδοχικούς κύκλους ρολογιού θεωρώντας πως οι έξοδοι των flip flop στην αρχή βρίσκονται στην κατάσταση {Q_DFF1, Q_ DFF2, Q_DFF3}={0, 0, 1}. Σε κάθε κύκλο ρολογιού το FF1_OUT παίρνει την τιμή FF2_OUT xor FF3_OUT, το FF2_OUT την τιμή του FF1_OUT και το FF3_OUT την τιμή του FF2_OUT. Απάντηση 6 Οι τιμές των σημάτων μετά από 8 κύκλους φαίνονται παρακάτω. Παρατηρούμε ότι μετά τον 8 ο κύκλο (θετική ακμή 7) οι τιμές των σημάτων είναι ίδιες με τις αρχικές, οπότε από εκεί και πέρα οι τιμές των εξόδων θα είναι ίδιες με αυτές των κύκλων 1-7. Άσκηση 7 Στην εταιρεία που δουλεύεται κάποιος συνάδελφος σχεδίασε δύο μανδαλωτές τύπου D (D latches). Τα κυκλώματα των δύο μανδαλωτών φαίνονται παρακάτω. Ποιον από τους δύο μανδαλωτές θα

21 χρησιμοποιούσατε με ασφάλεια και γιατί; Υπενθυμίζουμε πως ένας σωστός μανδαλωτής επιτρέπει στην έξοδο του Q να πάρει την τιμή της εισόδου D όταν το σήμα επίτρεψης En=1 ενώ όταν En=0 η τιμή της εξόδου δεν αλλάζει και θυμάται την τελευταία τιμή που είχε. Παρουσιάστε με σαφήνεια εκείνο το σενάριο λειτουργία το οποίο θα αποδεικνύει με ισχυρό τρόπο την ασφαλή ή μη λειτουργία των υπο-εξέταση μανδαλωτών. Απάντηση 7 Θα διαλέγαμε το αριστερό latch (A) γιατί το (B) έχει ένα πρόβλημα: (A) Όταν En=1 και D=1 τότε μετά από λίγο Q=1 όπως φαίνεται στο σχήμα αριστερά. Αν το En à 0 τότε αν υπάρχει ασσυμετρία στις καθυστερήσεις στιγμιαία θα έχουμε το σενάριο που φαίνεται στο αριστερό σχήμα και περιγράφεται παρακάτω. (B) Αν η πύλη x είναι γρηγορότερη, τότε η έξοδός της θα μεταβεί για λίγο στο 0 οδηγώντας το Q για λίγο στο 0 και μετά θα επανέλθει στο 1. Άσκηση 8 Θέλετε να σχεδιάσετε ένα κύκλωμα το οποίο δέχεται ως είσοδο δεδομένα DataIn των 8 δυαδικών ψηφίων και 4 σήματα ελέγχου LA, LB, LC και R. Τα δεδομένα εισόδου DataIn μπορούν να αποθηκευτούν στους καταχωρητές Α, Β και C που διαθέτει το κύκλωμα. Οταν LA=1 τα δεδομένα φορτώνονται στον καταχωρητή Α. Οταν LB=1 τα δεδομένα φορτώνονται στον καταχωρητή Β, ενώ όταν LC=1 τα δεδομένα φορτώνονται στον καταχωρητή C. H φόρτωση μπορεί να γίνει σε όλους τους καταχωρητές στον ίδιο κύκλο ρολογιού εκτός από μια περίπτωση. Οταν LA=LB=1 τότε ο καταχωρητής C δεν φορτώνει νέα τιμή παρόλο που LC=1, ενώ οι καταχωρητές Α και Β δέχονται τη νέα τιμή της εισόδου. Αντίστοιχα, όταν R=1 τότε δε λαμβάνεται υπόψιν η τιμή των σημάτων LA, LB και LC και οι καταχωρητές εναλλάσουν τις τιμές τους στον ίδιο κύκλο ρολογιού ως εξής: Α=Β, Β=C, C=A. Στο σχηματικό χρησιμοποιήστε μόνο καταχωρητές και πολυπλέκτες. Η έξοδος DataOut συνδέεται στην έξοδο του καταχωρητή C.

22 Απάντηση 8 Άσκηση 9 Σας ζητείται να σχεδιάσετε ένα απλό ακολουθιακό κύκλωμα το οποίο δέχεται μία είσοδο και παράγει μία έξοδο. Επίσης, το κύκλωμα τροφοδοτείται με ένα σήμα ρολογιού clk και ένα σήμα αρχικοποίησης reset. H λειτουργία του κυκλώματος είναι να δίνει στην έξοδο του έναν παλμό διάρκειας 3 κύκλων ρολογιού κάθε φορά που εντοπίζει μια μετάβαση από 1 σε 0 στο σήμα εισόδου. Ένα παράδειγμα της λειτουργίας του κυκλώματος φαίνεται στις κυματομορφές που ακολουθούν. Για να απλοποιήσουμε τη σχεδίαση του κυκλώματος, σας προτείνουμε να το χωρίσετε σε δύο επιμέρους υποκυκλώματα όπως δείχνει το παρακάτω σχήμα. Το πρώτο κύκλωμα Falling-edge detector ανιχνεύει τις αρνητικές μεταβάσεις της εισόδου και το δεύτερο Pulse Widener παράγει έναν παλμό 3 κύκλων ρολογιού για κάθε παλμό του ενός κύκλου που βλέπει στην είσοδο P. Για κάθε επιμέρους κύκλωμα σχεδιάστε την FSM που περιγράφει τη λειτουργία του και στη συνέχεια δώστε την υλοποίηση της FSM με πύλες και flip-flops επιλέγοντας για τις καταστάσεις της FSM όποια κωδικοποιήση επιθυμείτε. Απάντηση 9 Θα αντιμετωπίσουμε το κύκλωμα χωριστά. Το πρώτο κύκλωμα που έχουμε να σχεδιάσουμε είναι ενας ανιχνευτής αρνητικής ακμής, δηλαδή η είσοδος να κάνει μια μετάβαση από το 1 στο 0. Για το κύκλωμα

23 αυτό μπορούμε να σχεδιάσουμε δύο τύπους FSM είτε μια μηχανή τύπου Moore είτε μια μηχανή τύπου Mealy. Ανιχνευτής αρνητικής ακμής Falling edge detector Μηχανή τύπου Moore Αποτελείται από 3 καταστάσεις S0, S1, S2. S0: Σημαίνει ότι βλέπουμε συνεχόμενα 1. Περιμένουμε εδώ μέχρι να έρθει κάποια μετάβαση και επιστρέφουμε εδώ όταν ξαναβρούμε 1. Η έξοδος είναι ίση με 0 (Δεν υπάρχει κάποια μετάβαση) S1: Η κατάσταση αυτή σημαίνει ότι έχουμε βρεί το πρώτο 0 μετά από τουλάχιστον ένα 1. Επομένως αυτό δηλώνει μετάβαση. Έξοδος ίση 1 (μετάβαση ενεργή) S2: Συνεχόμενα μηδενικά. H είσοδος συνεχίζει να είναι ίση με 0. Η έξοδος είναι ίση με 0 (δηλώνοντας ότι η μετάβαση από 1 σε 0 πέρασε) Το διάγραμμα μεταβάσεων είναι το εξής: Μηχανή τύπου Mealy Σκοπός όπως και πριν είναι να ανιχνεύσουμε την αρνητική ακμή του σήματος in. Σε αυτή την πέριπτωση όμως η έξοδος του κυκλώματος υπολογίζεται ανάλογα με τη μετάβαση και όχι ανάλογα με την κατάσταση στην οποία βρισκόμαστε. Η FSM που απαιτείται για την ανίχνευση της ακμής φαίνεται στο παρακάτω σχήμα: Στη συνέχεια θα ασχοληθούμε το κύκλωμα μεγέθυνσης της χρονικής διάρκειας του παλμού. Μεγεθυντής διάρκειας παλμού Pulse widener Σκοπός του κυκλώματος αυτού είναι να δέχεται στην είσοδο του ένα παλμό ενός κύκλου ρολογιού και να τον μεγεθύνει σε 3 κύκλους ρολογιού. Αυτό μπορεί απλά να συμβεί χρησιμοποιώντας έναν ακολουθιακό ολισθητή όπως φαίνεται στο παρακάτω σχήμα.

24 Αν θέλατε παλμούς διάρκειας περισσότερων κύκλων ρολογιού αρκεί να χρησιμοποιήσετε περισσότερα flipflop στη σειρά και επιπλέον πύλες OR. Στη γενική περίπτωση θα προσπαθούσατε να κατασκευάσετε το κύκλωμα αυτό μοντελοποιώντας τη λειτουργία του με μια μηχανή πεπερασμένων καταστάσεων. Η μηχανή αυτή (τύπου Moore) θα αποτελούνταν 3 καταστάσεις. Η έξοδος της κάθε κατάστασης (τιμή μέσα στην κάθε κατάσταση) μαζί με τις μεταξύ τους μεταβάσεις φαίνονται στο παρακάτω σχήμα. Το μόνο σημείο που πρέπει να προσέξετε είναι πως κάθε φορά που ένας νέος παλμός εμφανίζεται στην είσοδο του κυκλώματος P=1 ξαναγυρνάμε κατάσταση πρώτου παλμού S1 ακόμη και αν δεν έχουμε ολοκληρώσει 3 κύκλους εξόδου στο λογικό-1 για κάποιο παλαιότερο παλμό που είχε φτάσει στο κύκλωμα μας.

25 FSMs (Μηχανές πεπερασμένων καταστάσεων) Άσκηση 1 Σας δίνεται μία FSM η οποία συνοδεύεται από ένα πίνακα μεταβάσεων. Η FSΜ δέχεται ως είσοδο μόνο ένα bit με το όνομα Input σε κάθε κύκλο ρολογιού. H FSM έχει μόνο μία έξοδο του ενός bit με το όνομα Output η οποία ελέγχει μία λάμπα που μπορεί να είναι είτε αναμμένη ( ) είτε σβηστή ( ). Ο πίνακας μεταβάσεων δείχνει όλες τις μεταβάσεις της FSM καθώς και την τιμή της εξόδου για την κάθε κατάσταση. Για παράδειγμα όταν είμαστε στην κατάσταση Α η λάμπα είναι σβηστή και μπορούμε να μεταβούμε στις καταστάσεις Ε ή C ανάλογα με την τιμή της εισόδου Input. 1) Αρχικά δουλειά μας είναι να μεταφέρουμε την πληροφορία του πίνακα μεταβάσεων πάνω στην FSM βρίσκοντας τη σωστή θέση για την κάθε κατάσταση και συμπληρώνοντας τη συνθήκη της κάθε μετάβασης. 2) Αν υποθέσουμε ότι κάποιος δε γνωρίζει τίποτε για την τρέχουσα κατάσταση της FSM. Υπάρχει μια ακολουθία από bit στην είσοδο Input η οποία να μας εγγυάται ότι μετά και την εισαγωγή του τελευταίου ψηφίου της ακολουθίας η λάμπα θα ανάψει; 3) Υπάρχουν ισοδύναμες καταστάσεις στην FSM; Ονοματείστε ποιες καταστάσεις θα μπορούσαν να συνενωθούν σε μια κατάσταση χωρίς να αλλάξει η λειτουργία της αρχικής FSM. Λύση 1 1) 2)Η ακολουθία είναι η 10. 3) Οι καταστάσεις B, D και F μπορούν να ενωθούν, χωρίς να μεταβληθεί η λειτουργία του κυκλώματος. Άσκηση 2 To κύκλωμα που φαίνεται στο σχήμα δέχεται μια σειριακή είσοδο ΙΝ και μια είσοδο αρχικοποίησης Reset και λειτουργεί στις θετικές ακμές του ρολογιού. Μπορείτε να εξάγετε την FSM (καταστάσεις και μεταβάσεις) που υλοποιεί το κύκλωμα που σας δόθηκε γνωρίζοντας ότι οι καταστάσεις έχουν κωδικοποιηθεί σύμφωνα με την κωδικοποίση onehot; Μην ξεχάσετε δίπλα σε κάθε κατάσταση να αναφέρεται και σε ποιο κωδικό onehot αντιστοιχεί.

26 Λύση 2 Έχουμε 3FlipFlop, οπότε συνολικά υπάρχουν 3 καταστάσεις εφόσον έχουμε OneHot κωδικοποίηση. Οι εξισώσεις για την επόμενη κατάσταση ενός FF είναι: Και η FSM: nexta = Reset (in Α + in B) nextb = Reset (in C) nextc = Reset + in (A + B + C) ABC in nexta nextb nextc Άσκηση 3 Στην άσκηση αυτή καλείστε να σχεδιάσετε ένα ψηφιακό κύκλωμα το οποίο θα δέχεται στην είσοδό του δύο σήματα a και b και θα παράγει ως έξοδο ένα σήμα x. Η έξοδος x θα είναι 1 όταν το πλήθος των 1, που έχουν περάσει μέχρι εκείνον τον κύκλο από τις εισόδους a και b (αντίστοιχα και ανεξάρτητα) αλλά και οι τιμές των a και b σε εκείνον τον κύκλο, είναι μονός αριθμός. Σε άλλη περίπτωση η έξοδος είναι 0. Για παράδειγμα στην παρακάτω ακολουθία από 0 και 1 στις εισόδους a και b a : b : η έξοδος x θα έπαιρνε τις εξής τιμές σε διαδοχικούς κύκλους ρολογιού (H τιμή του πρώτου κύκλου ρολογιού δίνεται στην πιο αριστερή θέση) x : Για να λύσετε το πρόβλημα προτιμήστε να περιγράψετε τη λειτουργία του κυκλώματος με μια FSM, η οποία δε θα αποτελείται από περισσότερες από 4 καταστάσεις. Εφόσον το πλήθος των καταστάσεων σας είναι το πολύ 4 μπορείτε να κωδικοποιήσετε κάθε κατάσταση με τέσσερα ψηφία χρησιμοποιώντας την κωδικοποίηση onehot. Αφού λοιπόν διαλέξετε τις κωδικοποιήσεις των καταστάσεων σας υλοποιήστε τη λογική της νέας κατάστασης (next state logic) και τη λογική εξόδου (output logic) ακολουθώντας τη δομή του σχήματος που ακολουθεί. Μην ξεχάσετε να δώσετε στην απάντηση σας ένα σχήμα για την FSM και ένα σχήμα για το κύκλωμα που την υλοποιεί.

27 Απάντηση 3 Για την περιγραφή της FSM μπορούμε να διακρίνουμε τις βασικές καταστάσεις του κυκλώματος. Οι καταστάσεις αυτές φαίνονται στο παρακάτω σχήμα αριστερά ενώ οι συνθήκες για την επόμενη κατάσταση φαίνονται στο σχήμα αριστερά. NoneEven: NE = NE ab + AE ab + BE ab + BoE ab AEven : AE = NE ab + AE ab + BE ab + BoE ab BEven : BE = NE ab + AE ab + BE ab + BoE ab BothEven : BoE = NE ab + AE ab + BE ab + BoE ab Στο αριστερό σχήμα φαίνεται η υλοποίηση του ψηφιακού κυκλώματος της παραπάνω FSM σε One-Hot κωδικοποίηση. Δεξιά φαίνεται το κύκλωμα το οποίο παράγει την επιθυμητή έξοδο, δηλαδή Ψηφιακό κύκλωμα της FSM Κύκλωμα Εξόδου

28 Άσκηση 5 Θέλουμε να σχεδιάσουμε την FSM ενός αυτόματου πωλητή. Ο πωλητής πουλά μόνο ένα είδος αξία 0.40 Ε (40 λεπτά) και δέχεται κέρματα 0.05 Ε (5 λεπτά) και 0.10 Ε (10 λεπτά).τα σήματα εισόδου είναι το nickel (είσοδος 5 λεπτών) και το dime (είσοδος 10 λεπτών). Οι έξοδοι είναι το vend (πώληση) και το change (ρέστα). Τα σήματα εισόδου οδηγούνται σε υψηλή τιμή όταν εισάγεται στη μηχανή ένα κέρμα 5 λεπτών και 10 λεπτών αντίστοιχα. Μόνο ένα σήμα εισόδου θα είναι υψηλό κάθε φορά καθώς ο κερματοδέκτης του αυτόματου πωλητή έχει μόνο μία είσοδο. Όταν τα χρήματα που έχουν εισαχθεί επαρκούν το σήμα vend γίνεται υψηλό για ένα μόνο κύκλο ρολογιού. Αν έχουν εισαχθεί 0.45 E (45 λεπτά) το σήμα change οδηγείται και αυτό σε υψηλή τιμή για ένα κύκλο ώστε ο πωλητής να δώσει ρέστα. Μετά από την πώληση ενός αντικειμένου η μηχανή επιστρέφει στην αρχική κατάσταση όπου δεν έχουν εισαχθεί χρήματα. Να σχεδιάσετε τη μηχανή πεπερασμένων καταστάσεων που περιγράφει τη λειτουργία του αυτόματου πωλητή δείχνοντας με σαφήνεια τις καταστάσεις του κυκλώματος, τις μεταβάσεις και τις τιμές των εξόδων σε κάθε κατάσταση. Να δώσετε επίσης σε ένα καθαρό σχήμα την υλοποίηση σε πύλες και φλιπ-φλοπ της μηχανής θεωρώντας την κωδικοποίηση onehot για τις καταστάσεις του κυκλώματος. Απάντηση 5 Οι είσοδοι στα βέλη αναπαρίστανται ως [nickel, dime]. Οι έξοδοι αναπαρίστανται ως [vend, change]. Εάν δεν εισαχθεί κανένα κέρμα τότε η κατάσταση δεν αλλάζει. Μετά την ολοκλήρωση της συναλλαγής η FSM επιστρέφει στην αρχική κατάσταση. Το κύκλωμα που υλοποιεί την παραπάνω FSM είναι το ακόλουθο:

29 Άσκηση 6 Θεωρήστε την παρακάτω FSM που δέχεται μία είσοδο και παράγει μία έξοδο P. Η έξοδος P εξαρτάται αποκλειστικά από την τρέχουσα κατάσταση της FSM. H FSM επεξεργάζεται για ώρα διάφορες τιμές της εισόδου και θέλουμε να αποφασίσουμε για την τρέχουσα κατάσταση της. Αν παρέμβουμε στην είσοδο και εισάγουμε για 3 διαδοχικούς κύκλους την τιμή 000 παρατηρούμε ότι βρεθήκαμε σε μία κατάσταση όπου το P=0. Ποια ήταν η κατάσταση από την οποία ξεκινήσαμε, πριν δηλαδή εισάγουμε τα τρία μηδενικά; Θεωρήστε ότι οι 5 καταστάσεις της FSM αναπαρίστανται με τους παρακάτω κωδικούς. Σας ζητείτε να συμπληρώσετε τον μερικό πίνακα αληθείας που σας δίνεται όπου με S αναπαρίσταται η τρέχουσα κατάσταση, I είναι η τιμή της εισόδου, S είναι η επόμενη κατάσταση και P η τιμή της εξόδου που εξαρτάται μόνο από την τιμή της τρέχουσας κατάστασης S. Τέλος, ανακαλύψτε ποιες καταστάσεις (αν υπάρχουν) είναι ισοδύναμες. Δύο καταστάσεις είναι ισοδύναμες αν και μόνο αν κάθε δυνατή τιμή της εισόδου οδηγεί σε πανομοιότυπες τιμές της εξόδου. Οταν δύο ή περισσότερες καταστάσεις είναι ισοδύναμες σημαίνει πως μπορούν να συμπτυχθούν σε μία κοινή κατάσταση που θα εκτελεί την ίδια λειτουργία. Για παράδειγμα στο παρακάτω σχήμα όλες οι καταστάσεις με ένα μπλε τετράγωνο (που αναπαριστά την

30 τιμή της εξόδου) είναι ισοδύναμες και μπορούν να οδηγήσουν σε μια FSM με 2 μόνο συνολικά καταστάσεις αντί για 5. Απάντηση 6 Η απάντηση στο πρώτο ερώτημα δίνεται με δοκιμές. Παρατηρούμε ότι αν ξεκινήσουμε από την κατάσταση S2 και εισάγουμε είσοδο 0 για 3 συνεχόμενους κύκλους οι μεταβάσεις θα είναι: S2 S1 S2 S1. Στην κατάσταση S1 το P=0. Σε οποιαδήποτε άλλη περίπτωση θα καταλήξουμε σε κατάσταση όπου P=1. Άρα η αρχική κατάσταση ήταν η S2. Με βάση την FSM και με την παρατήρηση ότι ο κωδικός 011 αντιστοιχεί στην S3 και ο 100 στην S4: S I S P (S2) (S4) (S5) (S3) 0 Για την απλοποίηση είναι απαραίτητη η δημιουργία του πίνακα μεταβάσεων: S I S P S1 0 S2 1 S1 1 S4 1 S2 0 S1 0 S2 1 S3 0 S3 0 S2 1 S3 1 S4 1 S4 0 S5 1 S4 1 S3 0 S5 0 S5 1 S5 1 S1 0 Παρατηρούμε ότι οι S1 και S3 για ίδιες εισόδους οδηγούν στην ίδια επόμενη κατάσταση. Συνεπώς είναι ισοδύναμες και μπορούν να συμπτυχθούν σε μία κατάσταση. Άσκηση 7 Οι μηχανές πεπερασμένων καταστάσεων (finite state machines FSMs) χρησιμοποιούνται συχνά για ναγεννήσουν τις ακολουθίες σημάτων ελέγχου οι οποίες είναι απαραίτητες για την επικοινωνία μεταξύ διαφορετικών κυκλωμάτων. Στο παρελθούν τα ολοκληρωμένα κυκλώματα μνήμης διαμοίραζαν τις γραμμές της διεύθυνσης μεταξύ των ακροδεκτών του ολοκληρωμένου επιβάλλοντας έτσι το σχηματισμό της διεύθυνσης σε περισσότερους του ενός κύκλους ρολογιού. Αρχικά στέλνονταν η διεύθυνση της επιλεγμένης γραμμής η οποία αποθηκεύονταν με την απενεργοποίηση του σήματος RAS (Row Address Strobe) ενώ στους επόμενους κύκλους στέλνονταν η διεύθυνση της επιλεγμένης στήλης με την απενεργοποίηση του

31 σήματος CAS (Column Address Strobe). Στo σχήμα που ακολουθεί φαίνεται η αλληλουχία των σημάτων που στέλνονται στη μνήμη RAS, MUX και CAS, ώστε να εξυπηρετηθεί ένα αίτημα ανάγνωσης της μνήμης που δημιουργήθηκε με την ενεργοποίηση του σήματος REQ (Request) σε ένα κύκλο ρολογιού. Το κύκλωμα MEM CLT (Memory Controller) αρχικά αναμένει ανενεργό οδηγώντας τις γραμμές εξόδου στις τιμές RAS=1, MUX=0 και CAS=1. Μόλις ανιχνευθεί η τιμή REQ=1 στη θετική ακμή του ρολογιού το σήμα RAS τίθεται ίσο με 0 στον επόμενο κύκλο. Στη συνέχεια στον κύκλο ρολογιού που ακολουθεί το σήμα MUX oδηγείται στο 1 ενώ στον επόμενο κύκλο το CAS oδηγείται στην τιμή 0. Οι τιμές των σημάτων αυτών μένουν σταθερές στον 4ο κύκλο μετά την ενεργοποίηση του REQ και επανέρχονται στις αρχικές τους τιμές στον 5ο κύκλο. Ο ελεγκτής μετά αναμένει ένα καινούριο ένα REQ ενώ αγνοεί τα αιτήματα που τυχόν έχουν συμβεί κατά τη διάρκεια γέννησης της ακολουθίας των σημάτων RAS, MUX, CAS. Σχεδιάστε το διάγραμμα της FSM που υλοποιεί τη λειτουργία του ελεγκτή μνήμης που μόλις περιγράψαμε και την υλοποίηση του χρησιμοποιώντας για τις καταστάσεις κωδικοποίηση onehot. Απάντηση 7 Η FSM είναι η παρακάτω: Οι τιμές των εξόδων: IDLE (αρχική κατάσταση με reset): RAS=1, MUX=0, CAS=1 RAS : RAS=0, MUX=0, CAS=1 MUX : RAS=0, MUX=1, CAS=1 CAS : RAS=0, MUX=1, CAS=0 HOLD : RAS=0, MUX=1, CAS=0 Άσκηση 8 Μια ακολουθία από μπιτς έχει άρτια(περιττή) ισοτιμία όταν ο αριθμός των 1 είναι άρτιος(περιττός). Σχεδιάστε ένα κύκλωμα το οποίο θα δέχετε μια σειριακή ακολουθία από μπιτς και θα απαντάει στην έξοδο του την ισοτιμία των μπιτς που έχει μέχρι τώρα δεχθεί. Η έξοδος θα είναι 0 όταν η ισοτιμία θα είναι άρτια και 1 όταν η ισοτιμία θα είναι περιττή.

32 Στα σχήματα φαίνονται η διεπαφή του κυκλώματος και ένα παράδειγμα της λειτουργίας του. Για το κύκλωμα αυτό σας ζητείται τόσο η FSM που περιγράφει τη λειτουργία του όσο και η υλοποίηση της με πύλες και φλιπ φλοπς. Απάντηση 8 Αρχικά το κύκλωμα έχει δεχθεί μηδενικό αριθμό 1. Στη συνέχεια όταν ο αριθμός 1 είναι περιττός το κύκλωμα βρίσκεται στην κατάσταση S1 με έξοδο 1, ενώ όταν είναι άρτιος βρίσκεται στην S2 με έξοδο 0.

33 Άσκηση 9 Στην άσκηση αυτή σας ζητείται να σχεδιάσετε ένα κύκλωμα το οποίο θα ανιχνεύει συγκεκριμένους τύπους δεδομένων στην θύρα εισόδου. H εξωτερική διεπαφή του κυκλώματος σας είναι αυτή που φαίνεται στο σχήμα 1. Σε κάθε κύκλο ρολογιού η είσοδος w παίρνει τιμές είτε 0 είτε 1. Η έξοδος του κυκλώματος σας θα είναι ίση με 1 όταν η είσοδος w παραμένει είτε στο 0 είτε στο 1 περισσότερο από 4 κύκλους ρολογιού. Επιτρέπονται επικαλυπτόμενες ακολουθίες από συνεχόμενα ψηφία (είτε 0 είτε 1). Για παράδειγμα αν το σήμα w παραμείνει στο 1 για 5 κύκλους ρολογιού τότε η έξοδος θα γίνει ίση με 1 μετά τον 4ο παλμό και θα παραμείνει στο 1 και μετά τον 5ο παλμό. Ενα παράδειγμα της ορθής συμπεριφοράς του κυκλώματος σας φαίνεται στο σχήμα 2. Παρατηρώντας τις κυματομορφές του σχήματος 2 μπορούμε να παρατηρήσουμε πώς όσο το σήμα εισόδου w είναι είτε στο 0 είτε στο 1 για λιγότερο από 4 κύκλους ρολογιού τότε η έξοδος είναι στο 0. Αντίστοιχα στο σημείο (Α) το z κάνει τη μετάβαση από το 0 στο 1 για ένα κύκλο γιατί διαπίσωσε πως η είσοδος w παρέμεινε στο 0 για 4 τέσσερις κύκλους (κύκλοι 4 7). Το ίδιο συμβαίνει και μετά τον (D) παλμό εφόσον η είσοδος w παρέμενε στο 1 για περισσότερο από 4 κύκλους. Στον κύκλο 12 η έξοδος παραμένει στο 1 εφόσον η επικάλυψη στους παλμούς επιτρέπεται στην περίπτωση που έχουμε την είσοδο να παραμένει στην ίδια κατάσταση για περισσότερους από 4 κύκλους. Για το κύκλωμα αυτό σας ζητείται τόσο η FSM που περιγράφει τη λειτουργία του όσο και η υλοποίηση της με πύλες και φλιπ φλοπς. Απάντηση 9 H FSM αποτελείται από 9 καταστάσεις µε ονόματα από Α έως Ι. Κάθε κατάσταση συμβολίζεται µε ένα κύκλο µμέσα στον οποίο αναγράφεται το όνομα της κατάστασης και η τιμή που θα πρέπει να έχει η έξοδος z όταν το κύκλωμα βρίσκεται στην κατάσταση αυτή. Για παράδειγμα όταν βρισκόμαστε στην κατάσταση D η έξοδος πρέπει να έχει τιμή ίση µε 0 (D/0). Οι ακμές περιγράφουν τις μεταβάσεις από κατάσταση σε κατάσταση. Η ετικέτα της κάθε ακμής συμβολίζει την τιμή του w η οποία προκαλεί τη μετάβαση. Για

34 παράδειγμα μεταβαίνουμε από την κατάσταση F στην κατάσταση G όταν το w = 1, ενώ όταν το w = 0 η επόμενη κατάσταση είναι η Β.

35 Μνήμες Άσκηση 1 Θέλετε να φτιάξετε μία μνήμη των 24Kbyte και έχετε στη διάθεση σας ένα δίαυλο διευθύνσεων των 16 μπιτ και δεδομένων των 8 μπιτ. Κάθε διεύθυνση αναφέρεται σε 1 byte δεδομένων. Για να συνθέσετε τη μνήμη σας έχετε στη διάθεση σας τα παρακάτω ολοκληρωμένα κυκλώματα μνημών. RAM 1 RAM 2 RAM 3 RAM 4 RAM 5 RAM 6 4Kbyte 4Kbyte 2Kbyte 2Kbyte 4Kbyte 8Kbyte Για κάθε ολοκληρωμένο κύκλωμα σας δίνεται η χωρητικότητα του. Tα δεδομένα εισόδου και εξόδου είναι των 8 μπιτ. Για να ολοκληρώσετε τη σχεδίαση απαιτείται να χρησιμοποιήσετε όλα τα ολοκληρωμένα κυκλώματα που σας δίνονται, αναθέτοντας σε κάθε ένα από αυτά την εξυπηρέτηση μιας συγκεκριμένης περιοχής διευθύνσεων, ακολουθώντας τη σειρά με την οποία σας δίνονται. Με άλλα λόγια το ολοκληρωμένο κύκλωμα RAM 1 θα καλύπτει τις διευθύνσεις από 0000_Hex έως και τα πρώτα 4Κbyte. Ενώ τα υπόλοιπα θα συνεχίζουν από εκεί και κάτω μέχρις ότου καλυφθεί ο χώρος διευθύνσεων της μνήμης των 24Kbyte που θέλετε να σχεδιάσετε. Αφού περιγράψετε το χώρο διευθύνσεων που καλύπτει κάθε ολοκληρωμένο κύκλωμα στη συνέχεια δώστε ένα αναλυτικό διάγραμμα του συστήματος μνήμης όπου θα φαίνονται με σαφήνεια όλα τα σήματα ελέγχου και διευθύνσεων θεωρώντας την ύπαρξη μίας πόρτας εγγραφής και μίας πόρτας ανάγνωσης τόσο στο συνολικό σύστημα μνήμης όσο και σε κάθε ολοκληρωμένο κύκλωμα. Απάντηση 1 Αρχικά καταγράφεται η διευθυνσιοδότηση της μνήμης: Μνήμη Μέγεθος Πεδίο διεύθυνσης Διευθύνσεις σε δυαδική μορφή A 15 A 14 A 1 A 0 RAM1 4Kbyte FFF RAM2 4Kbyte FFF RAM3 2Kbyte FF RAM4 2Kbyte FFF RAM5 4Kbyte FFF RAM6 8Kbyte FFF Παρατηρούμε ότι: a) Οι RAM1, RAM2, RAM5 έχουν 12 bit διεύθυνσης b) Οι RAM3, RAM4 έχουν 11 bit διεύθυνσης

36 c) Η RAM6 έχει 13 bit διεύθυνσης d) Τα 11 λιγότερο σημαντικά bit (A 10 A 0 ) παίρνουν όλες τις δυνατές τιμές στο πεδίο διεύθυνσης του κάθε ολοκληρωμένου κυκλώματος. Άρα καθορίζουν τη θέση μνήμης στην οποία αναφέρεται η κάθε διεύθυνση. e) Το A 15 είναι 0 σε όλα τα ολοκληρωμένα κυκλώματα f) Από τις παραπάνω παρατηρήσεις συμπεραίνουμε ότι ο συνδυασμός των bit A 14 A 11 θα μας βοηθήσει να επιλέξουμε ποια από τις μνήμες ενεργοποιείται κάθε φορά. Οι πιθανοί συνδυασμοί και η μνήμη που ενεργοποιεί ο κάθε συνδυασμός φαίνεται παρακάτω A 14 A 13 A 12 A 11 CS RAM RAM RAM RAM RAM RAM RAM RAM RAM RAM RAM RAM6 Επομένως για 4 σήματα εισόδου παίρνουμε 12 σήματα εξόδου, κάτι που επιτυγχάνεται με έναν 4-16 αποκωδικοποιητή. Εφόσον το A 15 είναι 0 σε όλες τις δυνατές διευθύνσεις, ο αποκωδικοποιητής θα έχει ως σήμα επίτρεψης (enable) το A 15.

37

38 Άσκηση 2 Έχετε στη διάθεση σας τα παρακάτω μπλοκ μνήμης SRAM των 2 ΚΒytes. Αυτά τα μπλοκ μνήμης διαθέτουν μία πόρτα ανάγνωσης και εγγραφής. Οταν to σήμα Read/Write=1 τότε διαβάζουμε από τη μνήμη. Δηλαδή η διεύθυνση Address χρησιμοποιείται ως διεύθυνση ανάγνωσης και τα δεδομένα της ανάγνωσης εμφανίζονται στη γραμμής dataout. Αντίθετα όταν Read/Write=0 τότε γράφουμε στη μνήμη τα δεδομένα που βρίσκονται στη γραμμή datain στη διεύθυνση address. Ολα αυτά μπορούν να συμβούν όταν το τσιπ της μνήμης είναι ενεργό δλδ CS=1. Οταν CS=0 η μνήμη μένει αδρανής ανεξάρτητα των τιμών των υπόλοιπων σημάτων εισόδου. Αυτό που σας ζητείται είναι να σχεδιάσετε το μπλοκ διάγραμμα μιας νέας μεγαλύτερης μνήμης η οποία θα έχει συνολική χωρητικότητα 8 Kbytes. Η οργάνωση της νέας μνήμης περιλαμβάνει διάδρομο δεδομένων (data bus) 32 bits και συνολικό εύρος διεθύνσεων 11 bits (address bus). Απάντηση 2 Για τα διαθέσιμα block μνήμης έχουμε: 2 Kbytes =2 2 " 2 $% = 2 G 2 $%, δηλαδή 2bytes word 2 " bits byte 2 $% adresses Για τη μνήμη που θέλουμε να φτιάξουμε χρειαζόμαστε 4bytes/word και 2 $$ adresses, άρα: 8 Kbytes = 2 # 2 " 2 $$ = 2 2 G (2 2 $% ) Άρα θα χρειαστούμε συνολικά 4 block μνημών καθώς πρέπει να διπλασιάσουμε τόσο το εύρος των δεδομένων όσο και των διαθέσιμων διευθύνσεων.

39

Ολοκληρωμένα Κυκλώματα

Ολοκληρωμένα Κυκλώματα Δημοκρίτειο Πανεπιστήμιο Θράκης Τμήμα Ηλεκτρολόγων Μηχανικών & Μηχανικών Υπολογιστών Γ. Δημητρακόπουλος Ολοκληρωμένα Κυκλώματα Πρόοδος - Φθινόπωρο 2017 Θέμα 1 ο Σχεδιάστε το datapath για τον υπολογισμό

Διαβάστε περισσότερα

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή 6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή Εισαγωγή Η σχεδίαση ενός ψηφιακού συστήµατος ως ακολουθιακή µηχανή είναι εξαιρετικά δύσκολη Τµηµατοποίηση σε υποσυστήµατα µε δοµικές µονάδες:

Διαβάστε περισσότερα

Άσκηση 3 Ένα νέο είδος flip flop έχει τον ακόλουθο πίνακα αληθείας : I 1 I 0 Q (t+1) Q (t) 1 0 ~Q (t) Κατασκευάστε τον πίνακα

Άσκηση 3 Ένα νέο είδος flip flop έχει τον ακόλουθο πίνακα αληθείας : I 1 I 0 Q (t+1) Q (t) 1 0 ~Q (t) Κατασκευάστε τον πίνακα Άσκηση Δίδονται οι ακόλουθες κυματομορφές ρολογιού και εισόδου D που είναι κοινή σε ένα D latch και ένα D flip flop. Το latch είναι θετικά ενεργό, ενώ το ff θετικά ακμοπυροδοτούμενο. Σχεδιάστε τις κυματομορφές

Διαβάστε περισσότερα

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM).

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM). Μνήμες Ένα από τα βασικά πλεονεκτήματα των ψηφιακών συστημάτων σε σχέση με τα αναλογικά, είναι η ευκολία αποθήκευσης μεγάλων ποσοτήτων πληροφοριών, είτε προσωρινά είτε μόνιμα Οι πληροφορίες αποθηκεύονται

Διαβάστε περισσότερα

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 1) Να μετατρέψετε τον δεκαδικό αριθμό (60,25) 10, στον αντίστοιχο δυαδικό 11111,11 111001,01 111100,01 100111,1 111100,01 2)

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 7. ΘΕΜΑ 1ο MINORITY A B C. C out

ΑΣΚΗΣΗ 7. ΘΕΜΑ 1ο MINORITY A B C. C out ΑΣΚΗΣΗ 7 ΘΕΜΑ 1ο MINORITY A B C C out S S C out C OUT = MAJ(A,B,C) = Majority(A,B,C) = 1 when at least 2 (majority) of A, B, and C are equal to 1. Opposite Minority MAJ(A,B,C) = AB + BC + AC (PMOS and

Διαβάστε περισσότερα

ΗΥ220: Εργαστήριο ψηφιακών κυκλωμάτων

ΗΥ220: Εργαστήριο ψηφιακών κυκλωμάτων Πανεπιστήμιο Κρήτης Τμήμα Επιστήμης Υπολογιστών ΗΥ220: Εργαστήριο ψηφιακών κυκλωμάτων Γιώργος Δημητρακόπουλος Μονάδες επεξεργασίας δεδομένων και ο έλεγχος τους Δόμηση σύνθετων κυκλωμάτων 1. Γενική περιγραφή

Διαβάστε περισσότερα

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Θεµατική Ενότητα ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Ακαδηµαϊκό Έτος 2006 2007 Γραπτή Εργασία #2 Ηµεροµηνία Παράδοσης 28-0 - 2007 ΠΛΗ 2: Ψηφιακά Συστήµατα ΠΡΟΤΕΙΝΟΜΕΝΕΣ ΛΥΣΕΙΣ Άσκηση : [5 µονάδες] Έχετε στη

Διαβάστε περισσότερα

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops K24 Ψηφιακά Ηλεκτρονικά 9: TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ ΤΕΧΝΟΛΟΓΙΚΟ Περιεχόμενα 1 2 3 Γενικά Ύστερα από τη μελέτη συνδυαστικών ψηφιακών κυκλωμάτων, θα μελετήσουμε

Διαβάστε περισσότερα

ΘΕΜΑΤΑ & ΕΝΔΕΙΚΤΙΚΕΣ ΛΥΣΕΙΣ

ΘΕΜΑΤΑ & ΕΝΔΕΙΚΤΙΚΕΣ ΛΥΣΕΙΣ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΠΡΟΓΡΑΜΜΑ ΣΠΟΥΔΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Θεματική Ενότητα Ακαδημαϊκό Έτος 2010 2011 Ημερομηνία Εξέτασης Κυριακή 26.6.2011 Ώρα Έναρξης Εξέτασης

Διαβάστε περισσότερα

ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ. Κεφάλαιο 3

ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ. Κεφάλαιο 3 ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ Κεφάλαιο 3 Κεντρική Μονάδα Επεξεργασίας Κεντρική Μονάδα Επεξεργασίας Μονάδα επεξεργασίας δεδομένων Μονάδα ελέγχου Μονάδα επεξεργασίας δεδομένων Δομή Αριθμητικής Λογικής Μονάδας

Διαβάστε περισσότερα

Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα

Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα επαναληπτικής εξέτασης 2016 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017 Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης Θέμα 1ο (3 μονάδες)

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης Θέμα 1ο (3 μονάδες) Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2016 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το ανωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9. Tα Flip-Flop

ΑΣΚΗΣΗ 9. Tα Flip-Flop ΑΣΚΗΣΗ 9 Tα Flip-Flop 9.1. ΣΚΟΠΟΣ Η κατανόηση της λειτουργίας των στοιχείων μνήμης των ψηφιακών κυκλωμάτων. Τα δομικά στοιχεία μνήμης είναι οι μανδαλωτές (latches) και τα Flip-Flop. 9.2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ

Διαβάστε περισσότερα

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A].

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A]. Κανονική μορφή συνάρτησης λογικής 5. Η κανονική μορφή μιας λογικής συνάρτησης (ΛΣ) ως άθροισμα ελαχιστόρων, από τον πίνακα αληθείας προκύπτει ως εξής: ) Παράγουμε ένα [A] όρων από την κάθε σειρά για την

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ 3/02/2019 ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ ΘΕΜΑ 1 ο 1. Να γράψετε στο τετράδιό σας το γράμμα καθεμιάς από τις παρακάτω προτάσεις και δίπλα τη λέξη ΣΩΣΤΟ, αν είναι σωστή ή τη λέξη ΛΑΘΟΣ, αν είναι

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές

Διαβάστε περισσότερα

Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 2013 Διάρκεια εξέτασης : 160 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών:

Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 2013 Διάρκεια εξέτασης : 160 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών: Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 23 Διάρκεια εξέτασης : 6 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών: Θέμα (,5 μονάδες) Στις εισόδους του ακόλουθου κυκλώματος c b a εφαρμόζονται οι κάτωθι κυματομορφές.

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη q Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

Συνδυαστικά Κυκλώματα

Συνδυαστικά Κυκλώματα 3 Συνδυαστικά Κυκλώματα 3.1. ΣΥΝΔΥΑΣΤΙΚΗ Λ ΟΓΙΚΗ Συνδυαστικά κυκλώματα ονομάζονται τα ψηφιακά κυκλώματα των οποίων οι τιμές της εξόδου ή των εξόδων τους διαμορφώνονται αποκλειστικά, οποιαδήποτε στιγμή,

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΚΑΤΑΧΩΡΗΤΕΣ ΟΛΙΣΘΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΚΑΤΑΧΩΡΗΤΕΣ ΟΛΙΣΘΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΚΑΤΑΧΩΡΗΤΕΣ ΟΛΙΣΘΗΤΕΣ 1) Το παρακάτω κύκλωμα του σχήματος 1 είναι ένας καταχωρητής-ολισθητής

Διαβάστε περισσότερα

Ψηφιακή Λογική Σχεδίαση

Ψηφιακή Λογική Σχεδίαση Ψηφιακή Λογική Σχεδίαση Επιμέλεια: Γεώργιος Θεοδωρίδης, Επίκουρος Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών 1 Άδειες Χρήσης Το παρόν υλικό

Διαβάστε περισσότερα

Γενική οργάνωση υπολογιστή «ΑΒΑΚΑ»

Γενική οργάνωση υπολογιστή «ΑΒΑΚΑ» Περιεχόμενα Γενική οργάνωση υπολογιστή «ΑΒΑΚΑ»... 2 Καταχωρητές... 3 Αριθμητική-λογική μονάδα... 3 Μονάδα μνήμης... 4 Μονάδα Εισόδου - Εξόδου... 5 Μονάδα ελέγχου... 5 Ρεπερτόριο Εντολών «ΑΒΑΚΑ»... 6 Φάση

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Κεφάλαιο 7 i: Καταχωρητές Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές Ολίσθησης Σειριακή Φόρτωση Σειριακή Ολίσθηση Καταχωρητές Ολίσθησης Παράλληλης Φόρτωσης

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2009 Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

Εισαγωγή στην πληροφορική

Εισαγωγή στην πληροφορική Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Εισαγωγή στην πληροφορική Ενότητα 4: Ψηφιακή Λογική, Άλγεβρα Boole, Πίνακες Αλήθειας (Μέρος B) Αγγελίδης Παντελής Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών

Διαβάστε περισσότερα

8.1 Θεωρητική εισαγωγή

8.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 8 ΣΤΟΙΧΕΙΑ ΜΝΗΜΗΣ ΚΑΤΑΧΩΡΗΤΕΣ Σκοπός: Η µελέτη της λειτουργίας των καταχωρητών. Θα υλοποιηθεί ένας απλός στατικός καταχωρητής 4-bit µε Flip-Flop τύπου D και θα µελετηθεί

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level)

Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level) Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level) Ερωτήσεις Επανάληψης 1. Ένας καθηγητής λογικής μπαίνει σε ένα εστιατόριο και λέει : Θέλω ένα σάντουιτς ή ένα σουβλάκι και τηγανητές πατάτες. Δυστυχώς,

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΕΦΑΡΜΟΓΩΝ

ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΗΛΕΚΤΡΟΝΙΚΑ ΚΑΙ ΣΥΣΤΗΜΑΤΑ ΟΜΑ Α Α Αριθµητική Λογική Μονάδα των 8-bit 1. Εισαγωγή Γενικά µια αριθµητική λογική µονάδα (ALU, Arithmetic Logic Unit)

Διαβάστε περισσότερα

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

Συνδυαστικά Λογικά Κυκλώματα

Συνδυαστικά Λογικά Κυκλώματα Συνδυαστικά Λογικά Κυκλώματα Ένα συνδυαστικό λογικό κύκλωμα συντίθεται από λογικές πύλες, δέχεται εισόδους και παράγει μία ή περισσότερες εξόδους. Στα συνδυαστικά λογικά κυκλώματα οι έξοδοι σε κάθε χρονική

Διαβάστε περισσότερα

K15 Ψηφιακή Λογική Σχεδίαση 7-8: Ανάλυση και σύνθεση συνδυαστικών λογικών κυκλωμάτων

K15 Ψηφιακή Λογική Σχεδίαση 7-8: Ανάλυση και σύνθεση συνδυαστικών λογικών κυκλωμάτων K15 Ψηφιακή Λογική Σχεδίαση 7-8: Ανάλυση και σύνθεση συνδυαστικών λογικών κυκλωμάτων Γιάννης Λιαπέρδος TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ Η έννοια του συνδυαστικού

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ Κ. Δεμέστιχας Εργαστήριο Πληροφορικής Γεωπονικό Πανεπιστήμιο Αθηνών Επικοινωνία μέσω e-mail: cdemest@aua.gr, cdemest@cn.ntua.gr 1 5. ΑΛΓΕΒΡΑ BOOLE ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕΡΟΣ Β 2 Επαναληπτική

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ 1) Οι σύγχρονοι μετρητές υλοποιούνται με Flip-Flop τύπου T

Διαβάστε περισσότερα

Τμήμα Χρηματοοικονομικής & Ελεγκτικής ΤΕΙ Ηπείρου Παράρτημα Πρέβεζας. Πληροφορική Ι. Μάθημα 4 ο Πράξεις με bits. Δρ.

Τμήμα Χρηματοοικονομικής & Ελεγκτικής ΤΕΙ Ηπείρου Παράρτημα Πρέβεζας. Πληροφορική Ι. Μάθημα 4 ο Πράξεις με bits. Δρ. Τμήμα Χρηματοοικονομικής & Ελεγκτικής ΤΕΙ Ηπείρου Παράρτημα Πρέβεζας Πληροφορική Ι Μάθημα 4 ο Πράξεις με bits Δρ. Γκόγκος Χρήστος Κατηγορίες πράξεων με bits Πράξεις με δυαδικά ψηφία Αριθμητικές πράξεις

Διαβάστε περισσότερα

7.1 Θεωρητική εισαγωγή

7.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 7 ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΜΑΝ ΑΛΩΤΕΣ FLIP FLOP Σκοπός: Η κατανόηση της λειτουργίας των βασικών ακολουθιακών κυκλωµάτων. Θα µελετηθούν συγκεκριµένα: ο µανδαλωτής (latch)

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 12: Σύνοψη Θεμάτων Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http://arch.icte.uowm.gr/mdasyg

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΣΥΝΔΥΑΣΤΙΚΗ ΛΟΓΙΚΗ 2017, Δρ. Ηρακλής Σπηλιώτης Συνδυαστικά και ακολουθιακά κυκλώματα Τα λογικά κυκλώματα χωρίζονται σε συνδυαστικά (combinatorial) και ακολουθιακά (sequential).

Διαβάστε περισσότερα

Μάθημα 3.2: Κεντρική Μονάδα Επεξεργασίας

Μάθημα 3.2: Κεντρική Μονάδα Επεξεργασίας Κεφάλαιο 3 ο Αρχιτεκτονική Υπολογιστών Μάθημα 3.: Κεντρική Μονάδα Επεξεργασίας Όταν ολοκληρώσεις το κεφάλαιο θα μπορείς: Να σχεδιάζεις την εσωτερική δομή της ΚΜΕ και να εξηγείς τη λειτουργία των επιμέρους

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ Γ ΕΠΑΛ 14 / 04 / 2019

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ Γ ΕΠΑΛ 14 / 04 / 2019 Γ ΕΠΑΛ 14 / 04 / 2019 ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΘΕΜΑ 1 ο 1. Να γράψετε στο τετράδιό σας το γράμμα καθεμιάς από τις παρακάτω προτάσεις και δίπλα τη λέξη ΣΩΣΤΟ, αν είναι σωστή ή τη λέξη ΛΑΘΟΣ, αν είναι λανθασμένη.

Διαβάστε περισσότερα

Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level)

Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level) Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level) Απαντήσεις 1. Η παραγγελία είναι σάντουιτς ή ένα σουβλάκι και τηγανητές πατάτες η οποία μπορεί να αναλυθεί ως σάντουιτς ή (σουβλάκι και τηγανητές πατάτες)

Διαβάστε περισσότερα

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες Πρώτο Κεφάλαιο Εισαγωγή στα Ψηφιακά Συστήματα 1.1 Αναλογικά και Ψηφιακά Σήματα και Συστήματα... 1 1.2 Βασικά Ψηφιακά Κυκλώματα... 3 1.3 Ολοκληρωμένα κυκλώματα... 4 1.4 Τυπωμένα κυκλώματα... 7 1.5 Εργαλεία

Διαβάστε περισσότερα

Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL

Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL 3.1 Εισαγωγή στα FLIP FLOP 3.1.1 Θεωρητικό Υπόβαθρο Τα σύγχρονα ακολουθιακά κυκλώματα με τα οποία θα ασχοληθούμε στο εργαστήριο των Ψηφιακών συστημάτων

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 6 ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ. 6.1 Εισαγωγή

ΚΕΦΑΛΑΙΟ 6 ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ. 6.1 Εισαγωγή ΚΕΦΑΛΑΙΟ 6 ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 6. Εισαγωγή Τα ψηφιακά κυκλώματα διακρίνονται σε συνδυαστικά και ακολουθιακά. Τα κυκλώματα που εξετάσαμε στα προηγούμενα κεφάλαια ήταν συνδυαστικά. Οι τιμές των

Διαβάστε περισσότερα

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f.

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f. 6. Καταχωρητές Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f. Καταχωρητής 4 ψηφίων Καταχωρητής με παράλληλη φόρτωση Η εισαγωγή

Διαβάστε περισσότερα

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα Κεφάλαιο 6 Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα 6.1 Εισαγωγή Η εκτέλεση διαδοχικών λειτουργιών απαιτεί τη δημιουργία κυκλωμάτων που μπορούν να αποθηκεύουν πληροφορίες, στα ενδιάμεσα στάδια των

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων

Ψηφιακά Συστήματα. 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων Ψηφιακά Συστήματα 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd

Διαβάστε περισσότερα

Ασύγχρονοι Απαριθμητές. Διάλεξη 7

Ασύγχρονοι Απαριθμητές. Διάλεξη 7 Ασύγχρονοι Απαριθμητές Διάλεξη 7 Δομή της διάλεξης Εισαγωγή στους Απαριθμητές Ασύγχρονος Δυαδικός Απαριθμητής Ασύγχρονος Δεκαδικός Απαριθμητής Ασύγχρονος Δεκαδικός Απαριθμητής με Latch Ασκήσεις 2 Ασύγχρονοι

Διαβάστε περισσότερα

Σχεδίαση κυκλωμάτων ακολουθιακής λογικής

Σχεδίαση κυκλωμάτων ακολουθιακής λογικής Σχεδίαση κυκλωμάτων ακολουθιακής λογικής Βασικές αρχές Σχεδίαση Latches και flip-flops Γιώργος Δημητρακόπουλος Δημοκρίτειο Πανεπιστήμιο Θράκης Φθινόπωρο 2013 Ψηφιακά ολοκληρωμένα κυκλώματα 1 Ακολουθιακή

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ & ΗΛΕΚΤΡΟΝΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ & μ-υπολογιστων ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ Θεωρητικό Μέρος Οι σειριακές λειτουργίες είναι πιο

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΕΦΑΡΜΟΓΕΣ ΚΑΤΑΧΩΡΗΤΩΝ ΟΛΙΣΘΗΣΗΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΕΦΑΡΜΟΓΕΣ ΚΑΤΑΧΩΡΗΤΩΝ ΟΛΙΣΘΗΣΗΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΕΦΑΡΜΟΓΕΣ ΚΑΤΑΧΩΡΗΤΩΝ ΟΛΙΣΘΗΣΗΣ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ Στο διπλανό σχήμα φαίνεται το διάγραμμα ακροδεκτών

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο Διάλεξη 8 η : Μηχανές Πεπερασμένων Κaταστάσεων σε FPGAs

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο Διάλεξη 8 η : Μηχανές Πεπερασμένων Κaταστάσεων σε FPGAs ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 8 η :

Διαβάστε περισσότερα

Εργαστήριο Ψηφιακής Σχεδίασης

Εργαστήριο Ψηφιακής Σχεδίασης ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ Εργαστήριο Ψηφιακής Σχεδίασης 8 Εργαστηριακές Ασκήσεις Χρ. Καβουσιανός Επίκουρος Καθηγητής 2014 Εργαστηριακές Ασκήσεις Ψηφιακής Σχεδίασης 2 Εργαστηριακές Ασκήσεις

Διαβάστε περισσότερα

Παραδείγματα σχεδίασης με μηχανές πεπερασμένων καταστάσεων

Παραδείγματα σχεδίασης με μηχανές πεπερασμένων καταστάσεων Παραδείγματα σχεδίασης με μηχανές πεπερασμένων καταστάσεων Γιώργος Δημητρακόπουλος 1 Αποκωδικοποιητής κώδικα Huffman συμπίεση δεδομένων Ξέρουμε ότι με n bits μπορούμε να κωδικοποιήσουμε 2 n διαφορετικά

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών. Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών

Πανεπιστήμιο Πατρών. Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Πανεπιστήμιο Πατρών Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Εργαστήριο Σχεδίασης Ολοκληρωμένων Κυκλωμάτων Σχεδιασμός Ολοκληρωμένων Συστημάτων με τεχνικές VLSI Χειμερινό Εξάμηνο 2015 FSM

Διαβάστε περισσότερα

Πράξεις με δυαδικούς αριθμούς

Πράξεις με δυαδικούς αριθμούς Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 25-6 Πράξεις με δυαδικούς αριθμούς (λογικές πράξεις) http://di.ionio.gr/~mistral/tp/csintro/ Μ.Στεφανιδάκης Εκτέλεση πράξεων

Διαβάστε περισσότερα

Πράξεις με δυαδικούς αριθμούς

Πράξεις με δυαδικούς αριθμούς Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 25-6 Πράξεις με δυαδικούς αριθμούς (αριθμητικές πράξεις) http://di.ionio.gr/~mistral/tp/csintro/ Μ.Στεφανιδάκης Πράξεις με δυαδικούς

Διαβάστε περισσότερα

Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής

Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής Βασισμένο σε μια εργασία των Καζαρλή, Καλόμοιρου, Μαστοροκώστα, Μπαλουκτσή, Καλαϊτζή, Βαλαή, Πετρίδη Εισαγωγή Η Εξελικτική Υπολογιστική

Διαβάστε περισσότερα

K24 Ψηφιακά Ηλεκτρονικά 6: Πολυπλέκτες/Αποπολυπλέκτες

K24 Ψηφιακά Ηλεκτρονικά 6: Πολυπλέκτες/Αποπολυπλέκτες K24 Ψηφιακά Ηλεκτρονικά 6: Πολυπλέκτες/Αποπολυπλέκτες TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ ΤΕΧΝΟΛΟΓΙΚΟ Περιεχόμενα 1 2 3 4 Λειτουργία Πολυπλέκτης (Mul plexer) Ο

Διαβάστε περισσότερα

Σχεδίαση της Μονάδας Ελέγχου

Σχεδίαση της Μονάδας Ελέγχου ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ & ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ - VHDL ΥΛΙΚΟ ΚΑΙ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ Ενότητα 5 Σχεδίαση της Μονάδας Ελέγχου Καθηγητής Αντώνης Πασχάλης 2017 Γενικές Γραμμές Σχεδίαση

Διαβάστε περισσότερα

Ψηφιακή Λογική Σχεδίαση

Ψηφιακή Λογική Σχεδίαση Ψηφιακή Λογική Σχεδίαση Γ. Θεοδωρίδης Ψηφιακή Λογική Σχεδίαση Γ. Θεοδωρίδης 1 Κεφάλαιο 8 Σχεδίαση στο Επίπεδο Μεταφοράς Περιεχομένων Καταχωρητών Ψηφιακή Λογική Σχεδίαση Γ. Θεοδωρίδης 2 Περίγραμμα Κεφαλαίου

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 8. Καταχωρητές

Ψηφιακά Συστήματα. 8. Καταχωρητές Ψηφιακά Συστήματα 8. Καταχωρητές Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd Thomas L., Ψηφιακά

Διαβάστε περισσότερα

Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα

Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα 1. Για a=1, b=1 και c=0, υπολογίστε τις τιμές των λογικών παραστάσεων ab c, a+b +c, a+b c και ab +c Δώστε τα σύνολα τιμών των δυαδικών μεταβλητών a,

Διαβάστε περισσότερα

Ψηφιακή Λογική και Σχεδίαση

Ψηφιακή Λογική και Σχεδίαση Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 26-7 Ψηφιακή Λογική και Σχεδίαση (σχεδίαση συνδυαστικών κυκλωμάτων) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Το τρανζίστορ

Διαβάστε περισσότερα

! Εάν ο αριθμός διαθέτει περισσότερα bits, χρησιμοποιούμε μεγαλύτερες δυνάμεις του 2. ! Προσοχή στη θέση του περισσότερο σημαντικού bit!

! Εάν ο αριθμός διαθέτει περισσότερα bits, χρησιμοποιούμε μεγαλύτερες δυνάμεις του 2. ! Προσοχή στη θέση του περισσότερο σημαντικού bit! Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 25-6 Πράξεις με δυαδικούς αριθμούς (αριθμητικές ) http://di.ionio.gr/~mistral/tp/csintro/ Αριθμοί Πράξεις με δυαδικούς αριθμούς

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ 1) Οι απαριθμητές ή μετρητές (counters) είναι κυκλώματα που

Διαβάστε περισσότερα

Ψηφιακή Λογική Σχεδίαση

Ψηφιακή Λογική Σχεδίαση Ψηφιακή Λογική Σχεδίαση Επιμέλεια: Γεώργιος Θεοδωρίδης, Επίκουρος Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σημείωμα Αδειοδότησης Το παρόν

Διαβάστε περισσότερα

Κεφάλαιο 3 Κεντρική Μονάδα Επεξεργασίας

Κεφάλαιο 3 Κεντρική Μονάδα Επεξεργασίας ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ Κεφάλαιο 3 Κεντρική Μονάδα Επεξεργασίας Κεντρική Μονάδα Επεξεργασίας Μονάδα επεξεργασίας δεδομένων Μονάδα ελέγχου Μονάδα επεξεργασίας δεδομένων Μονάδα Επεξεργασίας Δεδομένων Μονάδα

Διαβάστε περισσότερα

9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ

9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ 61 9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ I. Βασική Θεωρία Οι πύλες NAND και NOR ονομάζονται οικουμενικές πύλες (universal gates) γιατί κάθε συνδυαστικό κύκλωμα μπορεί να υλοποιηθεί

Διαβάστε περισσότερα

w x y Υλοποίηση της F(w,x,y,z) με πολυπλέκτη 8-σε-1

w x y Υλοποίηση της F(w,x,y,z) με πολυπλέκτη 8-σε-1 Άσκηση 1 Οι λύσεις απαντήσεις που προτείνονται είναι ενδεικτικές και θα πρέπει να προσθέσετε Α) Αρχικά σχεδιάζουμε τον πίνακα αληθείας της λογικής έκφρασης: w x y z x G1 =x y G2 =z w F = G1 G2 Είσοδοι

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Μηχανές Πεπερασμένων Καταστάσεων Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ

Διαβάστε περισσότερα

Κεφάλαιο 4. Λογική Σχεδίαση

Κεφάλαιο 4. Λογική Σχεδίαση Κεφάλαιο 4 Λογική Σχεδίαση 4.1 Εισαγωγή Λογικές συναρτήσεις ονομάζουμε εκείνες για τις οποίες μπορούμε να αποφασίσουμε αν είναι αληθείς ή όχι. Χειριζόμαστε τις λογικές προτάσεις στην συγγραφή λογισμικού

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 9: Ελαχιστοποίηση και Κωδικοποίηση Καταστάσεων, Σχεδίαση με D flip-flop, Σχεδίαση με JK flip-flop, Σχεδίαση με T flip-flop Δρ. Μηνάς

Διαβάστε περισσότερα

ΕΙΔΙΚΟΤΗΤΑ: ΤΕΧΝΙΚΟΣ ΕΦΑΡΜΟΓΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΜΑΘΗΜΑ: ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

ΕΙΔΙΚΟΤΗΤΑ: ΤΕΧΝΙΚΟΣ ΕΦΑΡΜΟΓΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΜΑΘΗΜΑ: ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ ΕΙΔΙΚΟΤΗΤΑ: ΤΕΧΝΙΚΟΣ ΕΦΑΡΜΟΓΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΜΑΘΗΜΑ: ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ (Τμήματα Υπολογιστή) ΕΚΠΑΙΔΕΥΤΗΣ:ΠΟΖΟΥΚΙΔΗΣ ΚΩΝΣΤΑΝΤΙΝΟΣ ΤΜΗΜΑΤΑ ΗΛΕΚΤΡΟΝΙΚΟΥ ΥΠΟΛΟΓΙΣΤΗ Κάθε ηλεκτρονικός υπολογιστής αποτελείται

Διαβάστε περισσότερα

7 η διάλεξη Ακολουθιακά Κυκλώματα

7 η διάλεξη Ακολουθιακά Κυκλώματα 7 η διάλεξη Ακολουθιακά Κυκλώματα 1 2 3 4 5 6 7 Παραπάνω βλέπουμε ακολουθιακό κύκλωμα σχεδιασμένο με μανταλωτές διαφορετικής φάσης. Παρατηρούμε ότι συνδυαστική λογική μπορεί να προστεθεί μεταξύ και των

Διαβάστε περισσότερα

Σχεδιασμός Ψηφιακών Συστημάτων

Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ 2: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 27 Νοε-7 ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 27 Ακολουθιακά Κυκλώματα: Μανδαλωτές (Latches) και Flip-Flops Flops Διδάσκουσα:

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Ακολουθιακή Λογική. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Ακολουθιακή Λογική. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Ακολουθιακή Λογική Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Εισαγωγή Είσοδοι Συνδυαστικό Κύκλωμα Έξοδοι Στοιχεία Μνήμης Κατάσταση

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Καταχωρητές και Μετρητές 2. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Καταχωρητές και Μετρητές 2. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Καταχωρητές και Μετρητές Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Εισαγωγή Καταχωρητής: είναι μία ομάδα από δυαδικά κύτταρα αποθήκευσης

Διαβάστε περισσότερα

ΜΕΡΟΣ 1 ο : Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα

ΜΕΡΟΣ 1 ο : Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα ΜΕΡΟΣ 1 ο : Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα 1. Για a=1, b=1 και c=0, υπολογίστε τις τιμές των λογικών παραστάσεων ab c, a+b +c, a+b c και ab +c Δώστε τα σύνολα τιμών των δυαδικών

Διαβάστε περισσότερα

Τετάρτη 5-12/11/2014. ΣΗΜΕΙΩΣΕΙΣ 3 ου και 4 ου ΜΑΘΗΜΑΤΟΣ ΕΙΔΙΚΟΤΗΤΑ: ΤΕΧΝΙΚΟΣ ΕΦΑΡΜΟΓΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΜΑΘΗΜΑ: ΑΡΧΙΤΕΚΤΟΝΙΚΗ Η/Υ Α ΕΞΑΜΗΝΟ

Τετάρτη 5-12/11/2014. ΣΗΜΕΙΩΣΕΙΣ 3 ου και 4 ου ΜΑΘΗΜΑΤΟΣ ΕΙΔΙΚΟΤΗΤΑ: ΤΕΧΝΙΚΟΣ ΕΦΑΡΜΟΓΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΜΑΘΗΜΑ: ΑΡΧΙΤΕΚΤΟΝΙΚΗ Η/Υ Α ΕΞΑΜΗΝΟ Τετάρτη 5-12/11/2014 ΣΗΜΕΙΩΣΕΙΣ 3 ου και 4 ου ΜΑΘΗΜΑΤΟΣ ΕΙΔΙΚΟΤΗΤΑ: ΤΕΧΝΙΚΟΣ ΕΦΑΡΜΟΓΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΜΑΘΗΜΑ: ΑΡΧΙΤΕΚΤΟΝΙΚΗ Η/Υ Α ΕΞΑΜΗΝΟ ΕΚΠΑΙΔΕΥΤΗΣ: ΤΡΟΧΙΔΗΣ ΠΑΝΑΓΙΩΤΗΣ 1. Παράσταση και οργάνωση δεδομένων

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΠΛ 121 ΕΡΓΑΣΤΗΡΙΑ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΜΝΗΜΗ ΚΑΙ ΠΡΟΓΡΑΜΜΑΤΙΖΟΜΕΝΗ ΛΟΓΙΚΗ ΥΠΕΥΘΥΝΟΣ ΕΡΓΑΣΤΗΡΙΩΝ: ΧΡΥΣΟΣΤΟΜΟΣ ΧΡΥΣΟΣΤΟΜΟΥ ΕΑΡΙΝΟ ΕΞΑΜΗΝΟ 2001 ΕΠΛ 121 ΕΡΓΑΣΤΗΡΙΑ ΨΗΦΙΑΚΩΝ

Διαβάστε περισσότερα

Γ2.1 Στοιχεία Αρχιτεκτονικής. Γ Λυκείου Κατεύθυνσης

Γ2.1 Στοιχεία Αρχιτεκτονικής. Γ Λυκείου Κατεύθυνσης Γ2.1 Στοιχεία Αρχιτεκτονικής Γ Λυκείου Κατεύθυνσης Ορισμός άλγεβρας Boole Η άλγεβρα Boole ορίζεται, ως μία αλγεβρική δομή A, όπου: (α) Το Α είναι ένα σύνολο στοιχείων που περιέχει δύο τουλάχιστον στοιχεία

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 ΑΠΑΝΤΗΣΕΙΣ

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 ΑΠΑΝΤΗΣΕΙΣ ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 Μάθημα : Μικροϋπολογιστές Τεχνολογία Τ.Σ. Ι, Θεωρητικής κατεύθυνσης Ημερομηνία

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ᄃ Σχεδίαση Ψηφιακών Συστημάτων Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

C D C D C D C D A B

C D C D C D C D A B Απλοποίηση µέσω Πίνακα Karnaugh: Παράδειγµα - 2 Στον παρακάτω πίνακα έχει ήδη γίνει το «βήμα- 1». Επομένως: Βήμα 2: Δεν υπάρχουν απομονωμένα κελιά. Βήμα 3: Στο ζεύγος (3,7) το κελί 3 γειτνιάζει μόνο με

Διαβάστε περισσότερα

Ψηφιακή Σχεδίαση Ενότητα 11:

Ψηφιακή Σχεδίαση Ενότητα 11: Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 11: Μνήμη και Προγραμματίσιμη Λογική Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Μετρητής Ριπής (Ripple Counter) Μετρητές (Counters) Μετρητής Ριπής (συν.

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Μετρητής Ριπής (Ripple Counter) Μετρητές (Counters) Μετρητής Ριπής (συν. ΗΜΥ-2: Λογικός Σχεδιασµός Εαρινό Κεφάλαιο 7 ii: Μετρητές Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Μετρητής Ριπής Περίληψη Σύγχρονος υαδικός Μετρητής Σχεδιασµός µε Flip-Flops

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ ΠΕΡΙΕΧΟΜΕΝΑ Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ 1.1 Εισαγωγή...11 1.2 Τα κύρια αριθμητικά Συστήματα...12 1.3 Μετατροπή αριθμών μεταξύ των αριθμητικών συστημάτων...13 1.3.1 Μετατροπή ακέραιων

Διαβάστε περισσότερα

Ολοκληρωμένα Κυκλώματα - Φθινόπωρο 2014 Γ. Δημητρακόπουλος. Εργαστηριακή άσκηση 2

Ολοκληρωμένα Κυκλώματα - Φθινόπωρο 2014 Γ. Δημητρακόπουλος. Εργαστηριακή άσκηση 2 Ολοκληρωμένα Κυκλώματα - Φθινόπωρο 2014 Γ. Δημητρακόπουλος Εργαστηριακή άσκηση 2 Σκοπός αυτής της εργαστηριακής άσκησης είναι να σας θυμίσει (ή να σας δείξει ανάλογα με το βαθμό εξοικίωσης σας) τον τρόπο

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 4 ΠΡΟΒΛΗΜΑΤΑ ΛΟΓΙΚΗΣ ΣΧΕΔΙΑΣΗΣ

ΑΣΚΗΣΗ 4 ΠΡΟΒΛΗΜΑΤΑ ΛΟΓΙΚΗΣ ΣΧΕΔΙΑΣΗΣ ΑΣΚΗΣΗ 4 ΠΡΟΒΛΗΜΑΤΑ ΛΟΓΙΚΗΣ ΣΧΕΔΙΑΣΗΣ 4.1 ΣΚΟΠΟΣ Σκοπός αυτής της εργαστηριακής άσκησης είναι να παρουσιάσει τις βασικές αρχές της σχεδίασης λογικών (ψηφιακών) κυκλωμάτων για πρακτικές εφαρμογές. Στα προηγούμενα

Διαβάστε περισσότερα

Ψηφιακή Λογική Σχεδίαση

Ψηφιακή Λογική Σχεδίαση Ψηφιακή Λογική Σχεδίαση Επιμέλεια: Νίκος Φακωτάκης, Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σημείωμα Αδειοδότησης Το παρόν υλικό διατίθεται

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΟΙ ΚΑΤΑΧΩΡΗΤΕΣ ΚΑΙ Η ΥΛΟΠΟΙΗΣΗ ΤΟΥΣ ΜΕ FLIP-FLOP ΚΑΙ ΠΥΛΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΟΙ ΚΑΤΑΧΩΡΗΤΕΣ ΚΑΙ Η ΥΛΟΠΟΙΗΣΗ ΤΟΥΣ ΜΕ FLIP-FLOP ΚΑΙ ΠΥΛΕΣ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΝΙΚΗΣ & ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ & μ-υπολογιστων ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΟΙ ΚΑΤΑΧΩΡΗΤΕΣ ΚΑΙ Η ΥΛΟΠΟΙΗΣΗ ΤΟΥΣ ΜΕ FLIP-FLOP ΚΑΙ ΠΥΛΕΣ Θεωρητικό

Διαβάστε περισσότερα

4.1 Θεωρητική εισαγωγή

4.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 4 ΥΑ ΙΚΟΣ ΑΘΡΟΙΣΤΗΣ-ΑΦΑΙΡΕΤΗΣ Σκοπός: Να µελετηθούν αριθµητικά κυκλώµατα δυαδικής πρόσθεσης και αφαίρεσης. Να σχεδιαστούν τα κυκλώµατα από τους πίνακες αληθείας

Διαβάστε περισσότερα

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΗΛΕΚΤΡΟΝΙΚΗΣ & ΥΠΟΛΟΓΙΣΤΩΝ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ & ΣΥΣΤΗΜΑΤΑ ΣΗΜΕΙΩΣΕΙΣ ΑΠΑΡΙΘΜΗΤΕΣ Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Μετρητές 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Μετρητές 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Μετρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Μετρητής Ριπής Σύγχρονος υαδικός Μετρητής

Διαβάστε περισσότερα

1. ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΩΝ. α i. (α i β i ) (1.3) όπου: η= το πλήθος ακεραίων ψηφίων του αριθμού Ν. n-1

1. ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΩΝ. α i. (α i β i ) (1.3) όπου: η= το πλήθος ακεραίων ψηφίων του αριθμού Ν. n-1 1. ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΩΝ 1.1 Εισαγωγή Το δεκαδικό σύστημα (Decimal System) αρίθμησης χρησιμοποιείται από τον άνθρωπο και είναι κατάλληλο βέβαια γι αυτόν, είναι όμως εντελώς ακατάλληλο για τις ηλεκτρονικές

Διαβάστε περισσότερα

Οργάνωση της φυσικής δομής του ολοκληρωμένου κυκλώματος

Οργάνωση της φυσικής δομής του ολοκληρωμένου κυκλώματος Οργάνωση της φυσικής δομής του ολοκληρωμένου κυκλώματος Γιώργος Δημητρακόπουλος Δημοκρίτειο Πανεπιστήμιο Θράκης Φθινόπωρο 2013 Ψηφιακά ολοκληρωμένα κυκλώματα 1 Οργάνωση του φυσικού σχεδίου Αποφασίζουμε

Διαβάστε περισσότερα