Ψηφιακή Σχεδίαση. Δρ. Μηνάς Δασυγένης Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Ψηφιακή Σχεδίαση. Δρ. Μηνάς Δασυγένης Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών"

Transcript

1 Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 8: Μανδαλωτές SR, S R D Flip-Flops Αφέντη Σκλάβου, Σχεδιασμός Ακολουθιακών κυκλωμάτων, Πίνακας Καταστάσεων, Διάγραμμα Καταστάσεων Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

2 Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης Creative Commons. Για εκπαιδευτικό υλικό, όπως εικόνες, που υπόκειται σε άλλου τύπου άδειας χρήσης, η άδεια χρήσης αναφέρεται ρητώς. 2

3 Χρηματοδότηση Το παρόν εκπαιδευτικό υλικό έχει αναπτυχθεί στα πλαίσια του εκπαιδευτικού έργου του διδάσκοντα. Το έργο «Ανοικτά Ψηφιακά Μαθήματα στο Πανεπιστήμιο Δυτικής Μακεδονίας» έχει χρηματοδοτήσει μόνο τη αναδιαμόρφωση του εκπαιδευτικού υλικού. Το έργο υλοποιείται στο πλαίσιο του Επιχειρησιακού Προγράμματος «Εκπαίδευση και Δια Βίου Μάθηση» και συγχρηματοδοτείται από την Ευρωπαϊκή Ένωση (Ευρωπαϊκό Κοινωνικό Ταμείο) και από εθνικούς πόρους. 3

4 Σκοπός της ενότητας Να γίνει ανάλυση των Μανδαλωτών SR, Flip Flops ( S R D ). Να γίνει ο σχεδιασμός ακολουθιακών κυκλωμάτων. Να γίνει ανάλυση των πινάκων καταστάσεων και διαγραμμάτων καταστάσεων. 4

5 Ακολουθιακά Κυκλώματα Κυκλώματα που εξετάσαμε μέχρι τώρα Κυκλώματα που αποθηκεύουν πληροφορίες Inputs Combinational Circuit Next State Storage elements Present state Outputs Inputs: Είσοδοι Outputs: Έξοδοι Combinational Circuit: Συνδυαστικό κύκλωμα Next state: Επόμενη κατάσταση Storage elements: Στοιχεία αποθήκευσης Present state: Παρούσα κατάσταση Καταστάσεις Χρόνου 5

6 Σύγχρονα ακολουθιακά κυκλώματα Είσοδοι Συνδυαστικό κύκλωμα Flip-flops Έξοδοι Παρούσα κατάσταση Παλμοί από το ρολόι (α) Σχηματικό διάγραμμα (β) Χρονικό διάγραμμα από τους παλμούς του ρολογιού Τα flip-flops έχουν ως εισόδους σήματα από το συνδυαστικό κομμάτι του κυκλώματος καθώς και σήμα από ένα ρολόι με περιοδικούς παλμούς μεταξύ αμετάβλητων περιοδικών διαστημάτων. 6

7 Στοιχεία Μνήμης Buffers 0 Inverters t pd ½ tpd ½ t pd 1 Η αποθηκευμένη τιμή δεν μπορεί να αλλάξει. 7

8 Προσομοίωση Διακριτών Γεγονότων Χρησιμοποιείται για την καλύτερη κατανόηση της χρονικής συμπεριφοράς ενός κυκλώματος. Κανόνες: 1. Οι πύλες μοντελοποιούνται με 2 τρόπους: α) Βάσει λειτουργίας τους, με μηδενική καθυστέρηση ( ideal, instantaneous ) β) Με σταθερή καθυστέρηση ανα πύλη ( fixed gate delay ). 2. Κάθε αλλαγή στις τιμές εισόδων αξιολογείται, βάσει του μοντέλου μηδενικής καθυστέρησης, για να υπολογιστούν τυχόν αλλαγές στις τιμές εξόδων ( = γεγονος ) ( evaluation event ). 3. Αλλαγές στις τιμές εξόδων προγραμματίζονται βάσει του μοντέλου σταθερής καθυστέρησης ( scheduling event ). 4. Οι τιμές εξόδων ( και πιθανόν άλλα επηρεαζόμενα σήματα ) αλλάζουν μόνο στον χρόνο του προγραμματιζόμενου γεγονότος. 8

9 Μοντέλο Καθυστέρησης Πυλών Θεωρείστε τις πιο κάτω πύλες NOT, AND και OR με καθυστέρηση n ns,όπου n = 0.2ns, n = 0.4ns και n = 0.5 ns αντίστοιχα: 9

10 0.2 Μοντέλο Καθυστέρησης Κυκλώματος Θεωρείστε έναν απλό 2-σε-1 MUX: Με συνάρτηση: Y = A για S = 0 Y = B για S = 1 S Y B

11 Αποθήκευση Κατάστασης (1) Τι γίνεται αν η A ενωθεί με την Y; Συναρτήσεις: Y = B για S = 1 Y( t ) εξαρτάται Από Y( t ) για S = 0 Το συνδυαστικό κύκλωμα μετατράπηκε σε ακολουθιακό, αφού η συνάρτηση εξόδου εξαρτάται και από τις προηγούμενες τιμές εισόδων. 11

12 Αποθήκευση Κατάστασης (2) Παράδειγμα προσομοίωσης: Οι τιμές αλλάζουν με την πάροδο του χρόνου. Οι αλλαγές σημειώνονται κάθε 100 ns, έτσι ώστε καθυστερήσεις σε δέκατα ns αγνοούνται. B S Y Σχόλια Y «Θυμάται» Y = B όταν S = Τώρα Y «θυμαται» B = 1 για S = 0 Καμία αλλαγή για Y όταν αλλάζει το B Y = B όταν S = Y «Θυμάται» B = 10 για S = Καμία αλλαγή για Y όταν αλλάζει το B 12

13 0.2 Αποθήκευση Κατάστασης (3) Θεωρήστε ότι τοποθετούμε ενα αντιστροφέα στο μονοπάτι ανάδρασης. Συμβαίνουν τα ακόλουθα: 1. Το κύκλωμα γίνεται ασταθές ( unstable ). 2. Για S = 0 το κύκλωμα γίνεται ταλαντωτής ( oscillator ). Μπορεί να χρησιμοποιηθεί ως ένα αδρό ρολόι. 0.4 S Y B

14 Αποθήκευση Κατάστασης (4) B 0 S 1 Y 0 Σχόλια Y = B όταν S = Τώρα Υ «θυμάται» Β Y, 1.1 ns αργότερα Y, 1.1 ns αργότερα Y, 1.1 ns αργότερα 14

15 Μανδαλωτές Διατηρεί τη δυαδική κατάσταση του επ' αόριστον. Οι βασικές διαφορές στα flip-flops εντοπίζονται: Αριθμό εισόδων. Τρόπο με τον οποίο οι είσοδοι επηρεάζουν τη δυαδική κατάσταση. Οι πλέον στοιχειώδεις τύποι flip-flop δουλεύουν με επίπεδα σημάτων και ονομάζονται μανδαλωτές. 15

16 Μανδαλωτής τύπου SR (1) SR: set - reset, δισταθές στοιχείο με 2 εισόδους. Προσέξτε την «ακαθόριστη» τιμή για S = R = 1. Διαβάζοντας τη λογική: Q = ( R + Q ) και Q = ( S + Q ) 16

17 Μανδαλωτής τύπου SR (2) S R Q Q Set State Set State Reset State Reset State Undefined 17

18 Πρόβλημα όταν S = R = 1 Ακαθόριστη έξοδος γιατί: Όταν S = R = 1, τότε και οι 2 έξοδοι γίνονται 0. Εάν και οι 2 έξοδοι είναι 0,η κατάσταση του SR latch εξαρτάται από την είσοδο που παραμένει στην τιμή 1 για περισσότερο χρόνο πριν γίνει 0. Άρα είναι όντως, «ακαθόριστη» κατάσταση ΠΡΕΠΕΙ να αποφευχθεί. 18

19 Λειτουργία SR latch Σε κανονική λειτουργία κρατάμε και τις δύο εισόδους του μανδαλωτή στο 0. Αν και οι 2 είσοδοι είναι στο 0, τότε τότε διατηρείται η προηγούμενη κατάσταση. Αν επιθυμούμε να αλλάξουμε την κατάσταση τότε δίνουμε είτε S = 1,R = 0 είτε S = 0, R = 1. Αν S = R = 0 τότε ο μανδαλωτής μπορεί να είναι είτε σε 'θέση' είτε σε 'επαναφορά. 19

20 Κατασκευή S R latch ( NAND )(1) S R Q Q Set X Y NAND

21 Κατασκευή S R latch ( NAND )(2) S R Q Q Set Hold X Y NAND

22 Κατασκευή S R latch ( NAND )(3) S R Q Q Set Reset Hold 0 1 Hold X Y NAND

23 Τυπική λειτουργία S R H λειτουργία του S'R' είναι αντίστροφη του SR. Σε κανονική λειτουργία διατηρούμε τις εισόδους S,R στο 1 για να διατηρηθεί η κατάσταση. Αν θέσουμε S = 0,R = 1 τότε έχουμε 'θέση'. Αν θέσουμε S = 1,R = 0 τότε έχουμε 'επαναφορά'. Απροσδιόριστη κατάσταση αν S = R = 0. 23

24 Προσομοίωση SR latch 24

25 Βελτίωση λειτουργίας SR Η λειτουργία του SR μπορεί να βελτιωθεί αν προσθέσουμε μια επιπλέον είσοδο ελέγχου. Καθορίζει ΠΟΤΕ μπορεί να αλλάξει η κατάσταση του μανδαλωτή. Η είσοδος επίτρεψης C λειτουργεί ως σήμα επίτρεψης. 25

26 SR latch με σήμα ελέγχου (1) Το latch είναι ευαίσθητο σε αλλαγές στις εισόδους MONO όταν το C = 1. Σημαντικό στοιχείο, χρησιμοποιείται για σχεδιασμό άλλων latches και flip-flops. 26

27 SR latch με σήμα ελέγχου (2) C S R Next state of Q 0 X X No change No change Q = 0; Reset state Q = 1; Set state Undefined 27

28 Το SR latch είναι δυσχρηστο Αν C = S = R = 1 τότε προκύπτει η μοναδική απροσδιόριστη κατάσταση. Δε μπορούμε να προβλέψουμε με σιγουριά την επόμενη κατάσταση. Αυτή η αόριστη κατάσταση κάνει το κύκλωμα δύσχρηστο. Μπορεί να εξαλειφθεί αν εξασφαλίσουμε ότι οι είσοδοι SR δεν παίρνουν πότε την τιμή 1 D-latch. 28

29 Μανδαλωτής τύπου D Ένας τρόπος αποφυγής των ανεπιθύμητων ακαθόριστων καταστάσεων στο RS flip-flop, είναι η εξασφάλιση ότι οι είσοδοι S και R δεν θα πάρουν ποτέ την τιμή 1 ταυτόχρονα. Αυτό επιτυγχάνεται με ένα SR-latch όπου S = D και R = D D-latch: C D Next state of Q 0 X No change 1 0 Q=0; Reset state Δυο είσοδοι μόνο. 1 1 Q=1;Set state 29

30 Τυπική λειτουργία D latch Ονομάζεται D από τη λέξη DATA αφού μπορεί να συγκρατήσει δεδομένα. Ονομάζεται διαφανής μανδαλωτής αφού όταν το C = 1 τότε σχηματίζεται μια λογική σύνδεση της εισόδου D με την έξοδο. Όταν το C = 0 τότε η δυαδική πληροφορία που ήταν στην είσοδο D διατηρείται στην έξοδο Q. 30

31 Σχηματικά Σύμβολα μανδαλωτών 31

32 Flip Flop Τα Latches είναι διαυγή ( transparent ) δηλ. οποιαδήποτε αλλαγή στην κατάσταση του latch είναι αντιληπτή και στις εξόδους ( αν υπάρχει σήμα ελέγχου C, αυτό ισχύει κατά τη διάρκεια που C = 1 ). Αυτό προκαλεί προβλήματα συγχρονισμού, αφού η κατάσταση ενός latch μπορεί να αλλάξει πολλαπλές φορές όταν C = 1! Λύση: Χρησιμοποιούμε latches για την δημιουργία των flipflops που μπορούν να ανταποκριθούν ( update ) ΜΟΝΟ σε ΣΥΓΚΕΚΡΙΜΕΝΕΣ χρονικές στιγμές ( όχι ανά πάσα στιγμή ή κατά τη διάρκεια ενός διαστήματος ). 32

33 Πυροδότηση ( triggering ) Ο μηχανισμός που επιτρέπει σε ένα στοιχείο μνήμης ( latch ή FF ) να αλλάξει κατάσταση. Τρόποι πυροδότησης: Ασύγχρονα, δηλ. εντελώς διαυγή ( πχ. SR-latch ). Πυροδότηση-επιπέδου ( level trigger, C = 1 ) ( πχ. SRlatch ή D-latch με σήμα έλεγχου C ). Master-Slave ( πχ. SR-FF, D-FF ). Πυροδότηση-ακμής: θετική ή αρνητική ακμή του C ( rising trigger, C = ή C = ) ( πχ. SR-FF, D-FF ). 33

34 Εναλακτικες Υλοποιήσεις FF Τύποι FF: SR D JK Τρόποι ενεργοποίησης ( triggering ): Master Slave: χρησιμοποιεί πυροδότηση-επιπέδου αλλά με 2 latches, έτσι ώστε η κατάσταση του FF αλλάζει μόνο μια φορά σε μια περίοδο ρολογιού. Ενεργοποίηση-ακμής: θετική ή αρνητική ακμή του C ( rising or falling edge trigger, C = ή C = ). 34

35 Απόκριση μανδαλωτών και flip-flop σε σήμα ρολογιού (a) Response to positive level ( ανταπόκριση στο θετικό επίπεδο ) (b) Positive-edge response ( ανταπόκριση στις θετικές ακμές ) (c)negative-edge response ( ανταπόκριση στις αρνητικές ακμές ) 35

36 Master Slave SR (1) Χρησιμοποιεί πυροδότηση-επιπέδου. Κατάσταση Q = Y, όταν C = 0. Επίσης το Y δεν μπορεί να αλλάξει τιμή όταν C = 0. S S Y S Q C R C R Master Y C R Slave Q 36

37 Master Slave SR (2) Όταν C = 1, ο master ενεργοποιείται και φυλάει νέα δεδομένα, και ο slave αποθηκεύει παλιά δεδομένα. Όταν C = 0, η κατάσταση του master αποθηκεύεται στον slave ( Q = Y ), ενώ ο master δεν είναι ευαίσθητος σε νέα δεδομένα. S S Y S Q C R C R Master Y C R Slave Q 37

38 Master Slave SR (3) S R C Q Q Q 0 Q 0 Store Reset Set Disallowed X X 0 Q 0 Q 0 Store 38

39 2 προβλήματα 1. Η αλλαγή στις εξόδους του FF έχει καθυστέρηση κατά ½ περίοδο του ρολογιού το κύκλωμα γίνεται πιο αργό. 2. S και / ή R μπορούν να αλλάξουν πολλαπλές φορές όταν C = 1. Q = 1, S = και R = 0 Master latch = 1( set ) Slave = 1( set ),όταν C = 0 Q = 1, S = και R = Master latch = 1( set ) και μετά = 0 ( reset ) Slave = 0 ( reset ), όταν C = 0 Γνωστό ως = «Ι s catching» 39

40 Λύση: πυροδότηση ακμής Ένα ακμοπυροτούμενο FF, αγνοεί τις αλλαγές κατά τη διάρκεια ενός παλμού. Πυροδοτείται μόνο όταν υπάρχει μετάβαση της τιμής του ρολογιού ( clock transition. / ). Υλοποίηση ακμοπυροτούμενων FF: Άμεσα, σε επίπεδο ηλεκτρονικού κυκλώματος. Με master-slave D-FF. 40

41 Ακμοπυροδοτούμενα FF Συνδέουμε ένα D-latch με πυροδότηση-επιπέδου ( master ) με ένα SR-latch με πυροδότηση-επιπέδου ( slave ) και συμπληρωματικά ρολόγια. D D S Q C C C H Q 41

42 D flip-flop αφέντη-σκλάβου Η έξοδος του flip-flop μπορεί να αλλάξει μόνο κατά τη μετάβαση του ρολογιού από το 1 στο 0, δηλαδή μόνο κατά την αρνητική ακμή του ρολογιού. Αν τοποθετηθεί ένας επιπλέον αντιστροφέας τότε αλλάζει η έξοδος κατά την θετική ακμή του ρολογιού. 42

43 Ακμοπυρόδοτο D flip-flop Αν CLK = 0 τότε τα S, R διατηρούνται στο 1. Αν D = 0, CLK = 1 τότε Q = 0. Ακόμη και αν αλλάξει D τότε το R παραμένει στο 0. 43

44 Σχηματικό σύμβολο για το ακμοδοπυροδότητο flip-flop To τριγωνικό σύμβολο μπροστά από το C υποδηλώνει μια δυναμική είσοδο, δηλαδή ότι αντιδρά σε μια ακμή του ρολογιού. Positive-edge: Θετική ακμή Negative-edge: Αρνητική ακμή 44

45 Άλλα flip-flop Το πιο οικονομικό και αποτελεσματικό flipflop είναι το D, επειδή απαιτεί το μικρότερο αριθμό πυλών. Άλλοι τύποι μπορούν να κατασκευαστούν με το D f-f και εξωτερικής λογικής. Οι πιο γνωστοί τύποι είναι τα JK και τα T. 45

46 JK flip-flop (1) 3 Λειτουργίες εκτελούνται σε ένα flip-flop. Να το θέσουμε στο 1. Να το επαναφέρουμε στο 0. Να συμπληρώσουμε την έξοδο. Το JK εκτελεί και τις 3 λειτουργίες. 46

47 JK flip-flop (2) Η είσοδος J φέρνει το flip-flop σε κατάσταση θέσης. Η είσοδος Κ φέρνει το flip-flop σε κατάσταση επαναφοράς. Ταυτόχρονα J = K = 1 τότε η έξοδος συμπληρώνεται. (α) Διάγραμμα κυκλώματος (β) Γραφικό σύμβολο 47

48 JK flip-flop (3) 48

49 Flip-flop τύπου T Αν Τ = 0 δεν υπάρχει αλλαγή. Αν Τ = 1 η έξοδος συμπληρώνεται. 49

50 Καθιερωμένα γραφικά σύμβολα (1) Master-Slave Flip Flops Πυροδότηση Επιπέδου ( leveltriggering ). 50

51 Καθιερωμένα γραφικά σύμβολα (2) Ακμοπυροδοτούμενα ( Edge-triggered ) Flip Flops. 51

52 Χαρακτηριστικός Πινακας Καθορίζει τις λογικές ιδιότητες / χαρακτηριστικά ενός flip / flop ( όπως ένας πίνακας αληθείας για μια λογική πύλη ). Q( t ) - παρούσα κατάσταση στο χρόνο t. Q( t + 1 ) - επόμενη κατάσταση στο χρόνο t

53 Χαρακτηριστικός Πινακας JK J K Q(t+1) Λειτουργία 0 0 Q(t) Καμία αλλαγή/hold Reset Set 1 1 Q(t) Συμπλήρωμα 53

54 Χαρακτηριστικός Πινακας SR S R Q( t + 1 ) Λειτουργία 0 0 Q( t ) Καμία αλλαγή/hold Reset Set 1 1? Ακαθόριστο/Άκυ ρο 54

55 Χαρακτηριστικός Πινακας D Χαρακτηριστικη Εξίσωση: Q( t + 1 ) = D( t ) Εκφράζει την τιμή των εξόδων στο χρόνο t + 1 σε σχέση με την τιμή των εισόδων στο χρόνο t, για ένα flip-flop. D Q( t + 1 ) Λειτουργία 0 0 Reset 1 1 Set 55

56 Χαρακτηριστικός Πινακας T Χαρακτηριστική Εξίσωση: Q( t + 1 ) = T Q( t ) + TQ( t ). T Flip-Flop( από JK Flip-Flop με J = K = T ). T Q( t + 1 ) Λετουργία 0 Q( t ) Καμία Αλλαγή/Hold 1 Q( t ) Συμπλήρωμα 56

57 Ασύγχρονο Set/Reset Πολλές φορές είναι επιθυμητό να μπορούμε να θέσουμε την τιμή ενός FF ( set ή reset ) ανεξάρτητα με το ρολόι. ασύγχρονο set / reset Παράδειγμα: Στο ξεκίνημα ( power-up ) χρησιμοποιούμε ασύγχρονο set / reset έτσι ώστε να ξεκινούμε από μια γνωστή κατάσταση ( known state ). Ασύγχρονο set == άμεσο set == Preset Ασύγχρονο reset == άμεσο reset == Clear 57

58 D ff με ασύγχρονη επαναφορά R C D Q Q 0 X X (α) Διάγραμμα κυκλώματος (β) Πίνακας συνάρτησης (γ) Γραφικό σύμβολο 58

59 Παράμετροι χρονισμού για FF (1) t s setup time : Απαραίτητος χρόνος όπου οι είσοδοι του FF πρέπει να παραμείνουν σε σταθερές τιμές, πριν την πυροδότηση, για να παρατηρηθεί αλλαγή στην έξοδο. Master-slave: ίσο με το πλάτος του παλμού πυροδότησης. Edge-triggered: ίσο με ένα διάστημα, πολύ μικρό από αυτό του πλάτους του παλμού πυροδότησης. t h hold time: απαραίτητος χρόνος όπου οι είσοδοι του FF πρέπει να κρατήσουν τις τιμές τους, μετά την πυροδότηση. Συχνά μπορεί να αγνοηθεί ( κοντά στο 0 ). t px propagation delay: καθυστέρηση μετάδοσης, δηλ. χρόνος από την πυροδότηση μέχρι την σταθεροποίηση της νέας τιμής στην έξοδο. Μετριέται από την ακμή που πυροδοτεί την αλλαγή στην έξοδο μέχρι την εμφάνιση της αλλαγής στην έξοδο. Απαραίτητα t px > t h 59

60 Παράμετροι χρονισμού για FF (2) Pulse-triggered (positive pulse) C S/R t wh t wh,min t wl t wl,min t S t h t p min t p max Q Edge-triggered (negative edge) C D Q t wh t wh,min t wl t wl,min t s t h t p min tp max t S setup time ( χρόνος εγκατάστασης ) t h hold time ( χρόνος αναμονής ) t w clock pulse width ( πλάτος παλμού ρολογιού ) t px propagation delay ( καθυστέρηση διάδοσης) t PHL High to low ( χρόνος από το υψηλό στο χαμηλό ) t PLH Low to high ( χρόνος από το χαμηλό στο υψηλό ) t PHL max ( t PHL, t PLH ) ( μέγιστο ανάμεσα του t PHL και του t PLH ) 60

61 Ανάλυση ακολουθιακών κυκλωμάτων Ανάλυση: Ο καθορισμός μιας κατάλληλης περιγραφής, η οποία επιδεικνύει τη χρονική ακολουθία εισόδων, εξόδων και καταστάσεων ( states ). Λογικό Διάγραμμα: Λογικές πύλες, flip-flops και κατάλληλες διασυνδέσεις. Το λογικό διάγραμμα μπορεί να καθοριστεί από ένα από τα ακόλουθα: Εξισώσεις ( FF-Εισόδων, Εξόδων ). Πίνακα Καταστάσεων ( State Table ή Transition Table ). Διάγραμμα καταστάσεων ( State Diagram ή Transition Diagram ή Finite State Machine FSM ). 61

62 Εξισώσεις εισόδων FF (1) Αλγεβρικές αναπαραστάσεις που χρησιμοποιούνται για την περιγραφή της λογικής που οδηγεί τις εισόδους των FFs. Υπονοούν τον τύπο των FFs που θα χρησιμοποιηθούν και καθορίζουν πλήρως την συνδυαστική λογική που οδηγεί τις εισόδους των FFs. 62

63 Εξισώσεις εισόδων FF (2) Θεωρήστε: J A = XB + Y C και Κ Α = YB + C. Τα J,K υπονοούν τον τύπο του FF ( σε αυτή την περίπτωση είναι JK-FF ). O δείκτης ( Α ) ορίζει την έξοδο του FF. Παρατηρήστε ότι ο τύπος πυροδότησης δεν καθορίζεται από τις εξισώσεις εισόδων FF. Αυτός είτε δίνεται ή καθορίζεται από τον αναλυτή. Γι αυτό το παράδειγμα, θεωρούμε ότι η πυροδότηση γίνεται στη θετική ακμή. 63

64 Υλοποίηση Λογικού διαγράμματος J A = XB + Y C K A = YB + C 64

65 Πλήρως καθορισμένα λογικά διαγράμματα Μπορούν οι εξισώσεις εισόδων FF να καθορίσουν πλήρως το λογικό διάγραμμα ενός ακολουθιακού κυκλώματος; Χρειαζόμαστε και τις εξισώσεις για τις εξόδους του κυκλώματος. 65

66 Πίνακας Καταστάσεων Απαριθμεί τις σχέσεις μεταξύ εισόδων, εξόδων και καταστάσεων ( states = τιμές στα FF ) ενός ακολουθιακού κυκλώματος. Αποτελείται από 4 μέρη: Παρούσα κατάσταση: τις τιμές των FFs για κάθε επιτρεπτή κατάσταση, σε χρόνο t. Είσοδοι: οι επιτρεπτοί συνδυασμοί εισόδων. Επόμενη Κατάσταση: τις τιμές των FFs για κάθε επιτρεπτή κατάσταση σε χρόνο t + 1, βάσει των τιμών στις εισόδους και της παρούσας κατάστασης. Έξοδοι: οι τιμές των εξόδων σε σχέση με την παρούσα κατάσταση και πιθανόν, τις τιμές των εισόδων. Δεδομένου ενός κυκλώματος με n εισόδους και m flip-flops, ο αντίστοιχος πίνακας καταστάσεων αποτελείται από 2 n + m γραμμές. 66

67 Πίνακας Καταστάσεων για JK FF Διαδικασία σε 2 φάσεις: 1. Καθορισμός δυαδικών τιμών για κάθε είσοδο FF βάση των εξισώσεων εισόδων FF, σε σχέση με την παρούσα κατάσταση και τις μεταβλητές εισόδου. 2. Χρήση αντίστοιχων χαρακτηριστικών πινάκων FF για καθορισμό της επόμενης κατάστασης. 67

68 Παράδειγμα (1) J A = B, K A = BX J Β = Χ, K B = AX + A X χρειαζόμαστε 2 JK-FFs: 68

69 Παράδειγμα (2) J K Q( t + 1 ) 0 0 Q( t ) Q( t ) 69

70 Παράδειγμα (3) Παρούσα Κατάστασ η Παρούσα Κατάστασ η Είσοδο ς Επόμενη Κατάστασ η Επόμενη Κατάσταση Είσοδος FF Είσοδος FF Είσοδος FF Είσοδος FF A(t) B(t) X A(t+1) B(t+1) J A K A J B K B

71 Παράδειγμα (4) Παρούσα Κατάσταση Παρούσα Κατάσταση Είσοδος Επόμενη Κατάσταση Επόμενη Κατάσταση Είσοδοι FF Είσοδοι FF Είσοδοι FF Είσοδοι FF A(t) B(t) X A(t+1) B(t+1) J A K A J B K B

72 Μηχανές Mealy & Moore Μοντέλο Mealy: Έξοδοι ΚΑΙ επόμενη κατάσταση εξαρτούνται άμεσα από τις τιμές των εισόδων ΚΑΙ της παρούσας κατάστασης. Μοντέλο Moore: ΜΟΝΟ η επόμενη κατάσταση εξαρτάται άμεσα από τις τιμές των εισόδων ΚΑΙ της παρούσας κατάστασης. Οι τιμές στις εξόδους εξαρτούνται μόνο από την παρούσα κατάσταση. (δεν εξαρτούνται άμεσα από τις τιμές των εισόδων). 72

73 FSM Σε οποιαδήποτε κατηγορία ανήκουν τα ακολουθιακά κυκλώματα αναφέρονται ως 'Μηχανές Πεπερασμένων Καταστάσεων' ( finite State Machines FSM ). 73

74 Ένα ακολουθιακό κύκλωμα 74

75 Μηχανή Mealy 75

76 Μηχανή Moore 76

77 Παράδειγμα μηχανής Moore Βρείτε το λογικό διάγραμμα και τον πίνακα κταστάσεων για: D A = A X X X Y Z = A 77

78 Παράδειγμα μηχανής Moore (1) Παρούσα Κατάσταση Είσοδος Είσοδος Επόμενη Κατάσταση A( t ) X Y A( t + 1 ) Z Έξοδος 78

79 Παράδειγμα μηχανής Moore (2) Παρούσα Κατάσταση Επόμενη Κατάσταση Επόμενη Κατάσταση Επόμενη Κατάσταση Επόμενη Κατάσταση Έξοδος XY = 00 XY = 01 XY = 10 XY = 11 A( t ) A( t + 1 ) A( t + 1 ) A( t + 1 ) A( t + 1 ) Z

80 Παράδειγμα μηχανής Moore (4) 80

81 Διαγράμματα καταστάσεων Γραφική αναπαράσταση του πίνακα καταστάσεων. Ένας κόμβος με σήμανση S αντιστοιχεί σε κάθε πιθανή κατάσταση ( state ) s. Μια ακμή με σήμανση X δηλώνει την μετάβαση μεταξύ δύο καταστάσεων ( state transition ), όταν μια τιμή X εφαρμόζεται στις εισόδους. Δηλ. Αν παρούσα κατάσταση = S1 και input = X, τότε η επόμενη κατάσταση = S2. Το διάγραμμα διαφέρει, αναλόγως του τύπου του κυκλώματος ( Mealy ή Moore ). S1 X S2 81

82 Παράδειγμα Mealy (1) Πιθανές Καταστάσεις = { 00, 01, 10, 11 } = { s0, s1, s2, s3 } 4 κόμβοι στο διάγραμμα καταστάσεων. Παρούσα Κατάσταση Παρούσα Κατάσταση Είσοδος Επόμενη Κατάσταση Επόμενη Κατάσταση Έξοδος A( t ) B( t ) X A( t + 1 ) B( t + 1 ) Y

83 Παράδειγμα Mealy (2) Διαβάζεται ως ακολούθως: Όταν η παρούσα κατάσταση είναι S i και η είσοδος I εφαρμοστεί, έχουμε έξοδο O και η επόμενη κατάσταση είναι η S j. Τιμές εισόδων/εξόδων πάνω στην κάθε ακμή. I / O Si Sj 83

84 Παράδειγμα Mealy (3) 0 / 0 1 / 0 s0 s1 0 / 1 0 / 1 0 / 1 1 / 0 s2 1 / 0 s3 1 / 0 84

85 Παράδειγμα Moore (1) Πιθανές Καταστάσεις = { 0, 1 } = { S0, S1 } 2 κόμβοι στο διάγραμμα καταστάσεων. Παρουσα Κατάσταση Είσοδοι Είσοδοι Επόμενη Κατάσταση A( t ) Χ Υ A( t + 1 ) Ζ Έξοδος 85

86 Παράδειγμα Moore (2) Πιθανές Καταστάσεις = { 0, 1 } = { S0, S1 } 2 κόμβοι στο διάγραμμα καταστάσεων. Παρουσα Κατάσταση Είσοδοι Είσοδοι Επόμενη Κατάσταση A( t ) Χ Υ A( t + 1 ) Ζ S0 0 0 S0 0 S0 0 1 S1 0 S0 1 0 S1 0 S0 1 1 S0 0 S1 0 0 S1 1 S1 0 1 S0 1 S1 1 0 S0 1 S1 1 1 S1 1 Έξοδος 86

87 Παράδειγμα Moore (3) Διαβάζεται ως ακολούθως: Όταν η παρούσα κατάσταση είναι S i με έξοδο Ο1 και η είσοδος I εφαρμοστεί, έχουμε έξοδο O2 και η επόμενη κατάσταση είναι η S j. Τιμές εισόδων πάνω στην κάθε ακμή. Τιμές εξόδων στον κάθε κόμβο. I si/01 sj/02 87

88 Παράδειγμα Moore (4) 00,11 s0/0 s1/1 00,10 01,10 00,11 88

89 Άλλα παραδείγματα Μοντέλο Mealy: Αντιστοιχεί τιμές εισόδων και καταστάσεων σε εξόδους. Μοντέλο Moore: Αντιστοιχεί καταστάσεις σε εξόδους. Συμβαίνει το ίδιο με τα διαγράμματα. x=0/y=0 x=0 x=1 1/0 0 x=1/y=0 x=0/y=0 0/0 x=0 x=1 1 x=0 2/1 x=1/y=1 x=1 89

90 Παράδειγμα ανάλυσης (1) Λογικό Διάγραμμα 90

91 Παράδειγμα ανάλυσης (2) Μεταβλητές: Είσοδοι: Καμία Έξοδοι: Z Μεταβλητές καταστάσεων: A, B, C Αρχικοποίηση : Reset = 1 ( A, B, C ) = ( 0, 0, 0 ) Εξισώσεις: Α( t + 1 ) = B( t + 1 ) = C( t + 1 ) = Z = 91

92 Παράδειγμα ανάλυσης (3) Παρούσα Κατάσταση A(t) B(t) C(t) Επόμενη Κατάσταση A(t+1) B(t+1) C(t+1) Έξοδος Z 92

93 Παράδειγμα ανάλυσης (4) Ποιές καταστάσεις χρησιμοποιούνται; Ποιά η κύρια λειτουργία του κυκλώματος; 93

94 Ανάλυση Χρονισμού (1) Θεωρείστε ένα ακολουθιακό κύκλωμα το οποίο αποτελείται από ομάδες FFs, συνδεδεμένες μέσω συνδυαστικής λογικής. Αν η περίοδος του ρολογιού είναι πολύ μικρή, πιθανόν κάποιες αλλαγές στις τιμές των δεδομένων να ΜΗΝ προλάβουν να διαδοθούν μέσω της λογικής στις εισόδους των FFs ΠΡΙΝ ξεκινίσει το setup των FFs. 94 D C Q Q D C Q Q D C Q Q D C Q Q D C Q Q D C Q Q D C Q Q D C Q Q D C Q Q D C Q Q Clock Clock

95 Ανάλυση Χρονισμού (2) Πρέπει να καθοριστεί η μέγιστη καθυστέρηση max pd έτσ ώστεη περίοδος του ρολογιού να οριστεί ως t p >= max pd Για την μέγιστη καθυτέρηση, πρέπει να εξετάσουμε τα διάφορα μονοπάτια του κυκλώματος. Υπάρχουν 4 ων ειδών μονοπάτια I/O είσοδο σε έξοδο I/FF είσοδο σε FF FF/O FF σε έξοδο FF/FF Ff σε FF Ι Συνδυαστικό Κύκλωμα S(t+1) Καταχωρητής κατάστασης (State register FFs) S(t) O Ρολόι 95

96 Ανάλυση Χρονισμού (3) Καθυστερήσεις: t pd,ff = καθυστέρηση μετάδοσης FF t pd,comp = καθυστέρηση μετάδοσης συνδυαστικού μέρους t s = FF setup time t slack = πιθανόν επιπρόσθετος χρόνος που παρέχεται πέραν της καθυστέρησης ενός μονοπατιού I/O = t pd,comp I/FF = t pd,comp + t s FF/O = t pd,ff + t pd,comp FF/FF = t pd,ff + t pd,comp + t s Ι Συνδυαστικό Κύκλωμα S(t+1) Καταχωρητής κατάστασης (State register FFs) O Ρολόι S(t) 96

97 Ανάλυση Χρονισμού (4) Σκοπός μας είναι να ελαχιστοποιήσουμε την περίοδο του ρολογιού t p ( για να μεγιστοποιήσουμε την ταχύτητα ). T p >= max pd Max pd = max { t pd,ff + t pd,comb + t s } = t pmin ( για όλα τα μονοπάτια FF / FF ) tp C tpd,ff tpd,comb t slack ts (α) Positive Edge triggered ( Θετικές πυροδοτούμενες ακμές ) tp C tpd,ff tpd,comb p t slack ts (β) Negative Pulse/Level triggered ( Αρνητικός παλμός/πυροδοτούμενο επίπεδο ) 97

98 Τέλος Ενότητας 98

Σχεδιασμός Ψηφιακών Συστημάτων

Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ 2: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 27 Νοε-7 ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 27 Ακολουθιακά Κυκλώματα: Μανδαλωτές (Latches) και Flip-Flops Flops Διδάσκουσα:

Διαβάστε περισσότερα

Σχεδιασμός Ψηφιακών Συστημάτων

Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ 2: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 28 ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 28 Ακολουθιακά Κυκλώματα: Μανδαλωτές (Latches) και Flip-Flops Flops Διδάσκουσα: Μαρία

Διαβάστε περισσότερα

Αυγ-13 Ακολουθιακά Κυκλώματα: Μανδαλωτές και Flip-Flops. ΗΜΥ 210: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 2009.

Αυγ-13 Ακολουθιακά Κυκλώματα: Μανδαλωτές και Flip-Flops. ΗΜΥ 210: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 2009. ΗΜΥ-20: Σχεδιασμός Ψηφιακών Συστημάτων Ακολουθιακά Κυκλώματα: Μανδαλωτές (Latches) και Flip-Flops Flops Διδάσκουσα: Μαρία Κ. Μιχαήλ Ακολουθιακά Κυκλώματα Συνδυαστική Λογική: Η τιμή σε μία έξοδο εξαρτάται

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 12: Ανάλυση Ακολουθιακών Κυκλωµάτων (Κεφάλαιο 6.2) Μηχανές Καταστάσεων ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy)

Διαβάστε περισσότερα

Σχεδιασμός Ψηφιακών Συστημάτων

Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ 2: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 28 Νοε-8 ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 28 Ανάλυση Ακολουθιακών Κυκλωμάτων Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 2008

ΗΜΥ 210: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 2008 ΗΜΥ-211: Εργαστήριο Σχεδιασμού Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2009 Ακολουθιακά Κυκλώματα: Μανδαλωτές (Latches), Flip-FlopsFlops και Μετρητές Ριπής Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Ανάλυση Ακολουθιακών Κυκλωμάτων 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Ανάλυση Ακολουθιακών Κυκλωμάτων 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Ανάλυση Ακολουθιακών Κυκλωμάτων Διδάσκουσα: Μαρία Κ. Μιχαήλ Ανάλυση Ακολουθιακών Κυκλωμάτων Ανάλυση: Ο καθορισμός μιας κατάλληλης περιγραφής η οποία επιδεικνύει

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Ακολουθιακά Κυκλώματα: Μανδαλωτές και Flip-Flops 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Ακολουθιακά Κυκλώματα: Μανδαλωτές και Flip-Flops 1 ΗΜΥ-211: Εργαστήριο Σχεδιασμού Ψηφιακών Συστημάτων Ακολουθιακά Κυκλώματα (συν.) Κυκλώματα που Κυκλώματα που αποθηκεύουν εξετάσαμε μέχρι τώρα πληροφορίες Ακολουθιακά Κυκλώματα: Μανδαλωτές (Latches), Flip-FlopsFlops

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 11: Ακολουθιακά Κυκλώµατα (Κεφάλαιο 5, 6.1, 6.3, 6.4) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Ακολουθιακά

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Καθιερωµένα Γραφικά Σύµβολα. ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 2005

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Καθιερωµένα Γραφικά Σύµβολα. ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 2005 ΗΜΥ 2: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 25 Απρ-5 ΗΜΥ-2: Λογικός Σχεδιασµός Εαρινό Εξάµηνο 25 Κεφάλαιο 6 ii: Ανάλυση Ακολουθιακών Κυκλωµάτων Περίληψη Καθιερωµένα Γραφικά Σύµβολα Χαρακτηριστικοί Πίνακες

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Ακολουθιακή Λογική. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Ακολουθιακή Λογική. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Ακολουθιακή Λογική Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Εισαγωγή Είσοδοι Συνδυαστικό Κύκλωμα Έξοδοι Στοιχεία Μνήμης Κατάσταση

Διαβάστε περισσότερα

Ακολουθιακά Κυκλώµατα. ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ακολουθιακά Κυκλώµατα (συν.) Ακολουθιακή Λογική: Έννοια

Ακολουθιακά Κυκλώµατα. ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ακολουθιακά Κυκλώµατα (συν.) Ακολουθιακή Λογική: Έννοια ΗΜΥ 2: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 25 ΗΜΥ-2: Λογικός Σχεδιασµός Εαρινό Εξάµηνο 25 Κεφάλαιο 6-i: Ακολουθιακά Κυκλώµατα Μανδαλωτές (Latches) και Flip-Flops Ακολουθιακά Κυκλώµατα Συνδυαστική Λογική:

Διαβάστε περισσότερα

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops K24 Ψηφιακά Ηλεκτρονικά 9: TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ ΤΕΧΝΟΛΟΓΙΚΟ Περιεχόμενα 1 2 3 Γενικά Ύστερα από τη μελέτη συνδυαστικών ψηφιακών κυκλωμάτων, θα μελετήσουμε

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9. Tα Flip-Flop

ΑΣΚΗΣΗ 9. Tα Flip-Flop ΑΣΚΗΣΗ 9 Tα Flip-Flop 9.1. ΣΚΟΠΟΣ Η κατανόηση της λειτουργίας των στοιχείων μνήμης των ψηφιακών κυκλωμάτων. Τα δομικά στοιχεία μνήμης είναι οι μανδαλωτές (latches) και τα Flip-Flop. 9.2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ

Διαβάστε περισσότερα

Ενότητα ΑΡΧΕΣ ΑΚΟΛΟΥΘΙΑΚΗΣ ΛΟΓΙΚΗΣ LATCHES & FLIP-FLOPS

Ενότητα ΑΡΧΕΣ ΑΚΟΛΟΥΘΙΑΚΗΣ ΛΟΓΙΚΗΣ LATCHES & FLIP-FLOPS Ενότητα ΑΡΧΕΣ ΑΚΟΛΟΥΘΙΑΚΗΣ ΛΟΓΙΚΗΣ LATCHES & FLIP-FLOPS Γενικές Γραμμές Ακολουθιακή Λογική Μεταστάθεια S-R RLatch h( (active high h&l low) S-R Latch with Enable Latch Flip-Flop Ασύγχρονοι είσοδοι PRESET

Διαβάστε περισσότερα

7.1 Θεωρητική εισαγωγή

7.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 7 ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΜΑΝ ΑΛΩΤΕΣ FLIP FLOP Σκοπός: Η κατανόηση της λειτουργίας των βασικών ακολουθιακών κυκλωµάτων. Θα µελετηθούν συγκεκριµένα: ο µανδαλωτής (latch)

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

5. Σύγχρονα Ακολουθιακά Κυκλώματα

5. Σύγχρονα Ακολουθιακά Κυκλώματα 5. Σύγχρονα Ακολουθιακά Κυκλώματα Ακολουθιακό (sequential) λέμε το σύστημα που περιέχει στοιχεία μνήμης, δηλ. κυκλώματα αποθήκευσης δυαδικής πληροφορίας Γενικό διάγραμμα ακολουθιακού κυκλώματος - Αποτελείται

Διαβάστε περισσότερα

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων Αγγελική Αραπογιάννη Σχολή Θετικών Επιστημών Τμήμα Πληροφορικής και Τηλεπικοινωνιών Η λειτουργία RESET R IN OUT Εάν το σήμα R είναι λογικό «1» στην έξοδο

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 9: Ελαχιστοποίηση και Κωδικοποίηση Καταστάσεων, Σχεδίαση με D flip-flop, Σχεδίαση με JK flip-flop, Σχεδίαση με T flip-flop Δρ. Μηνάς

Διαβάστε περισσότερα

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα Κεφάλαιο 6 Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα 6.1 Εισαγωγή Η εκτέλεση διαδοχικών λειτουργιών απαιτεί τη δημιουργία κυκλωμάτων που μπορούν να αποθηκεύουν πληροφορίες, στα ενδιάμεσα στάδια των

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τµήµα Εφαρµοσµένης Πληροφορικής & Πολυµέσων. Ψηφιακή Σχεδίαση. Κεφάλαιο 5: Σύγχρονη Ακολουθιακή

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τµήµα Εφαρµοσµένης Πληροφορικής & Πολυµέσων. Ψηφιακή Σχεδίαση. Κεφάλαιο 5: Σύγχρονη Ακολουθιακή ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τµήµα Εφαρµοσµένης Πληροφορικής & Πολυµέσων Ψηφιακή Σχεδίαση Κεφάλαιο 5: Σύγχρονη Ακολουθιακή Λογική Σύγχρονα Ακολουθιακά Κυκλώµατα Είσοδοι Συνδυαστικό κύκλωµα

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο Διάλεξη 8 η : Μηχανές Πεπερασμένων Κaταστάσεων σε FPGAs

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο Διάλεξη 8 η : Μηχανές Πεπερασμένων Κaταστάσεων σε FPGAs ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 8 η :

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 6 ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ. 6.1 Εισαγωγή

ΚΕΦΑΛΑΙΟ 6 ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ. 6.1 Εισαγωγή ΚΕΦΑΛΑΙΟ 6 ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 6. Εισαγωγή Τα ψηφιακά κυκλώματα διακρίνονται σε συνδυαστικά και ακολουθιακά. Τα κυκλώματα που εξετάσαμε στα προηγούμενα κεφάλαια ήταν συνδυαστικά. Οι τιμές των

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 7. Κυκλώματα Μνήμης

Ψηφιακά Συστήματα. 7. Κυκλώματα Μνήμης Ψηφιακά Συστήματα 7. Κυκλώματα Μνήμης Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd Thomas L., Ψηφιακά

Διαβάστε περισσότερα

Κυκλώµατα. Εισαγωγή. Συνδυαστικό Κύκλωµα

Κυκλώµατα. Εισαγωγή. Συνδυαστικό Κύκλωµα 6 η Θεµατική Ενότητα : Σύγχρονα Ακολουθιακά Κυκλώµατα Εισαγωγή Είσοδοι Συνδυαστικό Κύκλωµα Έξοδοι Στοιχεία Μνήµης Κατάσταση Ακολουθιακού Κυκλώµατος : περιεχόµενα στοιχείων µνήµης Η έξοδος εξαρτάται από

Διαβάστε περισσότερα

Ψηφιακή Λογική Σχεδίαση

Ψηφιακή Λογική Σχεδίαση Ψηφιακή Λογική Σχεδίαση Επιμέλεια: Νίκος Φακωτάκης, Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σημείωμα Αδειοδότησης Το παρόν υλικό διατίθεται

Διαβάστε περισσότερα

Εισαγωγή στην πληροφορική

Εισαγωγή στην πληροφορική Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Εισαγωγή στην πληροφορική Ενότητα 4: Ψηφιακή Λογική, Άλγεβρα Boole, Πίνακες Αλήθειας (Μέρος B) Αγγελίδης Παντελής Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών

Διαβάστε περισσότερα

Κυκλώµατα. Εισαγωγή. Συνδυαστικό Κύκλωµα

Κυκλώµατα. Εισαγωγή. Συνδυαστικό Κύκλωµα 6 η Θεµατική Ενότητα : Σύγχρονα Ακολουθιακά Κυκλώµατα Εισαγωγή Είσοδοι Συνδυαστικό Κύκλωµα Έξοδοι Στοιχεία Μνήµης Κατάσταση Ακολουθιακού Κυκλώµατος : περιεχόµενα στοιχείων µνήµης Η έξοδος εξαρτάται από

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 7 FLIP - FLOP

ΑΣΚΗΣΗ 7 FLIP - FLOP ΑΣΚΗΣΗ 7 FLIP - FLOP Αντικείμενο της άσκησης: Η κατανόηση της δομής και λειτουργίας των Flip Flop. Flip - Flop Τα Flip Flop είναι δισταθή λογικά κυκλώματα με χαρακτηριστικά μνήμης και είναι τα πλέον βασικά

Διαβάστε περισσότερα

Πρότυπα Συµβόλων για τις Μονάδες Μνήµης. Άµεση Είσοδοι (Direct Inputs) Χρονικοί Παράµετροι (Flip-Flop Timing Parameters)

Πρότυπα Συµβόλων για τις Μονάδες Μνήµης. Άµεση Είσοδοι (Direct Inputs) Χρονικοί Παράµετροι (Flip-Flop Timing Parameters) Πρότυπα Συµβόλων για τις Μονάδες Μνήµης Άµεση Είσοδοι (irect Inputs) Master-lave: Postponed output indicators Edge-Triggered: namic indicator with ontrol with ontrol (a) Latches Triggered Triggered Triggered

Διαβάστε περισσότερα

Κεφάλαιο 7 ο. Γ. Τσιατούχας. VLSI Technology and Computer Architecture Lab. Ακολουθιακή Λογική 2

Κεφάλαιο 7 ο. Γ. Τσιατούχας. VLSI Technology and Computer Architecture Lab. Ακολουθιακή Λογική 2 ΚΥΚΛΩΜΑΤΑ VLSI Ακολουθιακή Λογική Κεφάλαιο 7 ο Γ. Τσιατούχας ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. Δισταθή κυκλώματα Μεταστάθεια 2. Μανδαλωτές 3. Flip Flops Flops 4. Δομές διοχέτευσης 5. Διανομή ρολογιού 6. Συγχρονισμός

Διαβάστε περισσότερα

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI. 1 ΗΥ330 - Διάλεξη 7η - Ακολουθιακά Κυκλώματα

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI.  1 ΗΥ330 - Διάλεξη 7η - Ακολουθιακά Κυκλώματα HY330 Ψηφιακά - Εισαγωγή στα Συστήματα VLSI Διδάσκων: Χ. Σωτηρίου, Βοηθοί: θα ανακοινωθούν http://inf-server.inf.uth.gr/courses/ce330 1 Μανταλωτές θετικής, αρνητικής πολικότητας Σχεδίαση με Μανταλωτές

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 12: Σύνοψη Θεμάτων Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http://arch.icte.uowm.gr/mdasyg

Διαβάστε περισσότερα

Μικροηλεκτρονική - VLSI

Μικροηλεκτρονική - VLSI ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Μικροηλεκτρονική - VLSI Ενότητα 7: Ακολουθιακή Λογική Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες

Διαβάστε περισσότερα

Ακολουθιακά Κυκλώματα Flip-Flops

Ακολουθιακά Κυκλώματα Flip-Flops Ακολουθιακά Κυκλώματα Flip-Flops . Συνδυαστικα κυκλωματα Ακολουθιακα κυκλωματα x x 2 x n Συνδυαστικο κυκλωμα z z 2 z m z i =f i (x,x 2,,x n ) i =,2,,m 2. Ακολουθιακα κυκλωματα: x n Συνδυαστικο m z y κυκλωμα

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 6: Σύγχρονα Ακολουθιακά Κυκλώματα Κυριάκης Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2009 Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

3 η Θεµατική Ενότητα : Σύγχρονα Ακολουθιακά Κυκλώµατα. Επιµέλεια διαφανειών: Χρ. Καβουσιανός

3 η Θεµατική Ενότητα : Σύγχρονα Ακολουθιακά Κυκλώµατα. Επιµέλεια διαφανειών: Χρ. Καβουσιανός 3 η Θεµατική Ενότητα : Σύγχρονα Ακολουθιακά Κυκλώµατα Επιµέλεια διαφανειών: Χρ. Καβουσιανός Εισαγωγή Είσοδοι Συνδυαστικό Κύκλωµα Έξοδοι Στοιχεία Μνήµης Κατάσταση Ακολουθιακού Κυκλώµατος : περιεχόµενα στοιχείων

Διαβάστε περισσότερα

Ακολουθιακά κυκλώματα: Μανδαλωτές και Flip-Flop. Διάλεξη 6

Ακολουθιακά κυκλώματα: Μανδαλωτές και Flip-Flop. Διάλεξη 6 Ακολουθιακά κυκλώματα: Μανδαλωτές και Flip-Flop Διάλεξη 6 Δομή της διάλεξης Εισαγωγή στην ακολουθιακή λογική Ομανδαλωτής SR Latch JK Flip-Flop D Flip-Flop Timing Definitions Latch vs Flip-Flop Ασκήσεις

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 7: κωδικοποιητές, κωδικοποιητές προτεραιότητας, πολυπλέκτες, υλοποίηση συνάρτησης με πολυπλέκτη, αποπλέκτες, πύλη 3ιών καταστάσεων,

Διαβάστε περισσότερα

Κεφάλαιο 10 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Ακολουθιακή Λογική 2

Κεφάλαιο 10 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Ακολουθιακή Λογική 2 ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων Ακολουθιακή Λογική Κεφάλαιο 10 ο Τμήμα Μηχανικών Η/Υ και Πληροφορικής Γ. Τσιατούχας ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. Δισταθή κυκλώματα Μεταευστάθεια 2. Μανδαλωτές 3. Flip

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη q Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL

Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL 3.1 Εισαγωγή στα FLIP FLOP 3.1.1 Θεωρητικό Υπόβαθρο Τα σύγχρονα ακολουθιακά κυκλώματα με τα οποία θα ασχοληθούμε στο εργαστήριο των Ψηφιακών συστημάτων

Διαβάστε περισσότερα

Πανεπιστήµιο Κύπρου DEPARTMENT OF COMPUTER SCIENCE

Πανεπιστήµιο Κύπρου DEPARTMENT OF COMPUTER SCIENCE Πανεπιστήµιο Κύπρου DEPARTMENT OF OMPUTER SIENE S 121 Ψηφιακά Εργαστήρια LAB EXERISE 4 Sequential Logic Χρίστος ιονυσίου Σωτήρης ηµητριάδης Άνοιξη 2002 Εργαστήριο 4 Sequential ircuits A. Στόχοι Ο σκοπός

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 2: Βασικές Μονάδες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Κεφάλαιο 7 i: Καταχωρητές Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές Ολίσθησης Σειριακή Φόρτωση Σειριακή Ολίσθηση Καταχωρητές Ολίσθησης Παράλληλης Φόρτωσης

Διαβάστε περισσότερα

Στοιχεία Μνήμης, JKκαιD (Flip-Flops) Μετρητής Ριπής (Ripple Counter)

Στοιχεία Μνήμης, JKκαιD (Flip-Flops) Μετρητής Ριπής (Ripple Counter) ΗΜΥ211 Εργαστήριο Ψηφιακών Συστηµάτων Στοιχεία Μνήμης, JKκαιD (Flip-Flops) Μετρητής Ριπής (Ripple Counter) ιδάσκων: ρ. Γιώργος Ζάγγουλος Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Διαβάστε περισσότερα

ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ FLIP-FLOP ΤΟ ΒΑΣΙΚΟ FLIP-FLOP ΧΡΟΝΙΖΟΜΕΝΑ FF ΤΥΠΟΥ FF ΤΥΠΟΥ D FLIP-FLOP Τ FLIP-FLOP ΠΥΡΟΔΟΤΗΣΗ ΤΩΝ FLIP-FLOP ΚΥΡΙΟ - ΕΞΑΡΤΗΜΕΝΟ FLIP-FLOP ΑΚΜΟΠΥΡΟΔΟΤΟΥΜΕΝΑ FLIP-FLOP ΚΥΚΛΩΜΑΤΑ

Διαβάστε περισσότερα

Άσκηση 3 Ένα νέο είδος flip flop έχει τον ακόλουθο πίνακα αληθείας : I 1 I 0 Q (t+1) Q (t) 1 0 ~Q (t) Κατασκευάστε τον πίνακα

Άσκηση 3 Ένα νέο είδος flip flop έχει τον ακόλουθο πίνακα αληθείας : I 1 I 0 Q (t+1) Q (t) 1 0 ~Q (t) Κατασκευάστε τον πίνακα Άσκηση Δίδονται οι ακόλουθες κυματομορφές ρολογιού και εισόδου D που είναι κοινή σε ένα D latch και ένα D flip flop. Το latch είναι θετικά ενεργό, ενώ το ff θετικά ακμοπυροδοτούμενο. Σχεδιάστε τις κυματομορφές

Διαβάστε περισσότερα

ΨΗΦΙΑΚΗΛΟΓΙΚΗΣΧΕΔΙΑΣΗ

ΨΗΦΙΑΚΗΛΟΓΙΚΗΣΧΕΔΙΑΣΗ Τμήμα Ηλεκτρολόγων Μηχανικών Εργαστήριο Ενσύρματης Τηλεπικοινωνίας ΨΗΦΙΑΚΗΛΟΓΙΚΗΣΧΕΔΙΑΣΗ Μάθημα 5: Στοιχεία µνήµης ενός ψηφίου Διδάσκων: Καθηγητής Ν. Φακωτάκης Στοιχεία μνήμης Ένα ψηφιακό λογικό κύκλωμα

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2017-2018 Λογικές Πύλες, Στοιχεία Μνήμης, Συνδυαστική Λογική και Κυματομορφές ΗΥ220 - Βασίλης Παπαευσταθίου & Γιώργος Καλοκαιρινός 1 Τα βασικά της

Διαβάστε περισσότερα

Αρχιτεκτονικές Υπολογιστών

Αρχιτεκτονικές Υπολογιστών ΑΡΧΙΤΕΚΤΟΝΙΚΕΣ ΥΠΟΛΟΓΙΣΤΩΝ Μάθηµα: Αρχιτεκτονικές Υπολογιστών FLIP-FLOPS ΣΥΧΡΟΝΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΙΑ ΙΚΑΣΙΑ ΑΝΑΛΥΣΗΣ ΚΑΙ ΣΧΕ ΙΑΣΗ ΣΑΚ ιδάσκων: Αναπλ. Καθ. Κ. Λαµπρινουδάκης clam@uipi.gr Αρχιτεκτονικές

Διαβάστε περισσότερα

8. Στοιχεία μνήμης. Οι δυο έξοδοι του FF είναι συμπληρωματικές σημειώνονται δε σαν. Όταν αναφερόμαστε στο FF εννοούμε πάντα την κανονική έξοδο Q.

8. Στοιχεία μνήμης. Οι δυο έξοδοι του FF είναι συμπληρωματικές σημειώνονται δε σαν. Όταν αναφερόμαστε στο FF εννοούμε πάντα την κανονική έξοδο Q. 8. ΣΟΙΧΕΙΑ ΜΝΗΜΗΣ 8. Εισαγωγή Στα συνδυαστικά κυκλώματα, που μελετήσαμε έως τώρα, δεν υπήρχε κάποια διαδικασία ανάδρασης (Feed Back) -δηλαδή οδήγηση της εξόδου των στοιχείων στην είσοδό τους- επομένως

Διαβάστε περισσότερα

Α. ΣΚΟΔΡΑΣ ΠΛΗ21 ΟΣΣ#2. 14 Δεκ 2008 ΠΑΤΡΑ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ 2008 Α. ΣΚΟΔΡΑΣ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ

Α. ΣΚΟΔΡΑΣ ΠΛΗ21 ΟΣΣ#2. 14 Δεκ 2008 ΠΑΤΡΑ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ 2008 Α. ΣΚΟΔΡΑΣ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ ΠΛΗ21 ΟΣΣ#2 14 Δεκ 2008 ΠΑΤΡΑ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ 7-segment display 7-segment display 7-segment display Αποκωδικοποιητής των 7 στοιχείων (τμημάτων) (7-segment decoder) Κύκλωμα αποκωδικοποίησης του στοιχείου

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9 ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ (COUNTERS)

ΑΣΚΗΣΗ 9 ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ (COUNTERS) ΑΣΚΗΣΗ 9 ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ (COUNTERS) Αντικείμενο της άσκησης: H σχεδίαση και η χρήση ασύγχρονων απαριθμητών γεγονότων. Με τον όρο απαριθμητές ή μετρητές εννοούμε ένα ακολουθιακό κύκλωμα με FF, οι καταστάσεις

Διαβάστε περισσότερα

Ψηφιακή Λογική Σχεδίαση

Ψηφιακή Λογική Σχεδίαση Ψηφιακή Λογική Σχεδίαση Επιμέλεια: Γεώργιος Θεοδωρίδης, Επίκουρος Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σημείωμα Αδειοδότησης Το παρόν

Διαβάστε περισσότερα

Σύγχρονα ακολουθιακά κυκλώματα. URL:

Σύγχρονα ακολουθιακά κυκλώματα.   URL: DeÔtero Ex mhno FoÐthshc Σύγχρονα ακολουθιακά κυκλώματα Ge rgioc. Alexandrìpouloc Lèktorac P.D. 47/8 e-mail: alexandg@uop.gr URL: http://users.iit.demokritos.gr/~alexandg Tm ma Epist mhc kai TeqnologÐac

Διαβάστε περισσότερα

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος 1 Συνδυαστικό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται ΜΟΝΟ από τις εισόδους του Εάν γνωρίζουμε τις τιμές των εισόδων του κυκλώματος, τότε μπορούμε να προβλέψουμε ακριβώς τις εξόδους του Ακολουθιακό κύκλωμα

Διαβάστε περισσότερα

7 η διάλεξη Ακολουθιακά Κυκλώματα

7 η διάλεξη Ακολουθιακά Κυκλώματα 7 η διάλεξη Ακολουθιακά Κυκλώματα 1 2 3 4 5 6 7 Παραπάνω βλέπουμε ακολουθιακό κύκλωμα σχεδιασμένο με μανταλωτές διαφορετικής φάσης. Παρατηρούμε ότι συνδυαστική λογική μπορεί να προστεθεί μεταξύ και των

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΣΥΓΧΡΟΝΗ ΑΚΟΛΟΥΘΙΑΚΗ ΛΟΓΙΚΗ 2017, Δρ. Ηρακλής Σπηλιώτης Ακολουθιακά κυκλώματα Η πλειονότητα των ψηφιακών συσκευών (τηλέφωνα, δέκτες GPS, φωτογραφικές μηχανές, υπολογιστές κ.α.),

Διαβάστε περισσότερα

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 1) Να μετατρέψετε τον δεκαδικό αριθμό (60,25) 10, στον αντίστοιχο δυαδικό 11111,11 111001,01 111100,01 100111,1 111100,01 2)

Διαβάστε περισσότερα

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f.

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f. 6. Καταχωρητές Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f. Καταχωρητής 4 ψηφίων Καταχωρητής με παράλληλη φόρτωση Η εισαγωγή

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Μηχανές Πεπερασμένων Καταστάσεων Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ

Διαβάστε περισσότερα

Σχεδίαση κυκλωμάτων ακολουθιακής λογικής

Σχεδίαση κυκλωμάτων ακολουθιακής λογικής Σχεδίαση κυκλωμάτων ακολουθιακής λογικής Βασικές αρχές Σχεδίαση Latches και flip-flops Γιώργος Δημητρακόπουλος Δημοκρίτειο Πανεπιστήμιο Θράκης Φθινόπωρο 2013 Ψηφιακά ολοκληρωμένα κυκλώματα 1 Ακολουθιακή

Διαβάστε περισσότερα

Ψηφιακή Λογική Σχεδίαση

Ψηφιακή Λογική Σχεδίαση Ψηφιακή Λογική Σχεδίαση Επιμέλεια: Νίκος Φακωτάκης, Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σημείωμα Αδειοδότησης Το παρόν υλικό διατίθεται

Διαβάστε περισσότερα

Προγραμματισμός Ηλεκτρονικών Υπολογιστών 1

Προγραμματισμός Ηλεκτρονικών Υπολογιστών 1 Προγραμματισμός Ηλεκτρονικών Υπολογιστών 1 Ενότητα 3: Άλγεβρα Βοole και Λογικές Πράξεις Δρ. Φραγκούλης Γεώργιος Τμήμα Ηλεκτρολογίας Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης

Διαβάστε περισσότερα

Κυκλώματα αποθήκευσης με ρολόι

Κυκλώματα αποθήκευσης με ρολόι Κυκλώματα αποθήκευσης με ρολόι Latches και Flip-Flops Γιώργος Δημητρακόπουλος Τμήμα Επιστήμης Υπολογιστών Πανεπιστήμιο Κρήτης 1 Γιατί χρειαζόμαστε τα ρολόγια Συνδιαστική λογική Η έξοδος εξαρτάται μόνο

Διαβάστε περισσότερα

Ακολουθιακά Κυκλώµατα (Sequential Circuits) Συνδυαστικά Κυκλώµατα (Combinational Circuits) Σύγχρονα και Ασύγχρονα

Ακολουθιακά Κυκλώµατα (Sequential Circuits) Συνδυαστικά Κυκλώµατα (Combinational Circuits) Σύγχρονα και Ασύγχρονα Συνδυαστικά Κυκλώµατα (Combinational Circuits) Εξοδος οποιαδήποτε στιγµή εξαρτάται µόνο από τις τιµές στην είσοδο την ίδια στιγµή κολουθιακά Κυκλώµατα (Sequential Circuits) Aποθηκεύουν κατάσταση (state)

Διαβάστε περισσότερα

Ψηφιακή Σχεδίαση Ενότητα 10:

Ψηφιακή Σχεδίαση Ενότητα 10: Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 10: Καταχωρητές & Μετρητές Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http://arch.icte.uowm.gr/mdasyg

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ 1) Οι απαριθμητές ή μετρητές (counters) είναι κυκλώματα που

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 10 ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ

ΑΣΚΗΣΗ 10 ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ ΑΣΚΗΣΗ ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ.. ΣΚΟΠΟΣ Η σχεδίαση ακολουθιακών κυκλωμάτων..2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ.2.. ΑΛΓΟΡΙΘΜΟΣ ΣΧΕΔΙΑΣΗΣ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Τα ψηφιακά κυκλώματα με μνήμη ονομάζονται ακολουθιακά.

Διαβάστε περισσότερα

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος 1 Συνδυαστικό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται ΜΟΝΟ από τις εισόδους του Εάν γνωρίζουμε τις τιμές των εισόδων του κυκλώματος, τότε μπορούμε να προβλέψουμε ακριβώς τις εξόδους του Ακολουθιακό κύκλωμα

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ 1) Οι σύγχρονοι μετρητές υλοποιούνται με Flip-Flop τύπου T

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΛΑΜΙΑΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ. Τμήμα Ηλεκτρονικής. Πτυχιακή Εργασία

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΛΑΜΙΑΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ. Τμήμα Ηλεκτρονικής. Πτυχιακή Εργασία ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΛΑΜΙΑΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ Τμήμα Ηλεκτρονικής Πτυχιακή Εργασία Υλοποίηση σύγχρονων ακολουθιακών κυκλωμάτων σε VHDL για FPGAs/CPLDs και ανάλυση χρονισμών για εύρεση

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Μετρητές 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Μετρητές 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Μετρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Μετρητής Ριπής Σύγχρονος υαδικός Μετρητής

Διαβάστε περισσότερα

Θέματα χρονισμού σε φλιπ-φλοπ και κυκλώματα VLSI

Θέματα χρονισμού σε φλιπ-φλοπ και κυκλώματα VLSI Εθνικό Μετσόβιο Πολυτεχνείο Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Τομέας Επικοινωνιών, Ηλεκτρονικής και Συστημάτων Πληροφορικής Εισαγωγή στην Σχεδίαση Συστημάτων VLSI Θέματα χρονισμού

Διαβάστε περισσότερα

Ασύγχρονοι Απαριθμητές. Διάλεξη 7

Ασύγχρονοι Απαριθμητές. Διάλεξη 7 Ασύγχρονοι Απαριθμητές Διάλεξη 7 Δομή της διάλεξης Εισαγωγή στους Απαριθμητές Ασύγχρονος Δυαδικός Απαριθμητής Ασύγχρονος Δεκαδικός Απαριθμητής Ασύγχρονος Δεκαδικός Απαριθμητής με Latch Ασκήσεις 2 Ασύγχρονοι

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Θεµατική Ενότητα ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Ακαδηµαϊκό Έτος 2006 2007 Γραπτή Εργασία #2 Ηµεροµηνία Παράδοσης 28-0 - 2007 ΠΛΗ 2: Ψηφιακά Συστήµατα ΠΡΟΤΕΙΝΟΜΕΝΕΣ ΛΥΣΕΙΣ Άσκηση : [5 µονάδες] Έχετε στη

Διαβάστε περισσότερα

ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ & ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΥΛΙΚΟ ΚΑΙ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ. Ενότητα 1. Λογικής Σχεδίασης. Καθηγητής Αντώνης Πασχάλης

ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ & ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΥΛΙΚΟ ΚΑΙ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ. Ενότητα 1. Λογικής Σχεδίασης. Καθηγητής Αντώνης Πασχάλης ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ & ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ - VHL ΥΛΙΚΟ ΚΑΙ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ Ενότητα 1 Αρχές και Πρακτικές Ακολουθιακής Λογικής Σχεδίασης Καθηγητής Αντώνης Πασχάλης 217 Γενικές

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ Κ. Δεμέστιχας Εργαστήριο Πληροφορικής Γεωπονικό Πανεπιστήμιο Αθηνών Επικοινωνία μέσω e-mail: cdemest@aua.gr, cdemest@cn.ntua.gr 1 5. ΑΛΓΕΒΡΑ BOOLE ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕΡΟΣ Β 2 Επαναληπτική

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ ΠΕΡΙΕΧΟΜΕΝΑ.3 ΑΣΥΓΧΡΟΝΟΣ ΔYΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.5 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.7 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ ΜΕ LATCH.

ΠΕΡΙΕΧΟΜΕΝΑ ΠΕΡΙΕΧΟΜΕΝΑ.3 ΑΣΥΓΧΡΟΝΟΣ ΔYΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.5 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.7 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ ΜΕ LATCH. ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΗΛΕΚΤΡΟΝΙΚΗΣ & ΥΠΟΛΟΓΙΣΤΩΝ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ & ΣΥΣΤΗΜΑΤΑ ΣΗΜΕΙΩΣΕΙΣ ΑΠΑΡΙΘΜΗΤΕΣ Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Μετρητής Ριπής (Ripple Counter) Μετρητές (Counters) Μετρητής Ριπής (συν.

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Μετρητής Ριπής (Ripple Counter) Μετρητές (Counters) Μετρητής Ριπής (συν. ΗΜΥ-2: Λογικός Σχεδιασµός Εαρινό Κεφάλαιο 7 ii: Μετρητές Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Μετρητής Ριπής Περίληψη Σύγχρονος υαδικός Μετρητής Σχεδιασµός µε Flip-Flops

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 10 ΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ

ΑΣΚΗΣΗ 10 ΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ ΑΣΚΗΣΗ ΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ Στόχος της άσκησης: Η διαδικασία σχεδίασης σύγχρονων ακολουθιακών κυκλωμάτων. Χαρακτηριστικό παράδειγμα σύγχρονων ακολουθιακών κυκλωμάτων είναι οι σύγχρονοι μετρητές. Τις αδυναμίες

Διαβάστε περισσότερα

K24 Ψηφιακά Ηλεκτρονικά 10: Ακολουθιακά Κυκλώματα

K24 Ψηφιακά Ηλεκτρονικά 10: Ακολουθιακά Κυκλώματα K24 Ψηφιακά Ηλεκτρονικά : TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ ΤΕΧΝΟΛΟΓΙΚΟ Περιεχόμενα 2 3 Γενικά Όπως είδαμε και σε προηγούμενα μαθήματα, ένα ψηφιακό κύκλωμα ονομάζεται

Διαβάστε περισσότερα

Εισαγωγή στην Πληροφορική

Εισαγωγή στην Πληροφορική Ανοικτά Ακαδημαϊκά Μαθήματα στο ΤΕΙ Ιονίων Νήσων Εισαγωγή στην Πληροφορική Ενότητα 3: Ψηφιακή Λογική ΙI Το περιεχόμενο του μαθήματος διατίθεται με άδεια Creative Commons εκτός και αν αναφέρεται διαφορετικά

Διαβάστε περισσότερα

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΗΛΕΚΤΡΟΝΙΚΗΣ & ΥΠΟΛΟΓΙΣΤΩΝ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ & ΣΥΣΤΗΜΑΤΑ ΣΗΜΕΙΩΣΕΙΣ ΑΠΑΡΙΘΜΗΤΕΣ Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ

Διαβάστε περισσότερα

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Μετρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Μετρητής Ριπής Σύγχρονος υαδικός

Διαβάστε περισσότερα

Xρονισμός ψηφιακών κυκλωμάτων

Xρονισμός ψηφιακών κυκλωμάτων Xρονισμός ψηφιακών κυκλωμάτων Γιώργος Δημητρακόπουλος Τμήμα Επιστήμης Υπολογιστών Πανεπιστήμιο Κρήτης Φθινόπωρο 2008 ΗΥ220 1 Περιεχόμενα μαθήματος Καθυστέρηση λογικών πυλών και των συνδυαστικών κυκλωμάτων

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ. Να μελετηθεί η λειτουργία του ακόλουθου κυκλώματος. Ποιος ο ρόλος των εισόδων του (R και S) και πού βρίσκει εφαρμογή; R Q

ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ. Να μελετηθεί η λειτουργία του ακόλουθου κυκλώματος. Ποιος ο ρόλος των εισόδων του (R και S) και πού βρίσκει εφαρμογή; R Q ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΚΑΛΑΜΑΤΑΣ = ΠΑΡΑΡΤΗΜΑ ΣΠΑΡΤΗΣ = ΤΜΗΜΑ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ Συμπληρώνεται από τον διδάσκοντα (2.0) 2 (2.5) 3 (3.0) 4 (2.5) Σ ΕΞΕΤΑΣΗ ΤΟΥ ΜΑΘΗΜΑΤΟΣ

Διαβάστε περισσότερα

8.1 Θεωρητική εισαγωγή

8.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 8 ΣΤΟΙΧΕΙΑ ΜΝΗΜΗΣ ΚΑΤΑΧΩΡΗΤΕΣ Σκοπός: Η µελέτη της λειτουργίας των καταχωρητών. Θα υλοποιηθεί ένας απλός στατικός καταχωρητής 4-bit µε Flip-Flop τύπου D και θα µελετηθεί

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2015-2016 ΗΥ220 -Γιώργος Καιλοκαιρινός & Βασίλης Παπαευσταθίου 1 Λογικές Πύλες, Στοιχεία Μνήμης, Συνδυαστική Λογική και Κυματομορφές ΗΥ220 -Γιώργος

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL Διδάσκων: Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2017-2018 Μηχανές Πεπερασμένων Καταστάσεων ΗΥ220 - Βασίλης Παπαευσταθίου & Γιώργος Καλοκαιρινός 1 FSMs Οι μηχανές πεπερασμένων καταστάσεων Finite

Διαβάστε περισσότερα

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A].

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A]. Κανονική μορφή συνάρτησης λογικής 5. Η κανονική μορφή μιας λογικής συνάρτησης (ΛΣ) ως άθροισμα ελαχιστόρων, από τον πίνακα αληθείας προκύπτει ως εξής: ) Παράγουμε ένα [A] όρων από την κάθε σειρά για την

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ. Να μελετηθεί η λειτουργία του ακόλουθου κυκλώματος. Ποιος ο ρόλος των εισόδων του (R και S) και πού βρίσκει εφαρμογή; S Q

ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ. Να μελετηθεί η λειτουργία του ακόλουθου κυκλώματος. Ποιος ο ρόλος των εισόδων του (R και S) και πού βρίσκει εφαρμογή; S Q ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΚΑΛΑΜΑΤΑΣ = ΠΑΡΑΡΤΗΜΑ ΣΠΑΡΤΗΣ = ΤΜΗΜΑ ΤΕΧΝΟΛΟΓΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ Συμπληρώνεται από τον διδάσκοντα (2.0) 2 (2.5) 3 (3.0) 4 (2.5) Σ ΕΞΕΤΑΣΗ ΤΟΥ ΜΑΘΗΜΑΤΟΣ

Διαβάστε περισσότερα

Εισαγωγή στην πληροφορική

Εισαγωγή στην πληροφορική Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Εισαγωγή στην πληροφορική Ενότητα 4: Ψηφιακή Λογική, Άλγεβρα Boole, Πίνακες Αλήθειας (Μέρος Α) Αγγελίδης Παντελής Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών

Διαβάστε περισσότερα

Σχεδίαση της Μονάδας Ελέγχου

Σχεδίαση της Μονάδας Ελέγχου ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ & ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ - VHDL ΥΛΙΚΟ ΚΑΙ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ Ενότητα 5 Σχεδίαση της Μονάδας Ελέγχου Καθηγητής Αντώνης Πασχάλης 2017 Γενικές Γραμμές Σχεδίαση

Διαβάστε περισσότερα