Λάμπρος Μπισδούνης. ρ. Ηλεκτρολόγος Μηχανικός

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Λάμπρος Μπισδούνης. ρ. Ηλεκτρολόγος Μηχανικός"

Transcript

1 ΑΝΑΛΥΣΗ ΚΑΤΑΝΑΛΩΣΗΣ ΕΝΕΡΓΕΙΑΣ & ΚΑΘΥΣΤΕΡΗΣΗΣ ΚΥΚΛΩΜΑΤΩΝ CMOS & ΤΕΧΝΙΚΕΣ ΣΧΕΔΙΑΣΜΟΥ ΑΡΙΘΜΗΤΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ ΜΕ ΧΑΜΗΛΗ ΚΑΤΑΝΑΛΩΣΗ ΕΝΕΡΓΕΙΑΣ & ΥΨΗΛΗ ΤΑΧΥΤΗΤΑ Λάμπρος Μπισδούνης ρ. Ηλεκτρολόγος Μηχανικός Χανιά 30 Σεπτεμβρίου 2003

2 Οργάνωση και αντικείμενο της παρουσίασης Ανάλυση και μοντελοποίηση της κατανάλωσης ενέργειας ψηφιακών κυκλωμάτων CMOS. Ανάλυση και μοντελοποίηση της καθυστέρησης ψηφιακών κυκλωμάτων CMOS. Συσχέτιση κατανάλωσης ενέργειας και καθυστέρησης. Τεχνικές σχεδιασμού κυκλωμάτων CMOS (logic design styles). Αξιολόγηση τεχνικών σχεδιασμού κυκλωμάτων (ταχύτητα, κατανάλωση ενέργειας, μέγεθος) σε κυκλώματα αθροιστών. Τεχνικές σχεδιασμού αθροιστών και αξιολόγησή τους. Τεχνικές σχεδιασμού πολλαπλασιαστών και αξιολόγησή τους. 2

3 Κατανάλωση ενέργειας κυκλωμάτων CMOS O ρυθμός κατανάλωσης ενέργειας (μέση ισχύς) στα ψηφιακά κυκλώματα CMOS μπορεί να περιγραφεί ως εξής: P dynamic αφορά την ενέργεια που καταναλώνεται λόγω της φόρτισης και εκφόρτισης χωρητικών φορτίων. P short-circuit αφορά την κατανάλωση ενέργειας λόγω του ρεύματος βραχυκυκλώματος το οποίο υφίσταται όταν δημιουργείται αγώγιμο μονοπάτι μεταξύ της τροφοδοσίας και της γείωσης κατά τη διάρκεια μεταγωγής μιας πύλης (switching). P leakage αφορά την κατανάλωση ενέργειας λόγω των ρευμάτων διαρροής. P static αφορά τη στατική κατανάλωση ενέργειας. 3

4 Δυναμική κατανάλωση ενέργειας s: δραστηριότητα μεταγωγής (switching activity) είναι ο μέσος αριθμός φορτίσεων της C L (δηλ. μεταβάσεων 0 1 στον κόμβο εξόδου) στο χρονικό διάστημα [0, T] και f η συχνότητα λειτουργίας του αντιστροφέα. 4

5 Κατανάλωση ενέργειας βραχυκυκλώματος (1) Ο υπολογισμός της κατανάλωσης ενέργειας βραχυκυκλώματος είναι πιο σύνθετος λόγω των πολλών παραγόντων που την επηρεάζουν. Οφείλεται στο αγώγιμο μονοπάτι που δημιουργείται μεταξύ της τροφοδοσίας και της γείωσης, κατά τη διάρκεια μεταγωγής (switching) μιας πύλης CMOS και εξαρτάται από το χρόνο μετάβασης των εισόδων, το χωρητικό φορτίο, την τάση τροφοδοσίας και από τα εσωτερικά χαρακτηριστικά της πύλης (μέγεθος τρανζίστορ κλπ.). 5

6 Κατανάλωση ενέργειας βραχυκυκλώματος (2) 6

7 Κατανάλωση ενέργειας βραχυκυκλώματος (3) 7

8 Κατανάλωση ενέργειας βραχυκυκλώματος (4) 8

9 Κατανάλωση ενέργειας βραχυκυκλώματος (5) Η συμμετοχή της ενέργειας βραχυκυκλώματος αυξάνεται όταν ο χρόνος μετάβασης εισόδου αυξάνεται ή όταν η χωρητικότητα εξόδου μειώνεται, δηλ. όταν η μετάβαση της τάσης εισόδου γίνεται πιο αργή από τη μετάβαση της τάσης εξόδου. 9

10 Κατανάλωση ενέργειας διαρροής Οφείλεται στα ρεύματα διαρροής των ανάστροφα πολωμένων διόδων μεταξύ των περιοχών διάχυσης και του υποστρώματος, καθώς και στο ρεύμα περιοχής υποκατωφλίου των τρανζίστορ. Εξαρτάται από παραμέτρους της τεχνολογίας που χρησιμοποιείται και είναι αυξημένη (έως και 15% της συνολικής κατανάλωσης) σε τεχνολογίες υπομικρομέτρου (deep submicron) όπου χρησιμοποιείται ιδιαίτερα χαμηλή τάση κατωφλίου. 10

11 Στατική κατανάλωση ενέργειας Όταν τα κυκλώματα συμπληρωματικής λογικής βρίσκονται σε κατάσταση ηρεμίας δεν υπάρχει αγώγιμο μονοπάτι μεταξύ τροφοδοσίας και γείωσης, οπότε η στατική κατανάλωση περιορίζεται σε αυτή των ρευμάτων διαρροής. Εξαιρέσεις: οδήγηση πυλών CMOS με τρανζίστορ περάσματος, και ψεύδο-nmos λογική σχεδιασμού. V A = V DD V THn pmos: weakly ON Όταν V out = 0 δημιουργείται αγώγιμο μονοπάτι μεταξύ τροφοδοσίας και γείωσης 11

12 Χρονική απόκριση αντιστροφέα CMOS (1) 12

13 Χρονική απόκριση αντιστροφέα CMOS (2) 13

14 Καθυστέρηση αντιστροφέα CMOS 14

15 Αναγωγή πυλών σε ισοδύναμους αντιστροφείς Η αναγωγή περιλαμβάνει τη μοντελοποίηση: των σειριακά συνδεδεμένων τρανζίστορ όταν λειτουργούν ως κλάδος φόρτισης ή εκφόρτισης της εξόδου των πυλών, των σειριακά συνδεδεμένων τρανζίστορ όταν λειτουργούν ως κλάδος βραχυκυκλώματος των πυλών, των παράλληλα συνδεδεμένων τρανζίστορ, και της περίπτωσης χρονικά επικαλυπτόμενων εισόδων. Κατά την αναγωγή λαμβάνονται υπόψη οι επιδράσεις: του χωρητικού φορτίου εξόδου, τουχρόνουμετάβασηςτωνεισόδων, του αριθμού των εισόδων που βρίσκονται υπό μετάβαση, της θέσης των εισόδων που βρίσκονται υπό μετάβαση, τουφαινόμενουσώματος(body effect), και των χωρητικοτήτων των εσωτερικών κόμβων. 15

16 Καθυστέρηση πυλών CMOS 16

17 Καθυστέρηση κυκλωμάτων CMOS Μια προσέγγιση πρώτου βαθμού για την εκτίμηση της καθυστέρησης κυκλωμάτων CMOS δείχνει την εξάρτησή της από τις κυριότερες παραμέτρους του κυκλώματος: V DD : Τάση τροφοδοσίας, V TH : Τάση κατωφλίου, C L : Χωρητικότητα εξόδου. Κ: εξαρτάται από το λόγο πλάτος και μήκους των τρανζίστορ και από τεχνολογικές παραμέτρους. α: δείκτης κορεσμού της ταχύτητας των φορέων (velocity saturation index) που λαμβάνει τιμές από 1 έως 2 (μειώνεται όσο μικραίνει το μήκος καναλιού των τρανζίστορ). 17

18 Συσχέτιση κατανάλωσης ενέργειας και καθυστέρησης Με μείωση της τάσης τροφοδοσίας είναι δυνατή η επίτευξη μείωσης της κατανάλωσης ενέργειας σε βαθμό τετραγώνου, αλλά τότε η καθυστέρηση αυξάνεται ιδιαίτερα όταν πρόκειται για χαμηλή τάση τροφοδοσίας κοντά στην τάση κατωφλίου. Ένας τρόπος να βελτιωθεί η καθυστέρηση του κυκλώματος είναι να μειωθούν οι τάσεις κατωφλίου των τρανζίστορ, αλλά τότε αυξάνεται η κατανάλωση λόγω ρευμάτων διαρροής. Με μείωση των παρασιτικών χωρητικοτήτων στους κόμβους του κυκλώματος μπορεί να επιτευχθεί ταυτόχρονη μείωση της κατανάλωσης ενέργειας και της καθυστέρησης. 18

19 Γιατί εναλλακτικές τεχνικές σχεδιασμού κυκλωμάτων? Για την μείωση της κατανάλωσης ενέργειας έχουν προταθεί και χρησιμοποιηθεί διάφορες μέθοδοι: Μείωση χωρητικοτήτων ολοκληρωμένων κυκλωμάτων με χρήση πιο αποδοτικών διεργασιών (SOI, deep-submicrometer, MCMs), οι οποίες όμως προϋποθέτουν και υψηλό κόστος. υνατότητα μεταβολής της τάσης τροφοδοσίας, η οποία προϋποθέτει κατάλληλη υποστήριξη για λειτουργία των κυκλωμάτων σε χαμηλή τάση, DC/DC converters, και διαχείριση των προβλημάτων θορύβου. Τεχνικές διαχείρισης κατανάλωσης ενέργειας σε όλα τα επίπεδα σχεδιασμού (shut-down, pipelining/parallelism, switching activity reduction, transistor sizing, buffering high capacitive loads, clock-gating, clock trees etc.). Εναλλακτικές τεχνικές σχεδιασμού σε κυκλωματικό επίπεδο με μικρό κόστος χρήσης. 19

20 Τεχνικές σχεδιασμού κυκλωμάτων (design styles) Κλασσική στατική λογική CMOS (conventional static CMOS logic - CSL) Λογική με τρανζίστορ περάσματος (complementary pass-transistor logic - CPL) Λογική με διπλά τρανζίστορ περάσματος (dual pass-transistor logic - DPL) Στατική διαφορική διαδοχική λογική διακοπτικής τάσης (static differential cascade voltage switch logic SDCVSL) Στατική λογική με διαφορικό επίπεδο διαίρεσης (static differential split-level logic - SDSL) υναμική λογική διαδοχικής επίδρασης (domino logic - DRDL) υναμική διαφορική διαδοχική λογική διακοπτικής τάσης (dynamic differential cascade voltage switch logic DDCVSL) ιαφορική λογική με σήματα αυτοελέγχου (enable/disabled CMOS differential logic ECDL) 20

21 Κλασσική στατική λογική CMOS (Conventional static CMOS logic - CSL) Περιλαμβάνει ένα δικτύωμα nmos μεταξύ της γείωσης και του κόμβου εξόδου μιας βαθμίδας και ένα δεύτερο pmos μεταξύ της τροφοδοσίας και του κόμβου εξόδου. Τα τρανζίστορ nmos υλοποιούν την απαιτούμενη συνάρτηση ενώ τα pmos την δυαδική της. Η μεγάλη αποδοχή της λογικής αυτής βασίζεται στην ευκολία σχεδιασμού που παρέχει, στα υψηλά περιθώρια θορύβου, στην ικανοποιητική ταχύτητα (ειδικά για μικρές πύλες), στους συγκρίσιμους χρόνους ανόδου και καθόδου και στο γεγονός ότι η λειτουργικότητα των κυκλωμάτων δεν εξαρτάται από το λόγο W/L των τρανζίστορ (ratioless). Για να επιτευχθεί ικανό ρεύμα ώστε να οδηγηθούν μεγάλα φορτία εξόδου, το μέγεθος των τρανζίστορ πρέπει να αυξηθεί με αποτέλεσμα να αυξάνεται η χωρητικότητα εισόδου οδηγώντας σε υψηλή καθυστέρηση και κατανάλωση ενέργειας. Σχηματικό διάγραμμα πλήρους αθροιστή 21

22 Λογική με τρανζίστορ περάσματος (Complementary pass-transistor logic - CPL) Οι συναρτήσεις υλοποιούνται ως δίκτυο διακοπτών (nmos pass transistors). Χαμηλή χωρητικότητα εισόδου χαμηλή κατανάλωση ενέργειας και υψηλή ταχύτητα. Εύρος τάσης στην έξοδο των τρανζίστορ περάσματος μικρότερο από την V DD : Για να μειωθεί το στατικό ρεύμα στους αντιστροφείς εξόδου χρησιμοποιείται τρανζίστορ ανατροφοδότησης, το οποίο όμως αυξάνει τη χωρητικότητα εξόδου. Εναλλακτική λύση αποτελεί η χρήση τρανζίστορ με μικρότερη τάση κατωφλίου. 22

23 Λογική με διπλά τρανζίστορ περάσματος (Dual pass-transistor logic - DPL) Η χρησιμοποίηση pmos τρανζίστορ παράλληλα με τα nmos λύνει τα προβλήματα που δημιουργούνται από τη μειωμένη τάση υψηλής στάθμης στην CPL (ιδιαίτερα σε χαμηλές τάσεις τροφοδοσίας). Ωστόσο, η χρήσητωνpmos τρανζίστορ οδηγεί σε υψηλότερη χωρητικότητα εισόδου. 23

24 Στατική διαφορική διαδοχική λογική διακοπτικής τάσης (Static differential cascade voltage switch logic SDCVSL) ύο συμπληρωματικά δέντρα από τρανζίστορ nmos υλοποιούν την απαιτούμενη συνάρτηση και την συμπληρωματική της. Ανάλογα με τις τιμές των εισόδων, γίνεται εκφόρτιση ενός εκ των δύο κόμβων εξόδου, και η τιμή της εξόδου κλειδώνεται από τα διασταυρωμένα τρανζίστορ pmos. Η χωρητικότητα εισόδου είναι τουλάχιστον δύο φορές μικρότερη από εκείνη της κλασσικής στατικής λογικής CMOS. 24

25 Στατική λογική με διαφορικό επίπεδο διαίρεσης (Static differential split-level logic - SDSL) ύο nmos τρανζίστορ με τους ακροδέκτες πύλης συνδεδεμένους σε μια τάση αναφοράς (0.5 V DD +V THn ) προστίθενται με σκοπό να μειώσουν το λογικό εύρος τάσης στους κόμβους εξόδου. Έτσι το κύκλωμα γίνεται γρηγορότερο σε σχέση με εκείνο της SDCVSL. Το μειονέκτημα της λογικής αυτής είναι η στατική κατανάλωση ενέργειας καθώς και το αυξημένο μέγεθος λόγω των επιπλέον τρανζίστορ. 25

26 Δυναμική λογική διαδοχικής επίδρασης (Domino logic) Στις δυναμικές λογικές χρησιμοποιείται προφόρτιση με σκοπό την αύξηση της ταχύτητας. Χρησιμοποιείται τρανζίστορ pmos προφόρτισης (ενεργό όταν CLK= 0 ) και τρανζίστορ nmos υπολογισμού (ενεργό όταν CLK= 1 ). Επειδή στις δυναμικές λογικές κάθε κόμβος εξόδου πρέπει να προφορτίζεται σε κάθε κύκλο ρολογιού, η δυναμική κατανάλωση είναι μεγαλύτερη απ ότι στις στατικές λογικές. Επίσης, καταναλώνεται επιπρόσθετη ενέργεια λόγω του δικτύου διάδοσης του CLK. Ωστόσο, αποφεύγονται οι ανεπιθύμητες μεταβάσεις (glitches) και η κατανάλωση βραχυκυκλώματος. 26

27 Δυναμική διαφορική διαδοχική λογική διακοπτικής τάσης (Dynamic differential cascade voltage switch logic DDCVSL) Είναι ένας συνδυασμός της SDCVSL και της Domino λογικής. Το πλεονέκτημα της έναντι της Domino είναι ότι μπορεί να υλοποιήσει κάθε είδους λογική συνάρτηση (ενώ η Domino υλοποιεί μόνο non-inverted). Για παράδειγμα η υλοποίηση της συνάρτησης κρατουμένου και της συμπληρωματικής της υλοποιείται σε μια βαθμίδα διαφορικής διαδοχικής λογικής. 27

28 Διαφορική λογική με σήματα αυτοελέγχου (Enable/disabled CMOS differential logic ECDL) Χρησιμοποιεί σήματα ελέγχου που υποδεικνύουν την έναρξη και το τέλος του υπολογισμού των λογικών συναρτήσεων που υλοποιούν, με σκοπό να αυξήσουν την ταχύτητα των κυκλωμάτων (άρα δεν υπάρχει περιορισμός στην χρησιμοποιούμενη συχνότητα λειτουργίας). Βασικό μειονέκτημα (κυρίως ως προς την κατανάλωση ενέργειας) οι επιπλέον αντιστροφείς που χρησιμοποιούνται για την αλλαγή της πολικότητας των κόμβων εξόδου. 28

29 Σύγκριση στατικών και δυναμικών λογικών Χαρακτηριστικά Στατικές λογικές υναμικές λογικές Ανεπιθύμητες μεταβάσεις (glitches) Κατανάλωση βραχυκυκλώματος Συχνότητα μεταβάσεων (switching activity) Παρασιτικές χωρητικότητες Κατανάλωση λόγω κυκλωμάτων ρολογιού Επιπρόσθετη κατανάλωση έως και 30% 15% της συνολικής κατανάλωσης ενέργειας Εξαρτάται από την προηγούμενη κατάσταση (πύλη NOR2: s = 3/16) Χρησιμοποιούν περισσότερα τρανζίστορ, άρα παρουσιάζουν μεγαλύτερες παρασιτικές χωρητικότητες εν υπάρχει εν υπάρχουν εν υπάρχει Καταναλώνεται ενέργεια κάθε φορά που η έξοδος είναι σε λογικό 0 (πύλη NOR2: s = 0.75) Χρησιμοποιούν λιγότερα τρανζίστορ, άρα παρουσιάζουν μικρότερες παρασιτικές χωρητικότητες Επιπρόσθετη κατανάλωση λόγω των τρανζίστορ προφόρτισης και του δικτύου διάδοσης του ρολογιού 29

30 Αξιολόγηση τεχνικών σχεδιασμού κυκλωμάτων Για την αξιολόγηση χρησιμοποιήθηκε ένας αθροιστής διάδοσης κρατουμένου τεσσάρων bit (4-bit ripple-carry), ο οποίος σχεδιάστηκε σε φυσικό επίπεδο (full-custom) με όλες τις τεχνικές σχεδιασμού. Χρησιμοποιήθηκε το εργαλείο GDT της Mentor Graphics. Σχηματικό διάγραμμα αθροιστή 4-bit Φυσικός σχεδιασμός αθροιστή 4-bit με την κλασσική στατική λογική CMOS 30

31 Περιοχή πυριτίου και αριθμός τρανζίστορ 31

32 Μεθοδολογία μέτρησης κατανάλωσης ενέργειας και καθυστέρησης Μετά το φυσικό σχεδιασμό του αθροιστή 4-bit με όλες τις τεχνικές, παράχθηκαν ισοδύναμα κυκλώματα έτσι ώστε να γίνουν οι μετρήσεις κατανάλωσης ενέργειας και καθυστέρησης με χρήση του εξομοιωτή ΗSPICE. Για την εκτίμηση της μέσης κατανάλωσης ενέργειας πρέπει να ληφθεί υπόψη η εξάρτησή της από τα δεδομένα εισόδου του κάθε κυκλώματος. Χρησιμοποιήθηκε μια στατιστική μέθοδος σε συνδυασμό με μετρήσεις που έγιναν στο HSPICE με βάση ένα υποκύκλωμα μέτρησης. Για κάθε κύκλωμα αθροιστή παράχθηκαν 200 τυχαία δείγματα μεταβάσεων εισόδων. Για κάθε δείγμα μετρήθηκε η κατανάλωση ενέργειας και στη συνέχεια ακολουθήθηκε μια μέθοδος εκτίμησης της μέσης τιμής για να υπολογιστεί τελικά η μέση κατανάλωση ενέργειας. Η καθυστέρηση για κάθε κύκλωμα αθροιστή μετρήθηκε για το δείγμα εισόδων που προκαλεί διάδοση του κρατουμένου από τη θέση LSB στη θέση MSB (worst-case). Με βάση τις παραπάνω μετρήσεις αναλύθηκε και το μέγεθος του γινομένου κατανάλωσης ενέργειας και καθυστέρησης για κάθε κύκλωμα αθροιστή. ιατέθηκε χρόνος 20 nsec (δηλ. συχνότητα 50 MHz) για μία άθροιση έτσι ώστε να καλυφθεί η ολοκληρωμένη λειτουργία του αργότερου αθροιστή. 32

33 Μέτρηση κατανάλωσης ενέργειας Ενέργεια που καταναλώνεται από το κύκλωμα στο χρονικό διάστημα Τ: Tάση στον πυκνωτή Cy στο τέλος του χρονικού διαστήματος Τ: Επιλέγοντας τα στοιχεία του υποκυκλώματος μέτρησης έτσι ώστε να ισχύει η παρακάτω συνθήκη, η τάση στα άκρα του πυκνωτή στο τέλος του χρονικού διαστήματος Τ, είναι ποσοτικά ίση με την κατανάλωση ενέργειας. ιάταξη μέτρησης κατανάλωσης ενέργειας σε κυκλωματικούς εξομοιωτές (SPICE, HSPICE) 33

34 Υπολογισμός μέσης κατανάλωσης ενέργειας Η ισχύς μπορεί να προσεγγιστεί ως κανονικά κατανεμημένη. Για την ανάλυσή της, χρησιμοποιήθηκε η κατανομή student (t) λόγω του σχετικά μικρού αριθμού δειγμάτων. είναι το μέσο δείγμα κατανάλωσης, s είναι η τυπική απόκλιση, N είναι ο αριθμός των δειγμάτων και ta/2 είναι ο συντελεστής της κατανομής student (t) για διάστημα εμπιστοσύνης (1-α)%. Ο αριθμός των δειγμάτων (N =200) ικανοποιεί το παρακάτω κριτήριο: με μέγιστο σφάλμα e = 7% και διάστημα εμπιστοσύνης 95% (ta/2 = 1.96). 34

35 Αποτελέσματα μετρήσεων 35

36 Ιστογράμματα ισχύος και γινομένου ισχύος-καθυστέρησης 0.25 CSL CPL DPL SDCVSL 0.20 SDSL DRDL DDCVSL ECDL 0.25 CSL CPL DPL SDCVSL 0.20 SDSL DRDL DDCVSL ECDL Πιθανότητα Πιθανότητα Μέση Ισχύς ανά άθροιση (mw) Γινόμενο ισχύος και καθυστέρησης (pjoule) 36

37 Συσχέτιση ισχύος και καθυστέρησης 2.5 SDSL 2 Μέση ισχύς (mw) ECDL DDCVSL 0.5 DRDL CSL DPL CPL SDCVSL Kαθυστέρηση (nsec) 37

38 Γιατί αθροιστές και πολλαπλασιαστές? Σε υπολογιστικά συστήματα γενικού σκοπού αλλά και σε επεξεργαστές ειδικού σκοπού, η άθροιση είναι μια λειτουργία που χρησιμοποιείται πολύ συχνά. Στην εργασία των Chen et al. μετά την διερεύνηση ενός συνόλου συστημάτων αναφοράς (benchmarks) ψηφιακής επεξεργασίας πραγματικού χρόνου βρέθηκε ότι η άθροιση είναι η πιο συχνή λειτουργία. Οπότε είναι φυσικό το ότι αρκετοί ερευνητές και αρχιτέκτονες υπολογιστών έχουν προτείνει διαφορετικούς τρόπους σχεδιασμού αθροιστών. Επίσης, η απόδοση στα συστήματα ψηφιακής επεξεργασίας συχνά περιορίζεται από την ταχύτητα και την κατανάλωση ενέργειας των αριθμητικών επεξεργαστών, στους οποίους οι πολλαπλασιαστές είναι ένα από τα βασικά υ- ποσυστήματά τους. 38

39 Τεχνικές σχεδιασμού αθροιστών Αθροιστής ριπής κρατουμένου (ripple-carry adder - RCA) Αθροιστής αλυσιδωτής διάδοσης κρατουμένου (Manchester carry-chain adder - MCC) Αθροιστής παράκαμψης κρατουμένου (carry-skip adder - CSK) Αθροιστής επιλογής κρατουμένου (carry-select adder - CSL) Αθροιστής πρόβλεψης κρατουμένου (carry-lookahead adder - CLA) 39

40 Αθροιστής ριπής κρατουμένου (ripple-carry adder) FA FA FA c 0 40

41 Αθροιστής αλυσιδωτής διάδοσης κρατουμένου (Manchester carry-chain adder) Χρησιμοποιεί συναρτήσεις διάδοσης (propagate), παραγωγής (generate), και εξουδετέρωσης (kill) του κρατουμένου: To κρατούμενο μετά την παραγωγή του διαδίδεται γρήγορα μέσω αλυσίδας από πύλες μετάδοσης, μέχρι να εξουδετερωθεί ή να φτάσει στη θέση MSB. 41

42 Αθροιστής παράκαμψης κρατουμένου (Carry-skip adder) Σε κάθε RCA υπολογίζεται μια συνολική συνάρτηση διάδοσης κρατουμένου ως εξής: Εάν κάποια από τις συνολικές συναρτήσεις διάδοσης είναι αληθής, τότε το κρατούμενο εισόδου του αντίστοιχου RCA παρακάμπτει τον RCA και διαδίδεται στον επόμενο. Αυτό έχει σαν αποτέλεσμα την επιτάχυνση της διάδοσης του κρατουμένου. 42

43 Αθροιστής επιλογής κρατουμένου (Carry-select adder) Εκτελούνται παράλληλα δύο προσθέσεις σε κάθε βαθμίδα: μία υποθέτοντας ότι το κρατούμενο είναι 1 και μια υποθέτοντας ότι το κρατούμενο είναι 0. Οι σωστές τιμές του αθροίσματος και του κρατουμένου εξόδου επιλέγονται με την άφιξη του κρατουμένου στο κύκλωμα που υλοποιεί την λογική επιλογής κάθε βαθμίδας. Κάθε επόμενος RCA επεκτείνεταικατάμίαβαθμίδαγιανασυγχρονιστείμετην καθυστέρηση της λογικής πρόβλεψης κρατουμένου. 43

44 Αθροιστής πρόβλεψης κρατουμένου (Carry-lookahead adder) s 7 a 7 b 7 s 6 a 6 b 6 s 5 a 5 b 5 s 4 a 4 b 4 s 3 a 3 b 3 s 2 a 2 b 2 s 1 a 1 b 1 s o a o b o c o c 7 c 6 c 5 c 4 c 3 c 2 c 1 A A A A A A A A c i a i b i s i G 7 P 7 G 6 P 6 G 5 P 5 G 4 P 4 G 3 P 3 G 2 P 2 G 1 P 1 G o P o G i P i A c 8 L O O K A H E A D C A R R Y G E N E R A T O R Η τεχνική αυτή είναι δημοφιλής λόγω της ταχύτητάς της. ιάφορες παραλλαγές έχουν αναπτυχθεί (Brent & Kung, ELM) που χρησιμοποιούν δομές δέντρου για την πρόβλεψη των κρατουμένων με σκοπό να πετύχουν πιο συμπαγή και ομοιόμορφο σχεδιασμό και περαιτέρω μείωση της καθυστέρησης. 44

45 Περιοχή πυριτίου και αριθμός τρανζίστορ Με είσοδο περιγραφή των αθροιστών σε επίπεδο τρανζίστορ παράχθηκε αυτόματα (με βάση μια βιβλιοθήκη βασικών στοιχείων) από το εργαλείο GDT Autocells (Mentor Graphics) ο φυσικός σχεδιασμός (layout) των πέντε 16-bit αθροιστών. Ο φυσικός σχεδιασμός που παράγεται από το εργαλείο που χρησιμοποιήθηκε είναι ικανοποιητικός για κυκλώματα έως 1000 τρανζίστορς

46 Καθυστέρηση αθροιστών Καθυστέρηση (nsec) RCA MCC CSK CSL CLA 46

47 Μέση ισχύς και γινόμενο ισχύος και καθυστέρησης 0,8 14 Μέση ισχύς ανά άθροιση (mw) 0,7 0,6 0,5 0,4 0,3 0,2 0,1 Μέσο γινόμενο ισχύος και καθυστέρησης ανά αθροιση (pj) RCA MCC CSK CSL CLA 0 RCA MCC CSK CSL CLA ιατέθηκε χρόνος 100 nsec (δηλ. συχνότητα 10 MHz) για μία άθροιση σε όλους τους τύπους αθροιστή. Σε κάθε τύπο αθροιστή χρησιμοποιήθηκαν 500 τυχαία δείγματα μεταβάσεων εισόδων και οι μετρήσεις ισχύος που προέκυψαν αναλύθηκαν με στατιστική μέθοδο. 47

48 Συσχέτιση ισχύος και καθυστέρησης αθροιστών 0,8 Μέση ισχύς ανά άθροιση (mw) 0,7 0,6 0,5 0,4 0,3 0,2 0,1 CLA CSL CSK MCC RCA Καθυστέρηση (nsec) 48

49 Τεχνικές σχεδιασμού πολλαπλασιαστών Α (n bits) Β (m bits) ΙΑΤΑΞΗ ΠΑΡΑΓΩΓΗΣ ΜΕΡΙΚΩΝ ΓΙΝΟΜΕΝΩΝ m x n bits ΙΑΤΑΞΗ ΠΙΝΑΚΑ 'Η ΕΝΤΡΟΥ ΜΕΙΩΣΗΣ ΑΡΙΘΜΟΥ ΜΕΡΙΚΩΝ ΓΙΝΟΜΕΝΩΝ ΑΘΡΟΙΣΤΗΣ Α x Β ΚΩ ΙΚΟΠΟΙΗTHΣ BOOTH 2 x (m + n) bits (m + n) bits Η διάταξη παραγωγής μερικών γινομένων υλοποιείται με nxm πύλες AND και παράγονται m μερικά γινόμενα των n bits. Με χρήση του κωδικοποιητή Booth o αριθμός των μερικών γινομένων περιορίζεται στο μισό. Στη συνέχεια, γιατημείωσητουαριθμού των μερικών γινομένων μπορεί να χρησιμοποιηθεί διάταξη πίνακα (carry-save array multiplier) ή διατάξειςδέντρου (Wallace ή Dadda multipliers) οι οποίες περιλαμβάνουν συνήθως πλήρεις αθροιστές. Οι διατάξεις δέντρου υλοποιούνται με λιγότερα επίπεδα άθροισης, και συνεπώς διαθέτουν μεγαλύτερη ταχύτητα, αλλά και ανομοιομορφία που οδηγεί σε μη αποδοτικό φυσικό σχεδιασμό. 49

50 Διαφορές πολλαπλασιαστών διάταξης πίνακα και δέντρου Στους πολλαπλασιαστές διάταξης πίνακα, τα δεδομένα διαδίδονται από τους αθροιστές της κορυφής του πίνακα έως εκείνους της τελευταίας βαθμίδας άθροισης, και ο συνολικός αριθμός των βαθμίδων άθροισης ισούται με τον αριθμό των bits της λέξης του πολλαπλασιαστή μειωμένο κατά 2. Στους πολλαπλασιαστές διάταξης δέντρου, η γραμμική διάταξη των αθροιστών της διάταξης πίνακα που χρησιμοποιείται για την μείωση των μερικών γινομένων αναδιοργανώνεται σε μια δομή δέντρου μειώνοντας έτσι τον συνολικό αριθμό των βαθμίδων άθροισης. Στο παρακάτω σχήμα παρουσιάζεται η αναδιοργάνωση μιας στήλης της διάταξης πίνακα ενός πολλαπλασιαστή 6-bit σε δομή δέντρου: C i C i C i Y 0 Y 1 Y 2 FA FA FA FA Y 3 Y 4 Y 5 C i-1 C i-1 C i-1 C i C i C i Y 0 Y 1 Y 2 FA FA FA C S Y 3 Y 4 Y 5 FA C i-1 C i-1 C i-1 Τα σήματα Υ i είναι τα μερικά γινόμενα του πολλαπλασιασμού. Παρατηρούμε ότι οι βαθμίδες άθροισης είναι 4 στη διάταξη πίνακα και 3 στη διάταξη δέντρου. Σε πολλαπλασιαστή 8-bit οι βαθμίδες στη διάταξη δέντρου μειώνονται σε 4 από 6 που περιλαμβάνονται στη διάταξη πίνακα, ενώ σε πολλαπλασιαστή 16-bit μειώνονται σε 6 από 14. C S 50

51 Κωδικοποίηση Booth Η ταχύτητα πολλαπλασιασμού μπορεί να αυξηθεί με την εφαρμογή μιας ειδικής κωδικοποίησης της λέξης του πολλαπλασιαστή (κωδικοποίηση Booth) η οποία περιορίζει τον αριθμό των μερικών γινομένων στο μισό, και συνεπώς τον αριθμό των απαιτούμενων βαθμίδων άθροισης στη διάταξη πίνακα ή δέντρου. Αντί για την παραδοσιακή δυαδική κωδικοποίηση (δηλ. τον υπολογισμό των μερικών γινομένων με χρήση βάσης 2), η λέξη του πολλαπλασιαστή κωδικοποιείται με χρήση βάσης 4 (radix-4): Έτσι ενώ ο πολλαπλασιασμός με {0, 1} είναι ισοδύναμος με μια λειτουργία AND, ο πολλαπλασιασμός με {-2, -1, 0, 1, 2} απαιτεί συνδυασμό μιας λειτουργίας αντιστροφής και μιας ολίσθησης, δημιουργώντας έτσι απαίτηση για χρήση επιπλέον λογικών πυλών. Η κωδικοποίηση Booth λαμβάνει κάθε φορά 3 bits της λέξης του πολλαπλασιαστή, σε αντίθεση με το 1 bit που λαμβάνεται κάθε φορά στην παραδοσιακή δυαδική κωδικοποίηση. 51

52 Εκτίμηση μέσης δυναμικής ισχύος ΠΑΡΑΜΕΤΡΟΙ ΣΥΣΤΗΜΑΤΟΣ ΕΚΤΙΜΗΣΗ ΠΕΡΙΟΧΗΣ (AREA) ΣΧΕ ΙΑΣΜΟΣ ΣΕ ΕΠΙΠΕ Ο RTL (VHDL ΠΕΡΙΓΡΑΦΗ) ΣΥΝΘΕΣΗ (DESIGN COMPILER) ΕΞΟΜΟΙΩΣΗ ΣΕ ΕΠΙΠΕ Ο RTL (MODELSIM) s i είναι ο μέσος αριθμός μεταβάσεων 0 1 που συμβαίνουν στον κόμβο i στο χρονικό διάστημα Τ (f = 1/T). N: αριθμός κόμβων του κυκλώματος ΠΑΡΑΜΕΤΡΟΙ ΤΕΧΝΟΛΟΓΙΑΣ ΛΟΓΙΚΗ ΠΕΡΙΓΡΑΦΗ ΣΕ ΕΠΙΠΕ Ο ΠΥΛΗΣ ΕΚΤΙΜΗΣΗ ΚΑΘΥΣΤΕΡΗΣΗΣ ΕΞΟΜΟΙΩΣΗ ΣΕ ΕΠΙΠΕ Ο ΠΥΛΗΣ (VSS) ΧΩΡΗΤΙΚΟΤΗΤΕΣ ΚΟΜΒΩΝ ΕΚΤΙΜΗΣΗ ΙΣΧΥΟΣ ΑΡΙΘΜΟΣ ΜΕΤΑΒΑΣΕΩΝ ΚΟΜΒΩΝ (SWITCHING ACTIVITY) Ο αριθμός μεταβάσεων στους κόμβους προκύπτει από την εξομοίωση σε επίπεδο λογικής πύλης. Χρησιμοποιήθηκαν δείγματα εισόδου για την εκτίμηση ισχύος σε 16x16 bit πολλαπλασιαστές. 52

53 Μέση δυναμική ισχύς πολλαπλασιαστών Μέση ισχύς (mw) CSA WATBE WAT 53

54 Γινόμενο ισχύος-καθυστέρησης πολλαπλασιαστών Γινόμενο ισχύος-καθυστέρησης (pj) CSA WATBE WAT 54

55 Συμπεράσματα Αναλύθηκαν οι παράγοντες που επηρεάζουν την κατανάλωση ενέργειας και την καθυστέρηση των κυκλωμάτων CMOS και παρουσιάστηκαν μοντέλα για τον υπολογισμό τους. Αναλύθηκαν και αξιολογήθηκαν τεχνικές σχεδιασμού κυκλωμάτων CMOS ως προς την κατανάλωση ενέργειας, την καθυστέρηση και το μέγεθός τους. Τα αποτελέσματα της α- ξιολόγησης παράχθηκαν με βάση μετρήσεις που έγιναν σε αθροιστή 4-bit, και αναλύθηκαν με βάση στατιστική μέθοδο. Αποδείχθηκε ότι τα αριθμητικά κυκλώματα που βασίζονται σε τεχνικές σχεδιασμού με τρανζίστορ περάσματος (CPL, DPL) παρουσιάζουν καλύτερα χαρακτηριστικά σε ότι αφορά την κατανάλωση ενέργειας και το γινόμενο κατανάλωσης και καθυστέρησης. Μετά από ανάλυση και αξιολόγηση αρκετών τύπων αθροιστών που χρησιμοποιούνται συχνά σε αριθμητικούς επεξεργαστές, το συμπέρασμα ήταν ότι ο αθροιστής CLA ενδείκνυται για εφαρμογές υψηλής ταχύτητας και χαμηλής κατανάλωσης ιδιαίτερα για υψηλό αριθμό bits, ενώ και ο MCC είναι κατάλληλος σε περιπτώσεις μικρού αριθμού bits. Τέλος, αξιολογήθηκαν 3 τύποι πολλαπλασιαστών που επίσης χρησιμοποιούνται συχνά σε αριθμητικούς επεξεργαστές, και αποδείχθηκε ότι τα καλύτερα χαρακτηριστικά σε ότι αφορά το γινόμενο κατανάλωσης και καθυστέρησης παρουσιάζει ο πολλαπλασιαστής διάταξης πίνακα (Wallace) χωρίς κωδικοποίηση Booth. Με βάση τα συμπεράσματα της συνολικής μελέτης αναδεικνύονται οι παρεμβάσεις που μπορούν να γίνουν και οι αποφάσεις που πρέπει να ληφθούν στη φάση του σχεδιασμού αριθμητικών επεξεργαστών με σκοπό τη βελτίωση των χαρακτηριστικών τους σε ότι αφορά κυρίως την ταχύτητά τους και την ενέργεια που καταναλώνουν. 55

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ - VLSI Ενότητα: Συνδιαστικά κυκλώματα, βασικές στατικές λογικές πύλες, σύνθετες και δυναμικές πύλες Κυριάκης

Διαβάστε περισσότερα

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (9 η σειρά διαφανειών)

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (9 η σειρά διαφανειών) ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Δρ. Δ. Λαμπάκης (9 η σειρά διαφανειών) Διεργασίες Μικροηλεκτρονικής Τεχνολογίας, Οξείδωση, Διάχυση, Φωτολιθογραφία, Επιμετάλλωση, Εμφύτευση, Περιγραφή CMOS

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (8 η σειρά διαφανειών)

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (8 η σειρά διαφανειών) ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Δρ. Δ. Λαμπάκης (8 η σειρά διαφανειών) Τα μοντέρνα ψηφιακά κυκλώματα (λογικές πύλες, μνήμες, επεξεργαστές και άλλα σύνθετα κυκλώματα) υλοποιούνται σήμερα

Διαβάστε περισσότερα

Μικροηλεκτρονική - VLSI

Μικροηλεκτρονική - VLSI ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Μικροηλεκτρονική - VLSI Ενότητα 6.3: Συνδυαστική Λογική - Δυναμικές Πύλες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

Μικροηλεκτρονική - VLSI

Μικροηλεκτρονική - VLSI ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Μικροηλεκτρονική - VLSI Ενότητα 6.1: Συνδυαστική Λογική - Βασικές Πύλες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

Κεφάλαιο 9 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. CMOS Λογικές ομές 2

Κεφάλαιο 9 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. CMOS Λογικές ομές 2 ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων Συνδυαστική Λογική Κεφάλαιο 9 ο Τμήμα Μηχανικών Η/Υ και Πληροφορικής Γ. Τσιατούχας ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. Στατική CMOS λογική και λογική 2. Διαφορική λογική 3.

Διαβάστε περισσότερα

Ψηφιακά Ηλεκτρονικά. Μάθηµα 5ο.. Λιούπης

Ψηφιακά Ηλεκτρονικά. Μάθηµα 5ο.. Λιούπης Ψηφιακά Ηλεκτρονικά Μάθηµα 5ο. Λιούπης Τεχνολογία CMOS Υλοποιεί την πλειοψηφία των µοντέρνων ψηφιακών κυκλωµάτων λογικές πύλες µνήµες επεξεργαστές άλλα σύνθετα κυκλώµατα Συνδυάζει συµπληρωµατικά pmos και

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 5 η :

Διαβάστε περισσότερα

Βασικές CMOS Λογικές οικογένειες (CMOS και Domino)

Βασικές CMOS Λογικές οικογένειες (CMOS και Domino) Βασικές CMOS Λογικές οικογένειες (CMOS και Domino) CMOS Κάθε λογική πύλη αποτελείται από δύο τμήματα p-mos δικτύωμα, τοποθετείται μεταξύ τροφοδοσίας και εξόδου. Όταν είναι ενεργό φορτίζει την έξοδο στην

Διαβάστε περισσότερα

Πολυσύνθετες πύλες. Διάλεξη 11

Πολυσύνθετες πύλες. Διάλεξη 11 Πολυσύνθετες πύλες NMOS και CMOS Διάλεξη 11 Δομή της διάλεξης Εισαγωγή ΗσύνθετηλογικήNMOS ΗσύνθετηλογικήCMOS Η πύλη μετάδοσης CMOS Ασκήσεις 2 Πολυσύνθετες πύλες NMOS και CMOS Εισαγωγή 3 Εισαγωγή Στη λογική

Διαβάστε περισσότερα

Υ52 Σχεδίαση Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων. Δεληγιαννίδης Σταύρος Φυσικός, MsC in Microelectronic Design

Υ52 Σχεδίαση Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων. Δεληγιαννίδης Σταύρος Φυσικός, MsC in Microelectronic Design Υ52 Σχεδίαση Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων Δεληγιαννίδης Σταύρος Φυσικός, MsC in Microelectronic Design TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής Τ.Ε.

Διαβάστε περισσότερα

Ψηφιακά Ηλεκτρονικά. Μάθηµα 4ο.. Λιούπης

Ψηφιακά Ηλεκτρονικά. Μάθηµα 4ο.. Λιούπης Ψηφιακά Ηλεκτρονικά Μάθηµα 4ο. Λιούπης Λογική συζευγµένου εκποµπού Emitter-coupled logic (ECL) Χρησιµοποιούνται BJT transistor, µόνο στην ενεργή περιοχή Εµφανίζονται µικρές αλλαγές δυναµικού µεταξύ των

Διαβάστε περισσότερα

Ψηφιακά Ηλεκτρονικά. Μάθηµα 2ο.. Λιούπης

Ψηφιακά Ηλεκτρονικά. Μάθηµα 2ο.. Λιούπης Ψηφιακά Ηλεκτρονικά Μάθηµα 2ο. Λιούπης Transistor διπολικής επαφής (BJT) I B B C E I C Στα ψηφιακά κυκλώµατα χρησιµοποιείται κατά κύριο λόγο ως διακόπτης Στο σχήµαφαίνεταιένα τυπικό BJT τύπου NPN I B :

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 2 η :

Διαβάστε περισσότερα

Υ52 Σχεδίαση Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων 6: Ταχύτητα Κατανάλωση Ανοχή στον Θόρυβο

Υ52 Σχεδίαση Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων 6: Ταχύτητα Κατανάλωση Ανοχή στον Θόρυβο Υ52 Σχεδίαση Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων 6: Ταχύτητα Κατανάλωση Ανοχή στον Θόρυβο Γιάννης Λιαπέρδος TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ Εισαγωγή

Διαβάστε περισσότερα

Ψηφιακή Λογική και Σχεδίαση

Ψηφιακή Λογική και Σχεδίαση Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 26-7 Ψηφιακή Λογική και Σχεδίαση (σχεδίαση συνδυαστικών κυκλωμάτων) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Το τρανζίστορ

Διαβάστε περισσότερα

7 η διάλεξη Ακολουθιακά Κυκλώματα

7 η διάλεξη Ακολουθιακά Κυκλώματα 7 η διάλεξη Ακολουθιακά Κυκλώματα 1 2 3 4 5 6 7 Παραπάνω βλέπουμε ακολουθιακό κύκλωμα σχεδιασμένο με μανταλωτές διαφορετικής φάσης. Παρατηρούμε ότι συνδυαστική λογική μπορεί να προστεθεί μεταξύ και των

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Οικογένειες Ολοκληρωμένων Κυκλωμάτων Ψηφιακής Λογικής

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Οικογένειες Ολοκληρωμένων Κυκλωμάτων Ψηφιακής Λογικής Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Οικογένειες Ολοκληρωμένων Κυκλωμάτων Ψηφιακής Λογικής Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Περιεχόμενα Βασικά ηλεκτρικά χαρακτηριστικά

Διαβάστε περισσότερα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I Επιμέλεια: Γεώργιος Θεοδωρίδης, Επίκουρος Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Άδειες Χρήσης

Διαβάστε περισσότερα

Ψηφιακά Κυκλώματα (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική

Ψηφιακά Κυκλώματα (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική Ψηφιακά Κυκλώματα ( ο μέρος) ΜΥΥ-6 Εισαγωγή στους Η/Υ και στην Πληροφορική Ψηφιακά κυκλώματα Οι δύο λογικές τιμές, αντιστοιχούν σε ηλεκτρικές τάσεις Υλοποιούνται με τρανζίστορ ή διόδους: ελεγχόμενοι διακόπτες

Διαβάστε περισσότερα

Καθυστέρηση στατικών πυλών CMOS

Καθυστέρηση στατικών πυλών CMOS Καθυστέρηση στατικών πυλών CMOS Πρόχειρες σημειώσεις Γιώργος Δημητρακόπουλος Τμήμα Επιστήμης Υπολογιστών Πανεπιστήμιο Κρήτης Άνοιξη 2008 Παρόλο που οι εξισώσεις των ρευμάτων των MOS τρανζίστορ μας δίνουν

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 3 η Ο ΑΝΤΙΣΤΡΟΦΕΑΣ CMOS

ΑΣΚΗΣΗ 3 η Ο ΑΝΤΙΣΤΡΟΦΕΑΣ CMOS ΑΣΚΗΣΗ 3 η Ο ΑΝΤΙΣΤΡΟΦΕΑΣ CMOS ΘΕΩΡΙΑ Οι ασκήσεις 3 και 4 αφορούν τον αντιστροφέα CMOS, ο οποίος είναι η απλούστερη αλ α ταυτόχρονα και σημαντικότερη πύλη για την κατανόηση της λειτουργίας των Ολοκληρωμένων

Διαβάστε περισσότερα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 2 η Εργαστηριακή Άσκηση Μελέτη των Παρασιτικών Χωρητικοτήτων και της Καθυστέρησης στα Κυκλώματα CMOS Άδειες Χρήσης Το παρόν υλικό διατίθεται με τους όρους της

Διαβάστε περισσότερα

Εργαστηριακή άσκηση. Θεωρητικός και πρακτικός υπολογισμός καθυστερήσεων σε αναστροφείς CMOS VLSI

Εργαστηριακή άσκηση. Θεωρητικός και πρακτικός υπολογισμός καθυστερήσεων σε αναστροφείς CMOS VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

i Το τρανζίστορ αυτό είναι τύπου NMOS. Υπάρχει και το συμπληρωματικό PMOS. ; Τι συμβαίνει στο τρανζίστορ PMOS; Το τρανζίστορ MOS(FET)

i Το τρανζίστορ αυτό είναι τύπου NMOS. Υπάρχει και το συμπληρωματικό PMOS. ; Τι συμβαίνει στο τρανζίστορ PMOS; Το τρανζίστορ MOS(FET) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 25-6 Το τρανζίστορ MOS(FET) πύλη (gate) Ψηφιακή και Σχεδίαση πηγή (source) καταβόθρα (drai) (σχεδίαση συνδυαστικών κυκλωμάτων) http://di.ioio.gr/~mistral/tp/comparch/

Διαβάστε περισσότερα

Συστήματα σε Ολοκληρωμένα Κυκλώματα

Συστήματα σε Ολοκληρωμένα Κυκλώματα Συστήματα σε Ολοκληρωμένα Κυκλώματα Κεφάλαιο 2: Τεχνικές για Σχεδιασμό Χαμηλής Κατανάλωσης Ισχύος στα MPSoCs Διδάσκων: Καθηγητής Οδυσσέας Κουφοπαύλου Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών

Διαβάστε περισσότερα

Εργαστηριακή άσκηση. Κανόνες σχεδίασης και κατασκευαστικές λεπτομέρειες στη σχεδίασης μασκών (layout) και προσομοίωσης κυκλώματος VLSI

Εργαστηριακή άσκηση. Κανόνες σχεδίασης και κατασκευαστικές λεπτομέρειες στη σχεδίασης μασκών (layout) και προσομοίωσης κυκλώματος VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I Επιμέλεια: Γεώργιος Θεοδωρίδης, Επίκουρος Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σημείωμα

Διαβάστε περισσότερα

4/10/2008. Στατικές πύλες CMOS και πύλες με τρανζίστορ διέλευσης. Πραγματικά τρανζίστορ. Ψηφιακή λειτουργία. Κανόνες ψηφιακής λειτουργίας

4/10/2008. Στατικές πύλες CMOS και πύλες με τρανζίστορ διέλευσης. Πραγματικά τρανζίστορ. Ψηφιακή λειτουργία. Κανόνες ψηφιακής λειτουργίας 2 η διάλεξη 25 Σεπτεμβρίου Πραγματικά τρανζίστορ Στατικές πύλες CMOS και πύλες με τρανζίστορ διέλευσης Γιώργος Δημητρακόπουλος Τμήμα Επιστήμης Υπολογιστών Πανεπιστήμιο Κρήτης Η τάση στο gate του τρανζίστορ

Διαβάστε περισσότερα

Λογικά Κυκλώματα CMOS. Διάλεξη 5

Λογικά Κυκλώματα CMOS. Διάλεξη 5 Λογικά Κυκλώματα CMOS Διάλεξη 5 Δομή της διάλεξης Εισαγωγή Η τεχνολογία αντιστροφέων CMOS Λειτουργία του κυκλώματος Χαρακτηριστική μεταφοράς τάσης Περιθώρια θορύβου Κατανάλωση ισχύος Οι πύλες CMOS NOR

Διαβάστε περισσότερα

Υλοποίηση λογικών πυλών µε τρανζίστορ MOS. Εισαγωγή στην Ηλεκτρονική

Υλοποίηση λογικών πυλών µε τρανζίστορ MOS. Εισαγωγή στην Ηλεκτρονική Υλοποίηση λογικών πυλών µε τρανζίστορ MOS Εισαγωγή στην Ηλεκτρονική Λογική MOS Η αναπαράσταση των λογικών µεταβλητών 0 και 1 στα ψηφιακά κυκλώµατα γίνεται µέσω κατάλληλων επιπέδων τάσης, όπου κατά σύµβαση

Διαβάστε περισσότερα

Μνήμες RAM. Διάλεξη 12

Μνήμες RAM. Διάλεξη 12 Μνήμες RAM Διάλεξη 12 Δομή της διάλεξης Εισαγωγή Κύτταρα Στατικής Μνήμης Κύτταρα Δυναμικής Μνήμης Αισθητήριοι Ενισχυτές Αποκωδικοποιητές Διευθύνσεων Ασκήσεις 2 Μνήμες RAM Εισαγωγή 3 Μνήμες RAM RAM: μνήμη

Διαβάστε περισσότερα

.Λιούπης. Ψηφιακά Ηλεκτρονικά Ακεραιότητα Ψηφιακού Σήµατος 1

.Λιούπης. Ψηφιακά Ηλεκτρονικά Ακεραιότητα Ψηφιακού Σήµατος 1 Ψηφιακά Ηλεκτρονικά Ακεραιότητα Ψηφιακού Σήµατος.Λιούπης Ψηφιακά Ηλεκτρονικά Ακεραιότητα Ψηφιακού Σήµατος 1 Ακεραιότητα Ψηφιακού Σήµατος Θόρυβος και ηλεκτροµαγνητικές παρεµβολές Μοντέρνα ψηφιακά κυκλώµατα

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (5 η σειρά διαφανειών)

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (5 η σειρά διαφανειών) ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Δρ. Δ. Λαμπάκης (5 η σειρά διαφανειών) Τρανζίστορ διπολικής επαφής (Bipolar Junction Transistor BJT) Στα ψηφιακά κυκλώματα αυτό το τρανζίστορ χρησιμοποιείται

Διαβάστε περισσότερα

Λογικά Κυκλώματα με Διόδους, Αντιστάσεις και BJTs. Διάλεξη 2

Λογικά Κυκλώματα με Διόδους, Αντιστάσεις και BJTs. Διάλεξη 2 Λογικά Κυκλώματα με Διόδους, Αντιστάσεις και BJTs Διάλεξη 2 Δομή της διάλεξης Επανάληψη άλγεβρας Boole Λογική με διόδους Λογική Αντιστάσεων-Τρανζίστορ (Resistor-Transistor Logic ή RTL) Λογική Διόδων-Τρανζίστορ

Διαβάστε περισσότερα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 4 η Εργαστηριακή Άσκηση

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 4 η Εργαστηριακή Άσκηση Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 4 η Εργαστηριακή Άσκηση Σχεδιασμός Πολύπλοκων Κυκλωμάτων CMOS και Μελέτη της Καθυστέρησης Εξόδου (Critical Path Delay) Άδειες Χρήσης Το παρόν υλικό διατίθεται

Διαβάστε περισσότερα

Κυκλώµατα CMOS και Λογική Σχεδίαση 2

Κυκλώµατα CMOS και Λογική Σχεδίαση 2 5 η Θεµατική Ενότητα : Κυκλώµατα CMOS και Λογική Σχεδίαση Επιµέλεια διαφανειών:. Μπακάλης Σχεδίαση Λογικών Πυλών CMOS Παράγοντες που µπορούν να οδηγήσουν µία λογική πύλη CMOS σε λανθασµένη λειτουργία:

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ - VLSI Ενότητα: Ο Αντιστροφέας CMOS Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. 1 Άδειες

Διαβάστε περισσότερα

Actual Chip Specification

Actual Chip Specification Actual Chip Specification May 12, 215 Nikos Moschopoulos, 2 Arithmetic Circuits Usage CPU: Fast GPU: Matrix Multiplication, MAC Crypto & PKC: modulo multiplication, addition SP: s, MAC NAN: Error Code

Διαβάστε περισσότερα

ΣΧΕ ΙΑΣΜΟΣ ΟΛΟΚΛΗΡΩΜΕΝΩΝ

ΣΧΕ ΙΑΣΜΟΣ ΟΛΟΚΛΗΡΩΜΕΝΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΣΧΕ ΙΑΣΜΟΣ ΟΛΟΚΛΗΡΩΜΕΝΩΝ ΚΥΚΛΩΜΑΤΩΝ Ι ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ Λάµπρος Μπισδούνης Πάτρα 1996 ΠΕΡΙΕΧΟΜΕΝΑ 1. Σχεδιασµός και εξοµοίωση

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΠΕΛΟΠΟΝΝΗΣΟΥ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Τ.Ε. ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ.

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΠΕΛΟΠΟΝΝΗΣΟΥ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Τ.Ε. ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ. ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΠΕΛΟΠΟΝΝΗΣΟΥ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ Γιάννης Λιαπέρδος 2 ΑΝΤΙΚΕΙΜΕΝΟ ΤΗΣ ΔΙΑΛΕΞΗΣ Άλγεβρα Διακοπτών Κυκλωματική Υλοποίηση Λογικών Πυλών με Ηλεκτρονικά

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΤΕΧΝΟΛΟΓΙΑΣ MOS KAI CMOS

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΤΕΧΝΟΛΟΓΙΑΣ MOS KAI CMOS Εισαγωγή στη Μικροηλεκτρονική (ΕΤΥ-482) 1 ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΤΕΧΝΟΛΟΓΙΑΣ MOS KAI CMOS Α. Αναστροφέας MOSFET. Α.1 Αναστροφέας MOSFET µε φορτίο προσαύξησης. Ο αναστροφέας MOSFET (πύλη NOT) αποτελείται από

Διαβάστε περισσότερα

Ενότητα 3 ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ

Ενότητα 3 ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ Ενότητα 3 ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ Γενικές Γραμμές Οικογένειες Ψηφιακής Λογικής Τάση τροφοδοσίας Λογικά επίπεδα - Περιθώριo θορύβου Χρόνος μετάβασης Καθυστέρηση διάδοσης Κατανάλωση ισχύος Γινόμενο

Διαβάστε περισσότερα

5.1 Θεωρητική εισαγωγή

5.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 5 ΚΩ ΙΚΟΠΟΙΗΣΗ BCD Σκοπός: Η κατανόηση της µετατροπής ενός τύπου δυαδικής πληροφορίας σε άλλον (κωδικοποίηση/αποκωδικοποίηση) µε τη µελέτη της κωδικοποίησης BCD

Διαβάστε περισσότερα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 3 η Εργαστηριακή Άσκηση

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 3 η Εργαστηριακή Άσκηση Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 3 η Εργαστηριακή Άσκηση Μελέτη της Κατανάλωσης Ενέργειας και Φυσικός Σχεδιασμός Πυλών CMOS Πολύπλοκης Λογικής Άδειες Χρήσης Το παρόν υλικό διατίθεται με τους

Διαβάστε περισσότερα

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες Πρώτο Κεφάλαιο Εισαγωγή στα Ψηφιακά Συστήματα 1.1 Αναλογικά και Ψηφιακά Σήματα και Συστήματα... 1 1.2 Βασικά Ψηφιακά Κυκλώματα... 3 1.3 Ολοκληρωμένα κυκλώματα... 4 1.4 Τυπωμένα κυκλώματα... 7 1.5 Εργαλεία

Διαβάστε περισσότερα

4 η ενότητα ΕΝΙΣΧΥΤΕΣ ΠΟΛΛΩΝ ΒΑΘΜΙΔΩΝ

4 η ενότητα ΕΝΙΣΧΥΤΕΣ ΠΟΛΛΩΝ ΒΑΘΜΙΔΩΝ ρ. Λάμπρος Μπισδούνης Καθηγητής 4 η ενότητα ΕΝΙΣΧΥΤΕΣ ΠΟΛΛΩΝ ΒΑΘΜΙΔΩΝ T..I. ΥΤΙΚΗΣ ΕΛΛΑ ΑΣ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ Τ.Ε. Περιεχόμενα 4 ης ενότητας Στην τέταρτη ενότητα θα μελετήσουμε τους ενισχυτές

Διαβάστε περισσότερα

Ψηφιακά Ηλεκτρονικά. Μάθηµα 6ο.. Λιούπης

Ψηφιακά Ηλεκτρονικά. Μάθηµα 6ο.. Λιούπης Ψηφιακά Ηλεκτρονικά Μάθηµα 6ο. Λιούπης Κίνδυνοι για ένα ολοκληρωµένο CMOS Ηλεκτροστατική εκκένωση (electrostatic discharge ESD) ανταλλαγή στατικών φορτίων και δηµιουργία σπινθήρα, όταν πλησιάσουν δύο σώµατα

Διαβάστε περισσότερα

Μικροηλεκτρονική - VLSI

Μικροηλεκτρονική - VLSI ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Μικροηλεκτρονική - VLSI Ενότητα 5: Αντιστροφέας CMOS Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες Χρήσης

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (2 η σειρά διαφανειών)

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (2 η σειρά διαφανειών) ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Δρ. Δ. Λαμπάκης (2 η σειρά διαφανειών) Τα ψηφιακά ηλεκτρονικά κυκλώματα χωρίζονται σε κατηγορίες ( λογικές οικογένειες ) ανάλογα με την τεχνολογία κατασκευής

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 6 η :

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ

ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ ΘΕΩΡΙΑ 1. Εργαλεία εξομοίωσης, SPICE, αρχεία περιγραφής κυκλωμάτων (netlist) (Παρ. 3.4, σελ 152-155) 2. To transistor ως διακόπτης, πύλη διέλευσης. (Παρ

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗΣ

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗΣ Εισαγωγή στη Μικροηλεκτρονική (ΕΤΥ-482) 1 ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗΣ A. Πίνακες αληθείας λογικών πυλών. Στη θετική λογική το λογικό 0 παριστάνεται µε ένα χαµηλό δυναµικό, V L, ενώ το λογικό 1

Διαβάστε περισσότερα

4.2 Αναπαράσταση δυαδικών τιμών στα ψηφιακά κυκλώματα

4.2 Αναπαράσταση δυαδικών τιμών στα ψηφιακά κυκλώματα ΚΕΦΑΛΑΙΟ 4 ΤΕΧΝΟΛΟΓΙΕΣ ΥΛΟΠΟΙΗΣΗΣ 4.1 Εισαγωγή Για την υλοποίηση των λογικών πυλών χρησιμοποιήθηκαν αρχικά ηλεκτρονικές λυχνίες κενού και στη συνέχεια κρυσταλλοδίοδοι και διπολικά τρανζίστορ. Τα ολοκληρωμένα

Διαβάστε περισσότερα

Εισαγωγή στα κυκλώµατα CMOS 2

Εισαγωγή στα κυκλώµατα CMOS 2 1 η Θεµατική Ενότητα : Εισαγωγή στα κυκλώµατα CMOS Επιµέλεια διαφανειών:. Μπακάλης Εισαγωγή Τεχνολογία CMOS = Complementary Metal Oxide Semiconductor Συµπληρωµατικού Ηµιαγωγού Μετάλλου Οξειδίου Αποτελείται

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ

ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΟΛΟΚΛΗΡΩΜΕΝΩΝ ΚΥΚΛΩΜΑΤΩΝ ΚΑΙ ΣΥΣΤΗΜΑΤΩΝ ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ ΑΣΚΗΣΗ 1η: ΜΕΛΕΤΗ ΤΟΥ MOSFET Σκοπός της άσκησης Στην άσκηση αυτή θα μελετήσουμε το τρανζίστορ τύπου MOSFET και τη λειτουργία

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 7. ΘΕΜΑ 1ο MINORITY A B C. C out

ΑΣΚΗΣΗ 7. ΘΕΜΑ 1ο MINORITY A B C. C out ΑΣΚΗΣΗ 7 ΘΕΜΑ 1ο MINORITY A B C C out S S C out C OUT = MAJ(A,B,C) = Majority(A,B,C) = 1 when at least 2 (majority) of A, B, and C are equal to 1. Opposite Minority MAJ(A,B,C) = AB + BC + AC (PMOS and

Διαβάστε περισσότερα

ΣΤΑΤΙΚΕΣ ΚΑΙ ΔΥΝΑΜΙΚΕΣ ΜΝΗΜΕΣ ΤΥΧΑΙΑΣ ΠΡΟΣΠΕΛΑΣΗΣ (Static and Dynamic RAMs). ΔΙΑΡΘΡΩΣΗ ΤΟΥ ΜΑΘΗΜΑΤΟΣ ΘΕΜΑΤΙΚΕΣ ΕΝΟΤΗΤΕΣ

ΣΤΑΤΙΚΕΣ ΚΑΙ ΔΥΝΑΜΙΚΕΣ ΜΝΗΜΕΣ ΤΥΧΑΙΑΣ ΠΡΟΣΠΕΛΑΣΗΣ (Static and Dynamic RAMs). ΔΙΑΡΘΡΩΣΗ ΤΟΥ ΜΑΘΗΜΑΤΟΣ ΘΕΜΑΤΙΚΕΣ ΕΝΟΤΗΤΕΣ ΣΤΑΤΙΚΕΣ ΚΑΙ ΔΥΝΑΜΙΚΕΣ ΜΝΗΜΕΣ ΤΥΧΑΙΑΣ ΠΡΟΣΠΕΛΑΣΗΣ (Static and Dynamic RAMs). ΔΙΑΡΘΡΩΣΗ ΤΟΥ ΜΑΘΗΜΑΤΟΣ ΘΕΜΑΤΙΚΕΣ ΕΝΟΤΗΤΕΣ ΒΑΣΙΚΑ ΣΤΟΙΧΕΙΑ ΗΜΙΑΓΩΓΙΚΩΝ ΜΝΗΜΩΝ. ΒΑΣΙΚΗ ΛΕΙΤΟΥΡΓΙΑ RAM CMOS. ΤΥΠΟΙ ΚΥΤΤΑΡΩΝ ΑΡΧΕΣ

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ : Κ. ΠΕΚΜΕΣΤΖΗ

ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ : Κ. ΠΕΚΜΕΣΤΖΗ ΠΡΑΞΕΙΣ ΜΕ ΠΡΟΣΗΜΑΣΜΕΝΟΥΣ ΑΡΙΘΜΟΥΣ ΚΥΚΛΩΜΑΤΙΚΕΣ ΕΦΑΡΜΟΓΕΣ ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ & ΠΑΡΑΣΤΑΣΗ ΑΡΙΘΜΩΝ Συμπλήρωμα ως προς 2 Booth, Modified Booth Reduntant αριθμητικά συστήματα Signed Digit αριθμητική Κανονική

Διαβάστε περισσότερα

7 η διάλεξη Ακολουθιακά Κυκλώματα

7 η διάλεξη Ακολουθιακά Κυκλώματα 7 η διάλεξη Ακολουθιακά Κυκλώματα 1 2 3 4 5 Παραπάνω παρουσιάζεται ο πιο συνήθης χωροθέτηση αριθμητικών, λογικών κυκλωμάτων. Η μονάδα επεξεργασίας είναι η λέξη (λ.χ. 32-bit σε επεξεργαστές, 8-bit σε DSP)

Διαβάστε περισσότερα

Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level)

Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level) Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level) Απαντήσεις 1. Η παραγγελία είναι σάντουιτς ή ένα σουβλάκι και τηγανητές πατάτες η οποία μπορεί να αναλυθεί ως σάντουιτς ή (σουβλάκι και τηγανητές πατάτες)

Διαβάστε περισσότερα

Τρίτο Σετ Φροντιστηριακών ασκήσεων Ψηφιακών Ηλεκτρονικών. Δρ. Χ. Μιχαήλ

Τρίτο Σετ Φροντιστηριακών ασκήσεων Ψηφιακών Ηλεκτρονικών. Δρ. Χ. Μιχαήλ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ Η/Υ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ Τρίτο Σετ Φροντιστηριακών ασκήσεων Ψηφιακών Ηλεκτρονικών Δρ. Χ. Μιχαήλ Πάτρα, 2010 ΑΣΚΗΣΗ 1 Ένας μικροεπεξεργαστής πρέπει να οδηγήσει ένα δίαυλο

Διαβάστε περισσότερα

Κεφάλαιο 3. Λογικές Πύλες

Κεφάλαιο 3. Λογικές Πύλες Κεφάλαιο 3 Λογικές Πύλες 3.1 Βασικές λογικές πύλες Τα ηλεκτρονικά κυκλώματα που εκτελούν τις βασικές πράξεις της Άλγεβρας Boole καλούνται λογικές πύλες.κάθε τέτοια πύλη δέχεται στην είσοδό της σήματα με

Διαβάστε περισσότερα

ΗΜΥ-210: Λογικός Σχεδιασμός Εαρινό Εξάμηνο Κυκλώματα CMOS. Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

ΗΜΥ-210: Λογικός Σχεδιασμός Εαρινό Εξάμηνο Κυκλώματα CMOS. Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών ΗΜΥ-210: Λογικός Σχεδιασμός Εαρινό Εξάμηνο 2005 Κυκλώματα CMOS Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Κυκλώματα CMOS Περίληψη Τρανζίστορ και μοντέλα διακόπτη ίκτυα CMOS

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 5: Το CMOS transistor και κυκλώµατα CMOS ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη q Κυκλώµατα

Διαβάστε περισσότερα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I Επιμέλεια: Γεώργιος Θεοδωρίδης, Επίκουρος Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σημείωμα

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ. ΜΑΘΗΜΑ 2 ο. ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ

ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ. ΜΑΘΗΜΑ 2 ο. ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ ΜΑΘΗΜΑ 2 ο ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ 2009-10 ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ 1 Άλγεβρα Βοοle η θεωρητική βάση των λογικών κυκλωμάτων Η άλγεβρα Βοοle ορίζεται επάνω στο σύνολο

Διαβάστε περισσότερα

Ψηφιακά Συστήματα VLSI

Ψηφιακά Συστήματα VLSI Ψηφιακά Συστήματα VLSI. ΑΡΙΘΜΗΤΙΚΑ ΚΥΚΛΩΜΑΤΑ VLSI Αθροιστές, Πολλαπλασιαστές (Σειριακοί- Παράλληλοι). ΠΡΑΞΕΙΣ ΜΕ ΠΡΟΣΗΜΑΣΜΕΝΟΥΣ ΑΡΙΘΜΟΥΣ Συμπλήρωμα ως προς, Αφαιρέτες, Booth, Modified Booth, αριθμητικά

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ 1) Οι απαριθμητές ή μετρητές (counters) είναι κυκλώματα που

Διαβάστε περισσότερα

Σύνθεση για χαµηλή κατανάλωση

Σύνθεση για χαµηλή κατανάλωση Σύνθεση για χαµηλή κατανάλωση Κατανάλωση στην CMOS τεχνολογία Vdd Vdd Vdd In Out 0 1 1 0 Gnd Gnd Gnd Λειτουργία αντιστροφέα σε συνάρτηση της εισόδου του. Σύνθεση Χαµηλής Κατανάλωσης 2 Κατανάλωση στην CMOS

Διαβάστε περισσότερα

Ενότητα 9 ΑΡΙΘΜΗΤΙΚΑ & ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ

Ενότητα 9 ΑΡΙΘΜΗΤΙΚΑ & ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ Ενότητα 9 ΑΡΙΘΜΗΤΙΚΑ & ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ Γενικές Γραμμές Προσημασμένοι Ακέραιοι Δυαδικοί Αριθμοί Ημιαθροιστής - Ημιαφαιρέτης Πλήρης Αθροιστής - Πλήρης Αφαιρέτης Αθροιστής Διάδοσης Κρατούμενου Επαναληπτικές

Διαβάστε περισσότερα

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 1) Να μετατρέψετε τον δεκαδικό αριθμό (60,25) 10, στον αντίστοιχο δυαδικό 11111,11 111001,01 111100,01 100111,1 111100,01 2)

Διαβάστε περισσότερα

Τρανζίστορ διπολικής επαφής (BJT)

Τρανζίστορ διπολικής επαφής (BJT) Πανεπιστήμιο Πατρών Τμήμα Μηχανικών Ηλεκτρονικών Υπολογιστών & Πληροφορικής Μάθημα: Βασικά Ηλεκτρονικά Τρανζίστορ διπολικής επαφής (BJT) Εργασία του Βασίλη Σ. Βασιλόπουλου Χειμερινό Εξάμηνο 2017-18 Πηγή:

Διαβάστε περισσότερα

Δεύτερο Σετ Φροντιστηριακών ασκήσεων Ψηφιακών Ηλεκτρονικών. Δρ. Χ. Μιχαήλ

Δεύτερο Σετ Φροντιστηριακών ασκήσεων Ψηφιακών Ηλεκτρονικών. Δρ. Χ. Μιχαήλ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ Η/Υ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ Δεύτερο Σετ Φροντιστηριακών ασκήσεων Ψηφιακών Ηλεκτρονικών Δρ. Χ. Μιχαήλ Πάτρα, 2009 ΑΣΚΗΣΗ 1 Αναλύστε τι ισχύει για την πύλη DTL του Σχ.1, ανάλογα

Διαβάστε περισσότερα

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 28 Αριθμητικές Συναρτήσεις και Κυκλώματα Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Πρόσθεση

Διαβάστε περισσότερα

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f.

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f. 6. Καταχωρητές Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f. Καταχωρητής 4 ψηφίων Καταχωρητής με παράλληλη φόρτωση Η εισαγωγή

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2018-2019 Ροή Σχεδίασης Κυκλωμάτων και Εργαλεία CAD ΗΥ220 - Βασίλης Παπαευσταθίου 1 Transistor: Δομική μονάδα κυκλωμάτων Τα ολοκληρωμένα κυκλώματα

Διαβάστε περισσότερα

4.1 Θεωρητική εισαγωγή

4.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 4 ΥΑ ΙΚΟΣ ΑΘΡΟΙΣΤΗΣ-ΑΦΑΙΡΕΤΗΣ Σκοπός: Να µελετηθούν αριθµητικά κυκλώµατα δυαδικής πρόσθεσης και αφαίρεσης. Να σχεδιαστούν τα κυκλώµατα από τους πίνακες αληθείας

Διαβάστε περισσότερα

6 η διάλεξη Σχεδίαση και Υλοποίηση Συνδυαστικών Κυκλωμάτων σε επίπεδο Τρανζίστορ

6 η διάλεξη Σχεδίαση και Υλοποίηση Συνδυαστικών Κυκλωμάτων σε επίπεδο Τρανζίστορ 6 η διάλεξη Σχεδίαση και Υλοποίηση Συνδυαστικών Κυκλωμάτων σε επίπεδο Τρανζίστορ 1 2 Οποιοδήποτε κύκλωμα εμπεριέχει την έννοια της τρέχουσας κατάστασης είναι ακολουθιακό. Έτσι, κυκλώματα όπως ΜΠΚ, καταχωρητές,

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (11 η σειρά διαφανειών)

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (11 η σειρά διαφανειών) ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Δρ. Δ. Λαμπάκης (11 η σειρά διαφανειών) Μελέτη των Παρασιτικών Χωρητικοτήτων και της Καθυστέρησης στα Κυκλώματα CMOS Με βάση το εργαλείο σχεδιασμού Microwind

Διαβάστε περισσότερα

2 η ενότητα ΤΑ ΤΡΑΝΖΙΣΤΟΡ ΣΤΙΣ ΥΨΗΛΕΣ ΣΥΧΝΟΤΗΤΕΣ

2 η ενότητα ΤΑ ΤΡΑΝΖΙΣΤΟΡ ΣΤΙΣ ΥΨΗΛΕΣ ΣΥΧΝΟΤΗΤΕΣ ρ. Λάμπρος Μπισδούνης Καθηγητής 2 η ενότητα ΤΑ ΤΡΑΝΖΙΣΤΟΡ ΣΤΙΣ ΥΨΗΛΕΣ ΣΥΧΝΟΤΗΤΕΣ T.E.I. ΥΤΙΚΗΣ ΕΛΛΑ ΑΣ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ Τ.Ε. 1 Περιεχόμενα 2 ης ενότητας Στην δεύτερη ενότητα θα ασχοληθούμε

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2017-2018 Ροή Σχεδίασης Κυκλωμάτων και Εργαλεία CAD ΗΥ220 - Γιώργος Καλοκαιρινός & Βασίλης Παπαευσταθίου 1 Transistor: Δομική μονάδα κυκλωμάτων Τα

Διαβάστε περισσότερα

Λογικά Κυκλώματα NMOS. Διάλεξη 4

Λογικά Κυκλώματα NMOS. Διάλεξη 4 Λογικά Κυκλώματα NMOS Διάλεξη 4 Δομή της διάλεξης Η Σχεδίαση του Αντιστροφέα NMOS με Ωμικό Φόρτο Η Στατική Σχεδίαση του Αντιστροφέα NMOS με Κορεσμένο Φόρτο ΟΑντιστροφέαςΝMOS με Γραμμικό Φόρτο ΟΑντιστροφέαςΝMOS

Διαβάστε περισσότερα

Low Power. Οργάνωση Παρουσίασης. ηµήτρης Μητροβγένης ηµήτρης Κασερίδης Μαρίνος Σαµψών VLSI II ΠΑΤΡΑ 2004

Low Power. Οργάνωση Παρουσίασης. ηµήτρης Μητροβγένης ηµήτρης Κασερίδης Μαρίνος Σαµψών VLSI II ΠΑΤΡΑ 2004 Low Power ηµήτρης Μητροβγένης ηµήτρης Κασερίδης Μαρίνος Σαµψών VLSI II ΠΑΤΡΑ 004 Low Power 1 Οργάνωση Παρουσίασης Ηανάγκη για χαµηλή ισχύ (Low Power) Πηγές Κατανάλωσης ισχύος Τεχνικές Βελτιστοποίησης Κατανάλωσης

Διαβάστε περισσότερα

Πράξεις με δυαδικούς αριθμούς

Πράξεις με δυαδικούς αριθμούς Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 25-6 Πράξεις με δυαδικούς αριθμούς (λογικές πράξεις) http://di.ionio.gr/~mistral/tp/csintro/ Μ.Στεφανιδάκης Εκτέλεση πράξεων

Διαβάστε περισσότερα

Εργαστηριακή άσκηση. Θεωρητικός και πρακτικός υπολογισμός καθυστερήσεων σε λογικά δίκτυα πολλών σταδίων

Εργαστηριακή άσκηση. Θεωρητικός και πρακτικός υπολογισμός καθυστερήσεων σε λογικά δίκτυα πολλών σταδίων Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

Άσκηση 10 Στοιχεία ηλεκτρονικής τεχνολογίας

Άσκηση 10 Στοιχεία ηλεκτρονικής τεχνολογίας Άσκηση 10 Στοιχεία ηλεκτρονικής τεχνολογίας ΔΙΟΔΟΣ Οι περισσότερες ηλεκτρονικές συσκευές όπως οι τηλεοράσεις, τα στερεοφωνικά συγκροτήματα και οι υπολογιστές χρειάζονται τάση dc για να λειτουργήσουν σωστά.

Διαβάστε περισσότερα

Εκτέλεση πράξεων. Ψηφιακά Ηλεκτρονικά και Δυαδική Λογική. Πράξεις με δυαδικούς αριθμούς. Πράξεις με δυαδικούς αριθμούς

Εκτέλεση πράξεων. Ψηφιακά Ηλεκτρονικά και Δυαδική Λογική. Πράξεις με δυαδικούς αριθμούς. Πράξεις με δυαδικούς αριθμούς Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 24-5 Πράξεις με δυαδικούς αριθμούς (λογικές πράξεις) http://di.ionio.gr/~mistral/tp/csintro/ Μ.Στεφανιδάκης ; Ποιες κατηγορίες

Διαβάστε περισσότερα

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Μετρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Μετρητής Ριπής Σύγχρονος υαδικός

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Μετρητές 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Μετρητές 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Μετρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Μετρητής Ριπής Σύγχρονος υαδικός Μετρητής

Διαβάστε περισσότερα

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I Επιμέλεια: Γεώργιος Θεοδωρίδης, Επίκουρος Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σημείωμα

Διαβάστε περισσότερα

Καθυστέρηση αντιστροφέα και λογικών πυλών CMOS. Εισαγωγή στην Ηλεκτρονική

Καθυστέρηση αντιστροφέα και λογικών πυλών CMOS. Εισαγωγή στην Ηλεκτρονική Καθυστέρηση αντιστροφέα και λογικών πυλών MOS Εισαγωγή στην Ηλεκτρονική Ορισµοί καθυστέρησης λογικών πυλών MOS Καθυστερήσεις διάδοσης (propagaion delays) εισόδουεξόδου: Καθυστέρηση ανόδου ph : η διαφορά

Διαβάστε περισσότερα

Τα τρανζίστορ επίδρασης πεδίου (FET) Σπύρος Νικολαΐδης Αναπληρωτής Καθηγητής Τομέας Ηλεκτρονικής & ΗΥ Τμήμα Φυσικής

Τα τρανζίστορ επίδρασης πεδίου (FET) Σπύρος Νικολαΐδης Αναπληρωτής Καθηγητής Τομέας Ηλεκτρονικής & ΗΥ Τμήμα Φυσικής Τα τρανζίστορ επίδρασης πεδίου (FET) Σπύρος Νικολαΐδης Αναπληρωτής Καθηγητής Τομέας Ηλεκτρονικής & ΗΥ Τμήμα Φυσικής Τα τρανζίστορ επίδρασης πεδίου Τα πιο βασικά στοιχεία δομής των ηλεκτρονικών κυκλωμάτων

Διαβάστε περισσότερα

HY430 Εργαστήριο Ψηφιακών Κυκλωμάτων.

HY430 Εργαστήριο Ψηφιακών Κυκλωμάτων. HY430 Εργαστήριο Ψηφιακών Κυκλωμάτων Διδάσκων: Χ. Σωτηρίου, Βοηθός: (θα ανακοινωθεί) http://inf-server.inf.uth.gr/courses/ce430/ 1 Περιεχόμενα Κυκλώματα Πρόσθεσης Half-adder Full-Adder Σειριακό Κρατούμενο

Διαβάστε περισσότερα

Περιεχόμενα. ΚΕΦΑΛΑΙΟ 1 Μοντέλα για Ενεργές Συσκευές Ολοκληρωμένου Κυκλώματος. 1.1 Εισαγωγή

Περιεχόμενα. ΚΕΦΑΛΑΙΟ 1 Μοντέλα για Ενεργές Συσκευές Ολοκληρωμένου Κυκλώματος. 1.1 Εισαγωγή Περιεχόμενα ΚΕΦΑΛΑΙΟ 1 Μοντέλα για Ενεργές Συσκευές Ολοκληρωμένου Κυκλώματος 1.1 Εισαγωγή 1.2 Περιοχή Απογύμνωσης μιας Επαφής pn 1.2.1 Χωρητικότητα της Περιοχής Απογύμνωσης 1.2.2 Κατάρρευση Επαφής 1.3

Διαβάστε περισσότερα

Μνήμη και Προγραμματίσιμη Λογική

Μνήμη και Προγραμματίσιμη Λογική Μνήμη και Προγραμματίσιμη Λογική Η μονάδα μνήμης είναι ένα στοιχείο κυκλώματος στο οποίο μεταφέρονται ψηφιακές πληροφορίες προς αποθήκευση και από το οποίο μπορούμε να εξάγουμε αποθηκευμένες πληροφορίες

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Συνδυαστική Λογική. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Συνδυαστική Λογική. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Συνδυαστική Λογική Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Ψηφιακά Κυκλώματα Τα ψηφιακά κυκλώματα διακρίνονται σε συνδυαστικά (combinational)

Διαβάστε περισσότερα

Σχεδίαση αθροιστή 16 ψηφίων με Διαφορική Λογική Καθρεπτών Ρεύματος και ανάλυση κλιμάκωσης της τεχνολογίας

Σχεδίαση αθροιστή 16 ψηφίων με Διαφορική Λογική Καθρεπτών Ρεύματος και ανάλυση κλιμάκωσης της τεχνολογίας ΕΘΝΙΚΟ ΚΑΙ ΚΑΠΟΔΙΣΤΡΙΑΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΘΗΝΩΝ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ Σχεδίαση αθροιστή 16 ψηφίων με Διαφορική Λογική Καθρεπτών Ρεύματος και ανάλυση

Διαβάστε περισσότερα

Οργάνωση Η/Υ. Γιώργος ηµητρίου. Μάθηµα 3 ο. Πανεπιστήµιο Θεσσαλίας - Τµήµα Μηχανικών Η/Υ, Τηλεπικοινωνιών και ικτύων

Οργάνωση Η/Υ. Γιώργος ηµητρίου. Μάθηµα 3 ο. Πανεπιστήµιο Θεσσαλίας - Τµήµα Μηχανικών Η/Υ, Τηλεπικοινωνιών και ικτύων Γιώργος ηµητρίου Μάθηµα 3 ο Πανεπιστήµιο Θεσσαλίας - Τµήµα Μηχανικών Η/Υ, Τηλεπικοινωνιών και ικτύων Μονάδα Επεξεργασίας εδοµένων Υποµονάδες πράξεων n Αριθµητική/Λογική Μονάδα (ΑΛΜ - ALU): Βασικές αριθµητικές

Διαβάστε περισσότερα