Αριστοτέλειο Πανεπιστήµιο Θεσσαλονίκης. Τµήµα Πληροφορικής

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Αριστοτέλειο Πανεπιστήµιο Θεσσαλονίκης. Τµήµα Πληροφορικής"

Transcript

1 Αριστοτέλειο Πανεπιστήµιο Θεσσαλονίκης Τµήµα Πληροφορικής Πτυχιακή εργασία Χατζηπαρασκεύα Αρτεµισία Α.Μ 819 Ανάπτυξη Εκπαιδευτικής Εφαρµογής µε αντικείµενο τα Ψηφιακά Συστήµατα Επιβλέπων: Αναπληρωτής Καθηγητής Λιναρδής Παναγιώτης ΘΕΣΣΑΛΟΝΙΚΗ 2008

2 Πίνακας Περιεχοµένων Εισαγωγή Κεφάλαιο Ψηφιακά Συστήµατα Λειτουργικά χαρακτηριστικά ψηφιακών κυκλωµάτων VHDL 12 2 Κεφάλαιο Τεχνική Ανάλυση Πως γίνεται η εκπαίδευση Κεφάλαιο Βασικές έννοιες σχεδίασης Εισαγωγή στα λογικά κυκλώµατα Τεχνολογίες Υλοποίησης Βελτιστοποίηση της υλοποίησης λογικών κυκλωµάτων Παράσταση αριθµών και αριθµητικά κυκλώµατα οµικές βαθµίδες συνδυαστικών κυκλωµάτων Flip-Flops, καταχωρητές, µετρητές και ένας απλός επεξεργαστής Σύγχρονα Ακολουθιακά Κυκλώµατα Ασύγχρονα Ακολουθιακά Κυκλώµατα Σχεδίαση ψηφιακών συστηµάτων Έλεγχος λογικών κυκλωµάτων...55 Βιβλιογραφία 59 1

3 Εισαγωγή Η παρούσα διπλωµατική εργασία ασχολείται µε την δηµιουργία ενός εκπαιδευτικού υλικού σε µορφή παρουσιάσεων που πραγµατεύεται τη µελέτη και σχεδίαση των ψηφιακών κυκλωµάτων. Στόχος της διπλωµατικής εργασίας είναι να αποτελέσει ένα βοηθητικό εγχειρίδιο στην σχεδίαση συστηµάτων ψηφιακής λογικής. Το συγκεκριµένο θέµα είναι από τα βασικά αντικείµενα σπουδών τόσο στο τοµέα της πληροφορικής όσο και στο τοµέα των µηχανικών ηλεκτρονικών υπολογιστών. Το παρόν εκπαιδευτικό υλικό προσπαθεί να αντιµετωπίσει τη δυσκολία που συναντούν φοιτητές και σπουδαστές που ασχολούνται µε το συγκεκριµένο τοµέα µιας και η ύλη και το εύρος των θεµάτων που θίγονται στο παρόν συγγράµµατα είναι µεγάλη και από τη φύση της δύσκολή. Το εκπαιδευτικό υλικό έρχεται να συµβάλει στη καλύτερη κατανόηση των βασικών θεµάτων αφού παρουσιάζει την ύλη µε µια αφαιρετική προσέγγιση προσπαθώντας να επισηµάνει την ουσία. Ο επιθυµητός στόχος για αυτό το υλικό είναι οι φοιτητές που θα το µελετήσουν να κατανοήσουν τις βασικές έννοιες της κλασσικής µεθόδου σχεδίασης ψηφιακών κυκλωµάτων µε το χέρι. Ένας άλλος στόχος επίσης είναι να αντιληφθούν οι φοιτητές τον τρόπο λειτουργίας των ψηφιακών κυκλωµάτων µε τη βοήθεια απλών παραδειγµάτων που αφορούν τη σχεδίαση κυκλωµάτων. Το παρόν υλικό περιλαµβάνει µια σύντοµη αναφορά στις σύγχρονές τεχνολογίες υλοποίησης ψηφιακών κυκλωµάτων. Συγκεκριµένα στης τεχνολογίες κατασκευής σύµφωνα µε τις επιθυµίες του πελάτη (semicustom και full custom). 2

4 Επίσης γίνεται αναφορά στις διατάξεις προγραµµατιζόµενης λογικής (programmable logic devices, PLDs). Χρησιµοποιούνται τα δύο δηµοφιλέστερα είδη διατάξεων PLD για υλοποίηση που είναι τα περίπλοκα PLDs (CPLDs) και τις διατάξεις πυλών προγραµµατιζόµενου πεδίου (FPGA). Συνοπτική περιγραφή κεφαλαίων Κεφάλαιο 1. Παρουσιάζεται µια γενική εισαγωγή στη διαδικασία της σχεδίασης ψηφιακών κυκλωµάτων και περιγράφονται τα κύρια σηµεία της διαδικασίας σχεδίασης. Κεφάλαιο2. Παρουσιάζονται οι βασικές αρχές των ψηφιακών κυκλωµάτων. Παρουσιάζεται η άλγεβρα Boole για την ανάπτυξη αυτών των κυκλωµάτων καθώς επίσης και µια εισαγωγή της γλώσσας VHDL. Κεφάλαιο3. Παρουσιάζονται οι αρχές ηλεκτρονικής που διέπουν τα ψηφιακά κυκλώµατα. Συγκεκριµένα περιγράφεται η ανάπτυξή των λογικών πυλών µε τη βοήθεια τρανζίστορ. Επίσης παρουσιάζονται διάφοροι παράγοντες που επηρεάζουν τις επιδόσεις των κυκλωµάτων. 3

5 Κεφάλαιο 4. Παρουσιάζονται όλα τα ζητήµατα που αφορούν τη σύνθεση συνδυαστικών κυκλωµάτων, από το αρχικό σχέδιο στη δηµιουργία του επιθυµητού κυκλώµατος. Κεφάλαιο 5. Παρουσιάζονται κυκλώµατα που εκτελούν αριθµητικές πράξεις. Κεφάλαιο 6. Παρουσιάζονται τα δοµικά συνδυαστικά κυκλώµατα που χρησιµοποιούνται, όπως ο κωδικοποιητής, αποκωδικοποιητής και πολυπλέκτης. Τα κυκλώµατα αυτά είναι ιδιαίτερα χρήσιµα στις εφαρµογές της γλώσσας VHDL. Κεφάλαιο 7. Παρουσιάζονται τα στοιχεία αποθήκευσης. Παρουσιάζεται η χρήση των flip-flop όπως οι καταχωρητές ολίσθησης και οι µετρητές. Κεφάλαιο 8. Παρουσιάζονται η συµπεριφορά αλλά και οι τεχνικές σχεδίασης των σύγχρονων ακολουθιακών κυκλωµάτων. Κεφάλαιο 9. Περιγράφονται τα ασύγχρονα ακολουθιακά κυκλώµατα και τα κύρια χαρακτηριστικά τους. Κεφάλαιο 10. Παρουσιάζονται ζητήµατα και προβλήµατα που προκύπτουν κατά την σχεδίαση πραγµατικών συστηµάτων. Κεφάλαιο 11. Παρουσιάζεται η έννοια και η ανάγκη του έλεγχου στη σχεδίαση ψηφιακών κυκλωµάτων. 4

6 Κεφάλαιο Ψηφιακά Συστήµατα Τα ψηφιακά συστήµατα αποτελούνται από ένα πλήθος ψηφιακών κυκλωµάτων. Τα ψηφιακά κυκλώµατα αποτελούν τη βάση των υπολογιστών (αλλά και όχι µόνο) δηλαδή το υλικό των υπολογιστών (hardware). Τα ψηφιακά κυκλώµατα χρησιµοποιούνται για την υλοποίηση λογικών συναρτήσεων και την αποθήκευση ψηφιακών δεδοµένων. Τυπικά παραδείγµατα τέτοιων κυκλωµάτων αποτελούν οι λογικές πύλες, οι µικρό-επεξεργαστές και οι ηµιαγωγικές µνήµες. Ψηφιακά ηλεκτρονικά κυκλώµατα χρησιµοποιούνται επίσης για τη µετάδοση της πληροφορίας και την αλληλεπίδραση µε τα φυσικά µεγέθη του περιβάλλοντος (αναλογικά σήµατα). Τα πρώτα ψηφιακά κυκλώµατα (δεκαετία 50) υλοποιούσαν απλές λογικές πύλες χρησιµοποιώντας διακριτά στοιχεία (τρανζίστορ, διόδους και αντιστάσεις). Στα µέσα της δεκαετίας του 60 εµφανίστηκαν τα πρώτα εµπορικά ολοκληρωµένα κυκλώµατα λογικής, τα οποία περιείχαν 2-10 λογικές πύλες. Η ολοκλήρωση των κυκλωµάτων αυτών χαρακτηρίζεται ως µικρής κλίµακας (small scale integration SSI). Τα αµέσως επόµενα χρόνια (δεκαετία 70) τα ψηφιακά κυκλώµατα πέρασαν από τη ολοκλήρωση µεσαίας κλίµακας (medium scale integration MSI) των λογικών πυλών (καταχωρητές, µετρητές κ.ά.) στην ολοκλήρωση µεγάλης κλίµακας (large scale integration LSI) µε έως και 5

7 1000 πύλες. Κατά την περίοδο αυτή εµφανίστηκαν τα πρώτα κυκλώµατα µικροεπεξεργαστών και ηµιαγωγικών µνηµών. Στη δεκαετία του 80 εµφανίστηκαν ψηφιακά κυκλώµατα µε περισσότερες από πύλες (very large scale integration VLSI) και η χωρητικότητα των ηµιαγωγικών µνηµών έφτασε τα 4Mbit ανά ολοκληρωµένο κύκλωµα. Νέες τεχνικές σχεδίασης εµφανίστηκαν για να επιτευχθεί µείωση της χρησιµοποιούµενης επιφάνειας πυριτίου, του χρόνου σχεδίασης και της κατανάλωσης ισχύος. Κατά τη δεκαετία του 90 η κλίµακα ολοκλήρωσης ξεπέρασε το 1 εκατοµµύριο πύλες ανά ολοκληρωµένο κύκλωµα και οι µεθοδολογίες σχεδίασης βελτιώθηκαν σηµαντικά. Τα πρόσφατα ψηφιακά κυκλώµατα µικροεπεξεργαστών αποτελούνται από 40 εκατοµµύρια και πλέον τρανζίστορ, ενώ η χωρητικότητα των ηµιαγωγικών µνηµών ανέρχεται στα 256Mbit ανά ολοκληρωµένο κύκλωµα. Τα πλεονεκτήµατα της ολοένα αυξανόµενης ολοκλήρωσης των ψηφιακών κυκλωµάτων είναι η αύξηση της λειτουργικότητας και της ταχύτητας, µε ταυτόχρονη µείωση των διαστάσεων και του κόστους παραγωγής. Τα κυκλώµατα τεχνολογίας CMOS είναι ιδανικά στην περίπτωση αυτή, διότι οι διατάξεις τους αλλά και η πολύ χαµηλή κατανάλωση ισχύος που παρουσιάζουν, επιτρέπει την πολύ υψηλή ολοκλήρωση των κυκλωµάτων αυτών. Παράλληλα µε την εξέλιξη της τεχνολογίας των ψηφιακών κυκλωµάτων, αναπτύσσονται επίσης και οι παρεµφερείς τεχνολογίες, όπως των συσκευασιών (packages) των ολοκληρωµένων κυκλωµάτων και των αγωγών µετάδοσης των σηµάτων, για να υποστηρίξουν τα σηµερινά ψηφιακά συστήµατα υψηλών ταχυτήτων. 6

8 Πριν 30 περίπου χρόνια ο Gordon Μoore παρατήρησε ότι η τεχνολογία ολοκληρωµένων κυκλωµάτων εξελίσσονταν µε πολύ µεγάλους ρυθµούς, διπλασιάζοντας τον αριθµό των τρανζίστορ που µπορούσαν να χωρέσουν µέσα σε ένα ολοκληρωµένο κύκλωµα κάθε 1,5 µε 2 χρόνια. Το φαινόµενο αυτό είναι γνωστό ως Νόµος του Moore και συνεχίζει να ισχύει ως και σήµερα. Ο νόµος του Moore αναµένεται να ισχύει καθ όλη την επόµενη δεκαετία. Μια ένωση των εταιριών κατασκευής ολοκληρωµένων κυκλωµάτων, που ονοµάζεται Semiconductor Industry Association (SIA), παρέχει εκτιµήσεις του τρόπου µε τον οποίο θα εξελιχθεί αυτή η τεχνολογία. Οι εκτιµήσεις αυτές είναι γνωστές ως χάρτης SIA (SIA roadmap) και προβλέπουν την ελάχιστη επιφάνεια που θα καταλαµβάνει ένα τρανζίστορ µέσα σε ένα ολοκληρωµένο κύκλωµα. Οι σχεδιαστές ψηφιακών κυκλωµάτων ενδέχεται να έχουν ως αντικείµενο τη σχεδίαση λογικών κυκλωµάτων που πρέπει να τοποθετηθούν σε ένα ενιαίο τσιπ, ή πιο πιθανό, τη σχεδίαση κυκλωµάτων που περιλαµβάνουν περισσότερα από ένα ολοκληρωµένα κυκλώµατα και τοποθετούνται σε µία πλακέτα τυποποιηµένου κυκλώµατος (printed circuit board, PCB). 7

9 Υπάρχει µεγάλη ποικιλία ολοκληρωµένων κυκλωµάτων, τα οποία εκτελούν διάφορες λειτουργίες και είναι χρήσιµα στη µελέτη σύνθετων ψηφιακών κυκλωµάτων. Τα ολοκληρωµένα εκτείνονται από πολύ απλά χαµηλής λειτουργικότητας έως εξαιρετικά περίπλοκα ολοκληρωµένα κυκλώµατα. Για παράδειγµα, ένα προϊόν υψηλής τεχνολογίας µπορεί να χρειάζεται ένα επεξεργαστή, οποίος να εκτελεί µερικές αριθµητικές πράξεις, ολοκληρωµένα κυκλώµατα µνήµης για την αποθήκευση αποτελεσµάτων και ολοκληρωµένα κυκλώµατα διασύνδεσης προς συσκευές εισόδου-εξόδου. Τέτοια κυκλώµατα διατίθενται στην αγορά από διάφορες κατασκευαστικές εταιρίες Λειτουργικά χαρακτηριστικά ψηφιακών κυκλωµάτων Τα ψηφιακά κυκλώµατα διαχειρίζονται την πληροφορία ως µία σειρά από διακριτά ψηφία σε αντίθεση µε τα αναλογικά κυκλώµατα, τα οποία επεξεργάζονται µεγέθη από ένα συνεχές πεδίο τιµών. Η πλειοψηφία των ψηφιακών συστηµάτων χρησιµοποιεί δύο µόνον ψηφία, υλοποιώντας τη δυαδική λογική. Στα ψηφιακά ηλεκτρονικά κυκλώµατα κάθε δυαδικό ψηφίο (λογική κατάσταση 0 και 1) αντιπροσωπεύεται από µία φυσική ποσότητα τάσης ή ρεύµατος. Για την αποφυγή σφαλµάτων λόγω της αναπόφευκτης παρουσίας θορύβου σε κάθε ψηφιακό κύκλωµα, η τάση ή το ρεύµα που αντιστοιχεί σε κάθε δυαδικό στοιχείο δεν έχει µία συγκεκριµένη τιµή αντιθέτως, κάθε λογική κατάσταση αντιστοιχεί σε µία προκαθορισµένη περιοχή τιµών τάσης ή ρεύµατος. Οι περιοχές αυτές προσδιορίζουν τις λογικές στάθµες των δύο ψηφίων. 8

10 Τα ψηφιακά κυκλώµατα λειτουργούν µε τέτοιον τρόπο, ώστε να απορρίπτεται κάθε προστιθέµενος θόρυβος, εφόσον αυτός είναι µικρότερος από ένα προκαθορισµένο επίπεδο. Για παράδειγµα, εάν στην ονοµαστική στάθµη τάσης V0 του λογικού ψηφίου 0 προστεθεί θόρυβος VN, το αποτέλεσµα V0 + VN θα εξακολουθήσει να αντιπροσωπεύει το ψηφίο 0, εάν συνεχίζει να βρίσκεται µέσα στην προκαθορισµένη περιοχή τιµών του ψηφίου 0. Ακόµη πιο σηµαντικό είναι το γεγονός ότι, εάν το V0 +VN οδηγηθεί στην είσοδο ενός ψηφιακού κυκλώµατος, το αποτέλεσµα στην έξοδο θα έχει αποκατασταθεί στην τιµή V0, ή αλλιώς θα έχει αναγεννηθεί. Η αναγέννηση του ψηφιακού σήµατος µεταξύ των διαφόρων βαθµίδων των ψηφιακών κυκλωµάτων είναι µία πολύ σηµαντική ιδιότητα, η οποία αποτρέπει τη συσσώρευση των επιδράσεων του θορύβου πάνω στο σήµα και εµποδίζει τη µετάδοση των αλλοιώσεων στις επόµενες βαθµίδες. Τα ψηφιακά ηλεκτρονικά κυκλώµατα αντλούν την απαιτούµενη ενέργεια για τη λειτουργία τους και την αναγέννηση του σήµατος µέσω δύο γραµµών τροφοδοσίας. Η θετικότερη συµβολίζεται µε VCC, ενώ η αρνητικότερη (γείωση GND) αποτελεί συνήθως (όχι πάντοτε) την τάση αναφοράς (0V) του συστήµατος. Τα ψηφιακά κυκλώµατα χρησιµοποιούν ηµιαγωγούς (τρανζίστορ) ως ενεργά στοιχεία-διακόπτες για την υλοποίηση της δυαδικής λογικής. Τα τρανζίστορ αυτά λειτουργούν συνήθως σε καταστάσεις αγωγήςαποκοπής ( ON και OFF ). 9

11 Στα σύγχρονα ολοκληρωµένα ψηφιακά κυκλώµατα χρησιµοποιούνται κυρίως τρανζίστορ CMOS, λόγω του µεγάλου βαθµού ολοκλήρωσης που επιτρέπουν, ενώ τρανζίστορ διπολικής επαφής (BJT) χρησιµοποιούνται σε εξειδικευµένα κυκλώµατα πολύ υψηλής ταχύτητας. Όπως ειπώθηκε προηγουµένως, η µετάδοση της ψηφιακής πληροφορίας µεταξύ των βαθµίδων των ψηφιακών κυκλωµάτων, είτε αυτές βρίσκονται εντός του ιδίου ολοκληρωµένου κυκλώµατος είτε σε διαφορετικά ολοκληρωµένα κυκλώµατα, προϋποθέτει την κωδικοποίηση των λογικών καταστάσεων σε ποσότητες τάσης ή ρεύµατος. Η κωδικοποίηση αυτή µπορεί να είναι µονοπολική (unipolar) ή διπολική (bipolar). Στη µονοπολική αναπαράσταση οι δύο λογικές καταστάσεις κωδικοποιούνται σε παρουσία ή όχι της φυσικής ποσότητας (π.χ. λογικό 1 = 5V, λογικό 0 = 0V). Στη διπολική αναπαράσταση οι λογικές καταστάσεις αντιπροσωπεύονται από συµµετρικά αντίθετες τιµές (π.χ. λογικό 1 = +2mA, λογικό 0 = -2mA). Η µετάδοση της δυαδικής πληροφορίας από το ένα ψηφιακό κύκλωµα στο άλλο επιτυγχάνεται µέσω ενός αγωγού, ο οποίος διασύνδεει την έξοδο του κυκλώµατος αποστολής (οδηγών κύκλωµα driver) µε την είσοδο του κυκλώµατος λήψης (οδηγούµενο κύκλωµα receiver ). Ο αγωγός αυτός µπορεί να βρίσκεται πάνω σε τυπωµένο κύκλωµα ή να αποτελείται από κάποιο είδος καλωδίου. Η µετάδοση ενός σήµατος συµβαίνει στην πραγµατικότητα µέσα από ένα ζεύγους αγωγών, ακόµα κι όταν αυτό δεν είναι φανερό, όπως για παράδειγµα στο τυπωµένο κύκλωµα, όπου ο δεύτερος αγωγός είναι η κοινή γείωση µεταξύ οδηγούντος και οδηγούµενου κυκλώµατος. 10

12 Σχήµα 1-1 Κατά τη µετάδοση µέσω τάσης (σχήµα 1-1α) το οδηγών κύκλωµα εισάγει στον αγωγό διασύνδεσης µία στάθµη τάσης. Το κύκλωµα λήψης συγκρίνει την τάση αυτή µε µία τάση κατωφλίου και εξάγει τη λογική κατάσταση του εισερχόµενου σήµατος. Η τάση κατωφλίου βρίσκεται συνήθως στο µέσον µεταξύ χαµηλής και υψηλής στάθµης. Η γείωση χρησιµοποιείται ως κοινή στάθµη αναφοράς, τόσο για τη στάθµη του µεταδιδόµενου σήµατος, όσο και για την τάση κατωφλίου στο κύκλωµα λήψης. Παρόµοια είναι και η µετάδοση µέσω ρεύµατος (σχήµα 1-1β), το οποίο κυκλοφορεί στον αγωγό διασύνδεσης ανάλογα µε το µεταδιδόµενο ψηφίο. Στην περίπτωση αυτή, το ρεύµα οδηγείται σε µία αντίσταση στο κύκλωµα λήψης και η τάση που αναπτύσσεται στα άκρα της αντίστασης αυτής χρησιµοποιείται για την εξαγωγή της κατάστασης του εισερχόµενου ψηφίου. Τα παραδείγµατα µετάδοσης, τα οποία αναφέρθηκαν προηγουµένως, χρησιµοποιούν έναν αγωγό (και τη γείωση) για τη µετάδοση του σήµατος (singleended transmission). Μια εναλλακτική µέθοδο αποτελεί η λεγόµενη διαφορική µετάδοση (differential transmission). Η διαφορική µετάδοση χρησιµοποιεί δύο αγωγούς για τη µετάδοση ενός σήµατος, µε 11

13 κάθε αγωγό να µεταφέρει το συµπληρωµατικό σήµα του άλλου. Η διαφορική µετάδοση παρουσιάζει µεγαλύτερη ανοχή στον θόρυβο. 1.2 VHDL Η VHDL είναι µία γλώσσα περιγραφής υλικού για την ανάπτυξη ολοκληρωµένων ψηφιακών ηλεκτρονικών κυκλωµάτων και συστηµάτων. Ως λέξη αποτελεί συντόµευση των λέξεων: VHSIC Hardware Description Language. Τα δε αρχικά VHSIC είναι µε τη σειρά τους συντόµευση για Very High-Speed Integrated Circuit (Ολοκληρωµένα Κυκλώµατα Υψηλής Ταχύτητας). Η VHDL ως γλώσσα προγραµµατισµού µπορεί να χρησιµοποιηθεί για την περιγραφή της συµπεριφοράς, της δοµής αλλά και της εφαρµογής ψηφιακών συστηµάτων. Με βάση αυτά τα χαρακτηριστικά η VHDL χαρακτηρίζεται σαν ένα εργαλείο ECAD (Electronic Computer Aided Design). Γενικά, σήµερα, η χρήση εργαλείων CAD έχει επεκταθεί καθώς η τεράστια ανάπτυξη της τεχνολογίας ηµιαγωγών στην κατασκευή ολοκληρωµένων κυκλωµάτων έχει µετατοπίσει το κέντρο βάρους των µηχανικών από την λεπτοµερειακή υλοποίηση κυκλωµάτων στην διαχείριση της αυξανόµενης πολυπλοκότητας. Πιο συγκεκριµένα στη σηµερινή εποχή ο µηχανικός-σχεδιαστής, περιορίζεται περισσότερο από την δυνατότητά του να αντεπεξέλθει την πολυπλοκότητα της σχεδίασης του παρά από την ικανότητα της τεχνολογίας να την υποστηρίξει. Αυτό το χάσµα έρχεται να γεφυρώσει η VHDL επιτρέποντας µια υψηλού επιπέδου περιγραφή (Abstract) της σχεδίασης και κατόπιν µε την χρήση εργαλείων σύνθεσης (Logic Synthesis Tools) την αυτόµατη αποτύπωση αυτής της σχεδίασης σε ολοκληρωµένη µορφή η οποία να είναι εντός 12

14 των προδιαγραφών που θέτει ο µηχανικός. Η γλώσσα VHDL είναι η πρότυπη βιοµηχανική γλώσσα περιγραφής ψηφιακών κυκλωµάτων. Μια πρώτη έκδοση του προτύπου αυτού έγινε γνωστή το 1987 µε το όνοµα IEEE11076, ενώ αργότερα το 1993 εµφανίστηκε µια βελτιωµένη έκδοσή της µε το όνοµα IEEE1164. Θα πρέπει να σηµειώσουµε επίσης ότι η γλώσσα αυτή έχει κληρονοµήσει πολλά στοιχεία από τη γλώσσα προγραµµατισµού ADA. 13

15 Κεφάλαιο Τεχνική Ανάλυση Για την ανάπτυξη του εκπαιδευτικού υλικού χρησιµοποιήθηκε το πρόγραµµα παρουσιάσεων Microsoft Power Point 2007.Το αρχείο µορφοποιήθηκε σε µορφή (.ppt) συµβατή και σε προηγούµενες εκδόσεις του Microsoft Power Point. To power point είναι ένα επαγγελµατικό πρόγραµµα παρουσιάσεων µε το οποίο είναι εφικτή η δηµιουργία διαφανειών που περιέχουν κείµενο, γραφήµατα, εικόνες, διαγράµµατα, ήχους, video και κίνηση. Προσφέρει µια ευελιξία στη σχεδίαση, χρησιµοποιώντας οδηγούς και πρότυπα επιτρέποντας την εύκολη ανάπτυξη του υλικού. Το συγκεκριµένο πρόγραµµα προτιµήθηκε εξαιτίας της ευκολίας στην ανάπτυξη και σχεδίαση του περιεχοµένου των διαφανειών. Το πρόγραµµα επιτρέπει την εύκολη σχεδίαση σχηµάτων και τη συµβατή προσθήκη εικόνων και διαγραµµάτων. Για τα ψηφιακά κυκλώµατα αυτό είναι πολύ σηµαντικό µιας και η δηµιουργία σχηµάτων για την περιγραφή της λειτουργίας κυκλωµάτων κρίνεται απαραίτητη. Επίσης οι στόχοι του προγράµµατος συγκλίνουν σε µεγάλο βαθµό µε τους στόχους που έχουν τεθεί για τη συγκεκριµένη εργασία που είναι η παρουσίαση του υλικού σε αίθουσα ή σε αµφιθέατρο µε ταυτόχρονη διάλεξη του διδάσκοντα καθώς προσφέρει ευελιξία στον τρόπο παρουσίασης. Ένας ακόµα λόγος για την επιλογή του Power Point είναι γιατί είναι πολύ διαδεδοµένο σαν πρόγραµµα παρουσίασης, όπου περιλαµβάνεται στο πακέτο office της Microsoft αλλά είναι συµβατό και σε πακέτα ελεύθερου λογισµικού (open office) θα µπορούσαµε να πούµε ότι κάθε υπολογιστής έχει εγκατεστηµένο το συγκεκριµένο πρόγραµµα. 14

16 Έτσι αφού το εκπαιδευτικό υλικό προορίζεται κυρίως για φοιτητές και σπουδαστές το συγκεκριµένο πρόγραµµα φαίνεται ως το κατάλληλο. Για την ανάπτυξη του υλικού χρησιµοποιήθηκαν εργαλεία και πρότυπα που προσφέρει το πρόγραµµα. Περιγραφικά µπορούµε αναφέρουµε ότι χρησιµοποιήθηκαν οι εξής λειτουργίες-εργαλεία : ηµιουργία, τροποποίηση και µορφοποίηση διαφανειών κειµένου Χρησιµοποιήθηκαν τα εργαλεία σχεδίασης για πρόσθεση γραφικών Χρησιµοποιήθηκαν τα εργαλεία clip art και εικόνες για εισαγωγή και προσθήκη γραφικών σε διαφάνειες ηµιουργία διαφανειών µε γραφήµατα και σχεδιαγράµµατα. Χρησιµοποιήθηκαν δεδοµένα από και προς άλλες εφαρµογές Μεταβολή των βασικών ρυθµίσεων της εφαρµογής, όπως τις ιδιότητες της παρουσίασης και της θέσης του προκαθορισµένου φακέλου για άνοιγµα / αποθήκευση των παρουσιάσεων Εφαρµόστηκαν και τροποποιήθηκαν τα πρότυπα σχεδίασης στη παρουσίαση Χρησιµοποιήθηκε το υπόδειγµα διαφανειών ηµιουργήθηκαν σχήµατα χρησιµοποιώντας τα εργαλεία σχεδίασης Εισήχθησαν διάφοροι τύποι αντικειµένων σχεδίασης σε µια διαφάνεια Επιλέχθηκε η κατάλληλη µορφή διαφανειών της τελικής παρουσίασης Χρησιµοποιώντας τα παραπάνω εργαλεία αλλά και άλλα που δεν αναφέρθηκαν, το Power Point επιτρέπει την δηµιουργία µιας παρουσίασης µε εύκολο τρόπο, µε ευέλικτο χειρισµό αλλά πάνω από όλα µια παρουσίαση που πλησιάζει σε µεγάλο βαθµό τις προσδοκίες του δηµιουργού. Επιτρέπει τον εύκολο και συµβατό συνδυασµό κειµένου, εικόνας και σχηµάτων, που δηµιουργήθηκαν από το ίδιο πρόγραµµα είτε µετεφέρθησαν σε αυτό από άλλο πρόγραµµα. Το Power Point 15

17 ενδείκνυται για παρουσιάσεις µε τη µορφή κουκίδων όπου θα καταγράφεται ένα µικρό σε έκταση κείµενο το οποίο θα αποτελεί την ουσία και τα κυριότερα σηµεία του θέµατος που θα εξετάζεται. Σε συνδυασµό µε το κείµενο υπάρχει η δυνατότητα παρουσίας στην ίδια διαφάνεια µιας εικόνας, ενός σχήµατος ή ενός διαγράµµατος σχετικό µε το κείµενο για την καλύτερη κατανόηση του αντικειµένου, αλλά και για την διευκόλυνση του διδάσκοντα, αφού µπορεί µε αυτό τον τρόπο να επεξηγεί µε περισσότερες λεπτοµέρειες τα σχήµατα ή τις εικόνες. Το υλικό που θα παρουσιαστεί µέσω του προγράµµατος µπορεί να µορφοποιηθεί µε διάφορα χρώµατα, διάφορα στυλ, προσαρµοσµένο φόντο ανάλογα µε τις προτιµήσεις του δηµιουργού. Το πλεονέκτηµα για τα παραπάνω είναι ότι ο δηµιουργός µιας παρουσίασης µπορεί εύκολα να δηµιουργήσει µια παρουσίαση κατά τις προτιµήσεις του αλλά και ανάλογα µε το θέµα που πραγµατεύεται η παρουσίαση. Ένα σηµαντικό κεφάλαιο στο Power Point και αυτό που το κάνει να διαφέρει από τις άλλες εφαρµογές του πακέτου του office είναι η δυνατότητα δυναµικής παρουσίασης αλλά και οι προσαρµοσµένες κινήσεις που διαθέτει τόσο σε αντικείµενα των διαφανειών όσο και στις ίδιες τις διαφάνειες. Ο δηµιουργός της παρουσίασης µπορεί να προσθέσει κινήσεις όπως εισόδους, εξόδους, ή άλλες προσαρµοσµένες κινήσεις σε οποιοδήποτε αντικείµενο της διαφάνειας όπως κείµενο, σχήµα, εικόνα κ.α. Έτσι η παρουσίαση γίνεται πιο ελκυστική και έλκει την προσοχή αυτών που την παρακολουθούν. Εκτός από την εντυπωσιακή παρουσίαση η χρήση κινήσεων βοηθά τον διδάσκοντα να εξηγήσει αυτό που θέλει καλύτερα µε την χρήση κινούµενης εικόνας, αλλά και τον φοιτητή να αντιληφθεί και να κατανοήσει καλύτερα το αντικείµενο αφού αυτά που χρειάζεται να φανταστεί είναι λιγότερα! 16

18 Τέλος αξίζει να αναφερθεί η δυναµική παρουσίαση που επιτρέπει το Power Point. Κατά την ώρα της παρουσίασης ο διδάσκων µπορεί να µεταβεί εύκολα και γρήγορα σε όποιο σηµείο της παρουσίασης και σε όποια διαφάνεια θέλει. Επίσης, αν έχουν χρησιµοποιηθεί κινήσεις, δυναµικά µπορεί να εφαρµόσει τις κινήσεις ή να τις επαναλάβει εύκολα και γρήγορα. 17

19 2.2 Πως γίνεται η εκπαίδευση Έχουµε αναφερθεί παραπάνω για τα πλεονεκτήµατα και τους λόγους χρησιµοποίησης του Power Point για την παρουσίαση ενός θέµατος και για την συγκεκριµένη εργασία των ψηφιακών συστηµάτων. Τα χαρακτηριστικά του προγράµµατος και οι ευκολίες που προσφέρει δεν έχουν να κάνουν µόνο µε την ευκολία στη δηµιουργία της παρουσίασης αλλά ούτε και µόνο µε την εντυπωσιακή εµφάνιση της παρουσίασης µε τη χρήση πολυµεσικών στοιχείων, έχει να κάνει και µε την εκπαιδευτική διαδικασία. Οι παρουσιάσεις µε χρήση του Power Point είναι ένα ισχυρό εκπαιδευτικό εργαλείο για τον διδάσκοντα αφού σε συνδυασµό µε τα κλασσικά συγγράµµατα µπορούν να αποτελέσουν ένα ολοκληρωµένο εκπαιδευτικό υλικό στα χέρια των φοιτητών. Το εκπαιδευτικό υλικό µε τη µορφή παρουσιάσεων ενδείκνυται για χρήση µέσα σε αίθουσα µαθηµάτων ή αµφιθέατρο. Στις παρουσιάσεις ο διδάσκων µπορεί να συµπεριλάβει ένα µεγάλο εύρος θεµάτων και ύλης αφού χάρη στη φύση και την µορφή των διαφανειών µπορεί να καλυφτεί µε µικρό σχετικά κόπο και αριθµό διαφανειών. Οι διαφάνειες µπορούν να έχουν µικρό σε έκταση κείµενο µε µορφή κουκίδων που θα αποτελούν τα σηµαντικότερα σηµεία του θέµατος πλαισιωµένα από σχετικά σχήµατα, εικόνες ή σχεδιαγράµµατα. Τα αντικείµενα αυτά µπορούν να έχουν κίνηση ή διαφορετικά χρώµατα αν θέλουν να τονίσουν κάποια διαφορά. Με τη χρήση κινήσεων ο διδάσκων µπορεί να εξηγήσει µε κατανοητό τρόπο κάποιο σηµείο που κρίνει ότι θέλει περαιτέρω επεξήγηση ή κάποιο συγκεκριµένο παράδειγµα. 18

20 Για παράδειγµα, για το µάθηµα των ψηφιακών συστηµάτων και συγκεκριµένα για την ενότητα των ψηφιακών πυλών, ο διδάσκων µπορεί εύκολα µε χρήση των εργαλείων σχεδίασης που προσφέρει το Power Point να σχεδιάσει ένα κύκλωµα που θα περιέχει διάφορες πύλες ( not, or, and ). Για την καλύτερη επεξήγηση των εξόδων των πυλών σε σχέση µε τις εισόδους που εφαρµόζουµε (0,1) ο διδάσκων µπορεί εύκολα χρησιµοποιώντας την προσαρµοσµένη κίνηση που προσφέρει το πρόγραµµα να δείξει τις τιµές εξόδων των εσωτερικών πυλών µέχρι την τελική έξοδο. Από τη µεριά του φοιτητή οι παρουσιάσεις είναι πολύ χρήσιµες µιας και µε τη βοήθεια αυτών µπορεί να κατανοήσει την ουσία του θέµατος και να µελετήσει παραδείγµατα που όπως ξέρουµε είναι πολύ χρήσιµα για την κατανόηση οποιαδήποτε αντικειµένου. Ο φοιτητής έχοντας ως οδηγό τις διαφάνειες µπορεί να οργανώσει το διάβασµα του σε συνδυασµό βέβαια και µε το κατάλληλο βιβλίο. ιαβάζοντας τις διαφάνειες ο φοιτητής ξέρει ποια σηµεία είναι τα σηµαντικά από την ύλη και ανατρέχοντας στο σχετικό βιβλίο µελετά το θέµα πιο εµπεριστατωµένα µε όλες τις λεπτοµέρειες. 19

21 Κεφάλαιο 3 Περιγραφή κεφαλαίων Σε αυτή την ενότητα θα περιγράψουµε το περιεχόµενο κάθε κεφαλαίου που περιέχει το εκπαιδευτικό υλικό. Εκτός από την περιγραφή, θα παρατεθούν και κάποια παραδείγµατα ενδεικτικά της ύλης του κάθε κεφαλαίου. 3.1 Κεφάλαιο 1 Βασικές έννοιες σχεδίασης Ψηφιακά ολοκληρωµένα κυκλώµατα: Γίνεται µια εισαγωγή για τα ολοκληρωµένα ψηφιακά κυκλώµατα και µια σύντοµη ιστορική αναδροµή για την εξέλιξη τους. Τα ψηφιακά κυκλώµατα αποτελούν το υλικό των ψηφιακών συστηµάτων όπως των ηλεκτρονικών υπολογιστών. Ονοµάζονται ψηφιακά εξαιτίας του τρόπου που παριστάνεται η πληροφορία, καθώς τα ηλεκτρονικά σήµατα αντιστοιχούν σε ψηφία πληροφορίας. Η τεχνολογία που χρησιµοποιείται για τη δόµηση ψηφιακών συστηµάτων έχει εξελιχθεί δραµατικά κατά τις τελευταίες τέσσερις δεκαετίες.έως τη δεκαετία 1960 τα λογικά κυκλώµατα κατασκευάζονται από ογκώδη τεµάχια, όπως είναι τα τρανζίστορ και οι αντιστάσεις, τα οποία συνδέονταν ως ξεχωριστά κοµµάτια. Η ανάπτυξή των ολοκληρωµένων κυκλωµάτων κατέστησε δυνατή τη τοποθέτηση πολλών τρανζίστορ, και εποµένως ενός ολόκληρου κυκλώµατος, µέσα σε ένα τεµάχιο που ονοµάζεται ολοκληρωµένο κύκλωµα ή τσιπ (chip). Στην αρχή τα κυκλώµατα αυτά περιείχαν λίγα 20

22 τρανζίστορ αλλά καθώς η τεχνολογία εξελισσόταν έγιναν πολλά. Τα ολοκληρωµένα κυκλώµατα κατασκευάζονται επάνω σε δίσκους πυριτίου, ο δίσκος κόβεται και δηµιουργούνται τα επιµέρους ολοκληρωµένα κυκλώµατα τα οποία τη συνεχεία τοποθετούνται σε µία κατάλληλη συσκευασία. Στα περισσότερα ψηφιακά προϊόντα είναι αναγκαία η σχεδίαση και κατασκευή κάποιων λογικών κυκλωµάτων από την αρχή. Για την υλοποίηση τέτοιων κυκλωµάτων µπορούν να χρησιµοποιηθούν τρεις µορφές ολοκληρωµένων κυκλωµάτων: τα τυπικά ολοκληρωµένα κυκλώµατα, οι διατάξεις προγραµµατιζόµενης λογικής και τα ειδικά ολοκληρωµένα κυκλώµατα. Ονοµάστηκαν τυπικά ολοκληρωµένα κυκλώµατα επειδή εν γένει βρίσκονται σε συµφωνία µε θεσπισµένα πρότυπα, όσον αφορά τη λειτουργία τους και τη φυσική τους οργάνωση. Το κάθε πρότυπό ολοκληρωµένο κύκλωµα περιέχει ένα µικρό κύκλωµα και εκτελεί µια συγκεκριµένη λειτουργία. Σε αντίθεση µε τα τυπικά ολοκληρωµένα κυκλώµατα, είναι δυνατή η ανάπτυξη ολοκληρωµένων που περιέχουν κυκλώµατα που τα οποία µπορούν να οργανωθούν από το χρήστη, ώστε να υλοποιούν διάφορα λογικά κυκλώµατα. Τα κυκλώµατα αυτά έχουν µια πολύ γενική δοµή και περιλαµβάνουν ένα σύνολο προγραµµατιζόµενων λογικών διακοπτών (programmable logic switches), οι οποίοι επιτρέπουν τα εσωτερικά κυκλώµατα του ολοκληρωµένου κυκλώµατος να οργανώνονται µε διάφορους τρόπους. Οι διακόπτες προγραµµατίζονται από το τελικό χρήστη και όχι από τη κατασκευάστρια εταιρία, κατά το διάστηµα κατασκευής του ολοκληρωµένου κυκλώµατος. Τα ολοκληρωµένα αυτά κυκλώµατα ονοµάζονται διατάξεις προγραµµατιζόµενης λογικής(programmable logic devices, PLDs). 21

23 Σε µερικές περιπτώσεις είναι προτιµότερο η σχεδίαση ενός ολοκληρωµένου κυκλώµατος να αρχίσει από το µηδέν: σχεδιάζεται το λογικό κύκλωµα, τοποθετείται στο ολοκληρωµένο κύκλωµα και επιλέγεται η τεχνολογία για την υλοποίηση του. Η προσέγγιση αυτή ονοµάζεται ειδική σχεδίαση και αυτά τα κυκλώµατα ειδικά ολοκληρωµένα κυκλώµατα. ιαδικασία σχεδίασης: πρέπει να γίνουν συγκεκριµένα βήµατα ανάπτυξης κατά τη διαδικασία αυτή εάν πρόκειται το τελικό προϊόν να πληροί κάποιες προδιαγραφές. Περιγράφεται ένας τυπικός κύκλος ανάπτυξης : Καθορισµός προδιαγραφών Αρχική σχεδίαση Προσοµοίωση Έλεγχος ορθής σχεδίασης. Αν σχεδιάστηκε σωστά συνεχίζει, αλλιώς σχεδιάζεται ενεού. Υλοποίηση πρωτότυπου Έλεγχος Έλεγχος αν πληροί τις προδιαγραφές.αν ναι συνεχίζει,αν όχι γίνονται διορθώσεις Τελικό προϊόν Σχεδίαση ψηφιακών συστηµάτων: έχουµε το βασικό βρόχο σχεδίασης και τη σχεδίαση µιας µονάδας ψηφιακού συστήµατος. 22

24 Οποιαδήποτε διαδικασία σχεδίασης αποτελείται από µια βασική ακολουθία ενεργειών: Σχεδίαση µιας µονάδας ψηφιακού συστήµατος: όλα τα προϊόντα των ψηφιακών συστηµάτων εν γένει περιλαµβάνουν µία η περισσότερες πλακέτες (PCB) που περιέχουν διάφορα ολοκληρωµένα κυκλώµατα και άλλα εξαρτήµατα. Η ανάπτυξη ξεκινά µε τον µε τον ορισµό της γενικής δοµής έπειτα επιλέγονται τα κατάλληλα ολοκληρωµένα κυκλώµατα και σχεδιάζονται οι πλακέτες που στηρίζουν και συνδέουν τα ολοκληρωµένα κυκλώµατα.εάν τα κυκλώµατα περιλαµβάνουν PLDs ή ειδικά ολοκληρωµένα κυκλώµατα, τα κυκλώµατα αυτά πρέπει να σχεδιαστούν προτού σχεδιαστεί η πλακέτα (PCB). 3.2 Κεφάλαιο 2 - Εισαγωγή στα λογικά κυκλώµατα Η χρήση των λογικών κυκλωµάτων στους υπολογιστές αποτελεί βασικό κίνητρο για τη µελέτη τους. Αυτά όµως τα κυκλώµατα αποτελούν τη βάση και άλλων ψηφιακών συστηµάτων, στα οποία η εκτέλεση αριθµητικών πράξεων σε αριθµούς δεν αποτελεί και τον κύριο στόχο. Για παράδειγµα, µυριάδες εφαρµογών ελέγχου διέπονται από µερικές απλές 23

25 λογικές πράξεις που εκτελούνται σε κάποιες πληροφορίες εισόδου, χωρίς να απαιτείται η εκτέλεση περίπλοκων αριθµητικών υπολογισµών. Εισαγωγή στα προγράµµατα σχεδίασης CAD: Τα λογικά κυκλώµατα που υπάρχουν στα περίπλοκα συστήµατα, όπως αυτά που υπάρχουν στους σηµερινούς υπολογιστές, είναι αδύνατο να σχεδιαστούν µε το χέρι. Αυτά σχεδιάζονται µε τη βοήθεια ειδικευµένων εργαλείων σχεδίασης CAD, τα οποία υλοποιούν αυτόµατα τις τεχνικές σύνθεσης. Η τυπική ροή της σχεδίασης που ακολουθεί εν γένει ένας σχεδιαστής φαίνεται στο σχήµα 2.1. Μετά από την εισαγωγή σχεδίασης, τα εργαλεία αρχικής σύνθεσης εκτελούν διάφορα βήµατα. Εάν η συνάρτηση περιγράφεται από ένα πίνακα αλήθεια, εφαρµόζεται ο τρόπος σύνθεσης και παράγεται µια λογική έκφραση για τη συνάρτηση. Στη περίπτωση που χρησιµοποιείται η γλώσσα VHDL η διαδικασία µετάφρασης µετατρέπει τον πηγαίο κώδικα σε γλώσσα VHDL σε λογικές συνάρτησης, οι οποίες µπορούν να παρασταθούν από λογικές εκφράσεις. Ο σχεδιαστής µπορεί να χρησιµοποιήσει ένα µείγµα µεθόδων εισαγωγής σχεδίασης. Στο σχήµα 2.1 φαίνεται αυτή η ευελιξία στο βήµα που αναγράφεται ως συνένωση, στο οποίο τα επιµέρους στοιχεία που δηµιουργούνται από τις υπάρχουσες µεθόδους εισαγωγής σχεδίασης συνενώνονται αυτόµατα σε ένα µοναδικό σχέδιο. Στο σηµείο αυτό το κύκλωµα παριστάνεται ως σύστηµα σχεδίασης CAD ως ένα σύνολο λογικών εξισώσεων. 24

26 σχήµα 2.1 Αφότου γίνει η αρχική σύνθεση, είναι δυνατή η επαλήθευση της σωστής λειτουργίας του σχεδιασµένου κυκλώµατος µε τη βοήθεια της προσοµοιώσεις λειτουργίας. Εφόσον δεν διαπιστώνεται η ύπαρξη επιπλέον σφαλµάτων ο σχεδιαστής µπορεί να συνεχίσει µε τα επόµενα εργαλεία του προγράµµατος σχεδίασης CAD. Αυτά περιλαµβάνουν τη λογική σύνθεση, τη σύνθεση µορφής, την προσοµοίωση χρονισµού κ.α. Εισαγωγή στη γλώσσα VHDL: όταν χρησιµοποιούνται εργαλεία σχεδίασης CAD για τη σύνθεση λογικών κυκλωµάτων, ο σχεδιαστής µπορεί να δίνει την αρχική περιγραφή του κυκλώµατος µε διαφόρους τρόπους. Ένας τρόπος είναι η περιγραφή σε πηγαίο κώδικα, δηλαδή πρόγραµµα, στη γλώσσα VHDL. 25

27 Η γλώσσα VHDL δηµιουργήθηκε στα πλαίσια αυτής της προσπάθειας και έγινε η πρότυπη βιοµηχανική γλώσσα περιγραφής των ψηφιακών κυκλωµάτων, κατά κύριο λόγο επειδή αποτελεί πρότυπο του ινστιτούτου IEEE. Ο µεταφραστής της γλώσσας VHDL µεταφράζει το πρόγραµµα σε ένα λογικό κύκλωµα. Κάθε λογικό σήµα στο κύκλωµα παριστάνεται στη γλώσσα VHDL από ένα αντικείµενο δεδοµένων. Όπως ακριβώς δηλώνονται οι µεταβλητές στις ανώτερες γλώσσες προγραµµατισµού ως ακέραιοι, χαρακτήρες, πραγµατικοί αριθµοί κ.α., έτσι και στη γλώσσα VHDL τα αντικείµενα δεδοµένων µπορεί να είναι διαφόρων τύπων. Γράφοντας απλό πρόγραµµα στη γλώσσα VHDL: για να δείξουµε πώς γράφεται ένα πρόγραµµα στη γλώσσα VHDL θα χρησιµοποιήσουµε ένα παράδειγµα. Ας θεωρήσουµε το λογικό κύκλωµα του σχήµατος 2.2. σχήµα 2.2 Ο πλήρης πηγαίος κώδικας για το παράδειγµα µας παρουσιάζεται στο σχήµα 2.3. Το παράδειγµα αυτό δείχνει ότι ένα αρχείο προγράµµατος σε γλώσσα VHDL διαθέτει δύο κύριους τοµείς: µια οντότητα και µία αρχιτεκτονική. 26

28 ENTITY example1 IS PORT ( x1, x2, x3 : IN BIT ; f : OUT BIT ); END example1 ; ARCHITECTURE LogicFunc OF example1 IS BEGIN f <= (x1 AND x2) OR (NOT x2 AND x3) ; END LogicFunc ; Σχήµα 2.3 πλήρης κώδικας στη γλώσσα VHDL 3.3 Κεφάλαιο 3 Τεχνολογίες Υλοποίησης Στο κεφάλαιο 1 αναφέρθηκε ότι τα λογικά κυκλώµατα υλοποιούνται µε τη βοήθεια τρανζίστορ και ότι υπάρχουν σήµερα διάφορες τεχνολογίες υλοποίησης.στο παρόν κεφάλαιο θα διερευνήσουµε τις τεχνολογίες αυτές µε περισσότερη λεπτοµέρεια. ιατάξεις προγραµµατιζόµενης λογικής: Είναι δυνατόν να κατασκευαστούν ολοκληρωµένα κυκλώµατα που θα περιέχουν σχετικά µεγάλα λογικά κυκλώµατα µε µια δοµή που δεν είναι συγκεκριµένη. Τέτοια κυκλώµατα δηµιουργήθηκαν κατά τη δεκαετία του 1970 και ονοµάζονται διατάξεις προγραµµατιζόµενης λογικής (programmable logic devices, PLD). Ένα PLD είναι ένα ολοκληρωµένο κύκλωµα γενικής χρήσης που µπορεί να υλοποιήσει διάφορα λογικά κυκλώµατα. Περιέχει ένα σύνολο λογικών στοιχείων που µπορούν να οργανωθούν µε διάφορους τρόπους. Ένα PLD µπορεί να θεωρηθεί ως ένα µεγάλο µαύρο κουτί που περιέχει λογικές πύλες και προγραµµατιζόµενους διακόπτες, όπως φαίνεται στο σχήµα

29 σχήµα 3.1 ιάταξη προγραµµατιζόµενης λογικής PLA : η πρώτη µορφή PLD που αναπτύχθηκε ήταν η διάταξη προγραµµατιζόµενης λογικής PLA (programmable logic array). Η γενική δοµή ενός PLA φαίνεται στο σχήµα 3.2. Στηριζόµενο στο γεγονός ότι η λογικές συναρτήσεις µπορούν να υλοποιηθούν µε τη µορφή του αθροίσµατος γινοµένων, το PLA αποτελείται από ένα σύνολο πυλών AND που τροφοδοτούν ένα σύνολο πυλών OR. σχήµα

30 ιάταξη προγραµµατιζόµενης λογικής PAL: ένα ολοκληρωµένο κύκλωµα γνωστό ως διάταξης προγραµµατιζόµενης λογικής PAL ( programmable array logic) κατασκευάζεται πιο εύκολα, είναι πιο οικονοµικό και έχει καλύτερη απόδοση και για αυτό χρησιµοποιείται ευρέως σε πρακτικές εφαρµογές. Προγραµµατισµός των διατάξεων PLA και PAL: Η διαδικασία προγραµµατισµού µπορεί να διαρκέσει µερικά λεπτά. Συνήθως η µονάδα προγραµµατισµού µπορεί να διαβάσει την κατάσταση κάθε διακόπτη αφότου περατωθεί ο προγραµµατισµός, για να επιβεβαιώσει ότι αυτό έχει γίνει κανονικά. Μια λεπτοµερείς περιγραφή της διαδικασίας προβλέπεται µε τη χρήση εργαλείων προγραµµάτων CAD. Πολύπλοκες διατάξεις προγραµµατιζόµενης λογικής (CPLD): για την υλοποίηση κυκλωµάτων που απαιτούν περισσότερες εισόδους και εξόδους τα PLA και PAL µπορούν να υλοποιηθούν µε τη βοήθεια ενός πιο ειδικευµένου ολοκληρωµένου κυκλώµατος, που ονοµάζεται πολύπλοκη διάταξη προγραµµατιζόµενης λογικής (compex PLD). Ένα CPLD αποτελείται από πολλές βαθµίδες κυκλωµάτων που ευρίσκονται µέσα στο ίδιο ολοκληρωµένο κυκλώµατος και συνδέονται µεταξύ τους µε εσωτερικές καλωδίωσης. Κάθε βαθµίδα κυκλώµατος µοιάζει µε ένα PLA ή PAL. ιατάξεις πυλών προγραµµατιζόµενου πεδίου (FPGA): µε βάση τα σύγχρονα πρότυπα, ένα λογικό κύκλωµα µε πύλες δεν είναι µεγάλο. Για την υλοποίηση µεγαλύτερων κυκλωµάτων είναι βολικό να χρησιµοποιούµε ένα διαφορετικό είδος ολοκληρωµένων κυκλωµάτων που έχει µεγαλύτερη λογική χωρητικότητα. Η λογικές διατάξεις πυλών προγραµµατιζόµενου πεδίου (field-programmable gate arrays) είναι διατάξεις προγραµµατιζόµενης λογικής που υποστηρίζουν την υλοποίηση µεγάλων κυκλωµάτων. Οι διατάξεις FPGA διαφέρουν σηµαντικά από τα 29

31 CPLD και SPLD επειδή δεν περιέχουν πύλες AND και OR. Αντίθετα, τα FPGAs περιέχουν λογικές βαθµίδες για την υλοποίηση των ζητούµενων συναρτήσεων. Ειδικά ολοκληρωµένα κυκλώµατα, τυποποιηµένες κυψέλες κ διατάξεις πυλών: Παρέχουν τον µεγαλύτερο αριθµό λογικών πυλών και την µεγαλύτερη ταχύτητα. Πριν την ανάπτυξη ενός PLD δηµιουργείται ένα ειδικό ολοκληρωµένο κύκλωµα (custom chip). Σένα τέτοιο κύκλωµα ο σχεδιαστής έχει την δυνατότητα να πραγµατοποιήσει όποια οργάνωση επιθυµεί. Το κόστος ανάπτυξης του είναι ακριβό και χρησιµοποιούνται µόνο όπου απαιτείται µεγάλος αριθµός τρανζίστορ και υψηλή ταχύτητα λειτουργίας παραδείγµατα τέτοιον προϊόντων είναι οι µικροεπεξεργαστές και τα κυκλώµατα µνήµης. Η τεχνολογία των τυποποιηµένων κυψελών χρησιµοποιείται για να αποφύγουµε ένα τµήµα σχεδίασης ενός ειδικού ολοκληρωµένου κυκλώµατος, τα κυκλώµατα αυτά είναι γνωστά ως ειδικά ολοκληρωµένα κυκλώµατα εφαρµογής. Το κύκλωµα δηµιουργείται από την αρχή σύµφωνα µε τις ανάγκες του χρήστη. Στην τεχνολογία διάταξης πυλών κάποια τµήµατα του ολοκληρωµένου κυκλώµατος οργανώνονται εκ των προτέρων και άλλα τµήµατα σχεδιάζονται σύµφωνα µε τις ανάγκες του συγκεκριµένου κυκλώµατος του χρήστη. Η τεχνολογία διάταξης πυλών κατασκευάζει τα ολοκληρωµένα κυκλώµατα µε µια ακολουθία βηµάτων, εκεί δηµιουργούνται τα τρανζίστορ και οι γραµµές σύνδεσης των τρανζίστορ. Εδώ οι απαιτήσεις του χρήστης δεν λαµβάνεται υπόψη, τα αναλαµβάνει όλα η κατασκευάστρια εταιρία. 30

32 Λεπτοµέρειες για την υλοποίηση των διατάξεων SPLD CPLD και FPGA : Στις διατάξεις SPLD χρησιµοποιούνται κυρίως δύο τεχνολογίες για την κατασκευή προγραµµατιζόµενων διακοπτών. Η προηγούµενη τεχνολογία στηρίζεται στη χρήση ασφαλειών µεταλλικού κράµατος, που λειτουργούν ως προγραµµατιζόµενες συνδέσεις, σε αυτά τα PAL και τα PLA κατασκευάζονταν µε το κάθε ζεύγος διασταυρωµένα οριζόντια και κατακόρυφα τα καλώδια να συνδέονται µε ασφάλεια, έπειτα όταν το ολοκληρωµένα κύκλωµα προγραµµατίζεται, καίγεται η ασφάλεια που αντιστοιχεί σε κάθε ανεπιθύµητη σύνδεση. Στις σύγχρονες διατάξεις PAL και PLA οι προγραµµατιζόµενοι διακόπτες υλοποιούνται µε την βοήθεια ενός ειδικού προγραµµατιζόµενου τρανζίστορ, η ίδια τεχνολογία µπορεί επίσης να χρησιµοποιηθεί και στα CPLD. Για να είναι χρήσιµο ένα PLA για την υλοποίηση πολλών λογικών συναρτήσεων, θα πρέπει να µπορεί να υποστηρίζει συναρτήσεις µόλις µερικών µεταβλητών και συναρτήσεις πολλών µεταβλητών. 3.4 Κεφάλαιο 4 Βελτιστοποίηση της υλοποίησης λογικών κυκλωµάτων Πρακτικά Ζητήµατα : Περιγράφοντας τα εργαλεία σχεδίασης CAD αναφέρουµε δύο όρους που χρησιµοποιούνται στη βιοµηχανία, λογική σύνθεση ανεξάρτητη της τεχνολογίας υλοποίησης και τον όρο χαρτογράφηση τεχνολογίας. Ο πρώτος αναφέρεται σε τεχνικές που εφαρµόζονται για τη βελτιστοποίηση ενός κυκλώµατος χωρίς να λαµβάνονται υπόψη οι πόροι που απαιτούνται να υπάρχουν στο ολοκληρωµένο κύκλωµα που θα χρησιµοποιηθεί. 31

33 Ο δεύτερος όρος αναφέρεται στις τεχνικές που χρησιµοποιούνται για να διασφαλιστεί ότι το κύκλωµα που παράγεται από τη λογική σύνθεση µπορεί να υλοποιηθεί χρησιµοποιώντας τους λογικούς πόρους του ολοκληρωµένου κυκλώµατος που θα χρησιµοποιηθεί. Πχ. Ο µετασχηµατισµός ενός κυκλώµατος από κύκλωµα πυλών AND- OR σε κύκλωµα αποκλειστικά πυλών NAND. Εργαλεία σχεδίασης CAD: Σε αυτήν την ενότητα περιγράφουµε τα τυπικά εργαλεία ενός συστήµατος σχεδίασης CAD για την εκτέλεση λογικής σύνθεσης και βελτιστοποίησης, φυσικής σχεδίασης και προσοµοίωσης χρονισµού. Τα εργαλεία αυτά εκτελούν τις ακόλουθες εργασίες: Εισαγωγή σχεδίου(design entry): επιτρέπει στον σχεδιαστή να εισάγει την περιγραφή του επιθυµητού κυκλώµατος µε τη µορφή πίνακα αλήθειας, σχηµατικού διαγράµµατος, ή προγράµµατος σε γλώσσα HDL. Αρχική σύνθεση(intial synthesis): δηµιουργεί το αρχικό κύκλωµα µε βάση τα δεδοµένα που έχουν εισαχθεί κατά το στάδιο της εισαγωγής σχεδίου. Προσοµοίωση λειτουργίας (timing simulation): χρησιµοποιείται για να επαλήθευση τη λειτουργία του κυκλώµατος µε βάση εισόδους που παρέχει ο σχεδιαστής. Λογική σύνθεση και βελτιστοποίηση (logical synthesis and optimization): χρησιµοποιεί τεχνικές βελτιστοποίησης µε σκοπό τη δηµιουργία του βέλτιστοι κυκλώµατος. 32

34 Φυσική σχεδίαση(physical design): προσδιορίζει τον τρόπο υλοποίησης του βελτιστοποιηµένου κυκλώµατος µε βάση µια επιθυµητή τεχνολογία για παράδειγµα ένα ολοκληρωµένο κύκλωµα PLD. Προσοµοίωση χρονισµού(timing simulation): προσδιορίζει τις καθυστερήσεις διάδοσης που αναµένεται να προκύψουν στο πραγµατικό κύκλωµα. Οργάνωση ολοκληρωµένου κυκλώµατος (chip configuration): οργανώνει το ολοκληρωµένο κύκλωµα καθαυτό, ώστε να υλοποιεί το επιθυµητό λογικό κύκλωµα. Λογική σύνθεση και βελτιστοποίηση: Οι τεχνικές βελτιστοποίησης εφαρµόζονται αυτόµατα από τα εργαλεία σχεδίασης CAD κατά την σύνθεση των λογικών συναρτήσεων. Το κύκλωµα που έχει παραχθεί εξαρτάται από το είδος των διατιθέµενων λογικών πόρων στο ολοκληρωµένο κύκλωµα-στόχο και από το συγκεκριµένο πρόγραµµα σχεδίασης CAD που χρησιµοποιείται. Φυσική σχεδίαση: Το επόµενο βήµα τις διαδικασίας σχεδίασης είναι µε βάση την επιθυµητή τεχνολογία ο επακριβής προσδιορισµός της υλοποίησης του κυκλώµατος, περιλαµβάνει δύο κύρια µέρη: 1) Τοποθέτηση: προσδιορίζει σε ποιο σηµείο της συσκευής στόχου θα πρέπει να υλοποιηθεί η κάθε λογική συνάρτηση του βελτιστοποιηµένου κυκλώµατος διαδικασία αυτή εξαρτάτε ισχυρά από την τεχνολογία υλοποίησης. 33

35 2) ροµολόγηση: µετά την ολοκλήρωση του παραπάνω αποφασίζουµε ποια από τα καλώδια που έχει το ολοκληρωµένο κύκλωµα θα χρησιµοποιηθούν για τις απαιτούµενες διασύνδεσης. Εδώ επίσης έχουµε µία ισχυρή εξάρτηση από την τεχνολογία υλοποίησης. Προσοµοίωση χρονισµού: Προσοµοιώνει τις πραγµατικές καθυστερήσεις διάδοσης που αναµένεται να εµφανιστούν και εξαρτώνται από την τεχνολογία που έχει επιλεγεί. Συνοπτική Παρουσίαση της ροής της διαδικασίας σχεδίασης Στο σχήµα 4.1 φαίνεται η διαδικασία σχεδίασης ενός πλήρους συστήµατος σχεδίασης CAD. σχήµα

36 3.5 Κεφάλαιο 5 Παράσταση αριθµών και αριθµητικά κυκλώµατα Στο παρόν κεφάλαιο θα εξετάζονται τα λογικά κυκλώµατα που εκτελούν αριθµητικές πράξεις. Εξηγείται ο τρόπος µε τον οποίο οι αριθµοί προστίθενται, αφαιρούνται και πολλαπλασιάζονται. Εξηγείται επίσης ο τρόπος µε τον οποίο γράφονται προγράµµατα σε VHDL για την περιγραφή αριθµητικών κυκλωµάτων. Τα κυκλώµατα αυτά αποτελούν ένα εξαίρετο παράδειγµα για την επίδειξη των δυνατοτήτων και της ευελιξίας της γλώσσας VHDL στο αντικείµενο του προσδιορισµού περίπλοκων λογικών κυκλωµάτων. Οι έννοιες που υπεισέρχονται στη σχεδίαση των αριθµητικών κυκλωµάτων εφαρµόζονται σε µία ποικιλία διαφόρων κυκλωµάτων. Πρόσθεση µη-προσηµασµένων αριθµών: εξηγείται η πρόσθεση µηπροσηµασµένων αριθµών µε χρήση πυλών XOR, η σύνθεση πλήρη αθροιστή από ηµιαθροιστές και ο αθροιστής διάδοσης κρατουµένου. Προσηµασµένοι αριθµοί: Στο δυαδικό σύστηµα το πρόσηµο ενός αριθµού δείχνεται από το περισσότερο σηµαντικό bit MSB, εάν το αριστερό bit είναι 0 τότε µιλάµε για θετικό αριθµό και 1 αν είναι αρνητικό. Άρα στους προσηµασµένους αριθµούς το αριστερό bit είναι αντιπροσωπεύει το πρόσηµο και τα υπόλοιπα n-1 ψηφία το µέτρο του αριθµού. Περιγράφονται οι αρνητικοί αριθµοί, η παράσταση προσήµου-µέτρου, η παράσταση µε το συµπλήρωµα ως προς ένα, η παράσταση µε το 35

37 συµπλήρωµα ως προς δύο και ο κανόνας για την εύρεση του συµπληρώµατος ως προς δύο. Εξηγείται η πρόσθεση και η αφαίρεση, πρόσθεση µε την µέθοδο προσήµου-µέτρου, πρόσθεση αριθµών γραµµένων στο συµπλήρωµα ως προς ένα και πρόσθεση αριθµών γραµµένων στο συµπλήρωµα ως προς δύο. Όσον αφορά την αφαίρεση, περιγράφεται η αφαίρεση αριθµών στο συµπλήρωµα ως προς δύο. Επίσης, θίγεται ένα σηµαντικό θέµα στη λογική των πράξεων που είναι η υπερχείλιση κατά τις αριθµητικές πράξεις. Ταχείς αθροιστές: Η επίδοση ενός ψηφιακού συστήµατος εξαρτάται από την ταχύτητα των κυκλωµάτων που σχηµατίζουν τις διάφορες λειτουργικές µονάδες. Για να επιτύχουµε υψηλότερες επιδόσεις εάν τα κυκλώµατα είναι ταχύτερα, χρησιµοποιείται σύγχρονη τεχνολογία, στην οποία οι καθυστερήσεις των βασικών πυλών είναι χαµηλές. Στο σηµείο αυτό περιγράφεται ο αθροιστής πρόβλεψης κρατουµένου. Σχεδίαση αριθµητικών κυκλωµάτων µε πρόγραµµα CAD: Στην παρούσα ενότητα εξηγείται ο τρόπος µε τον οποίο µπορούν να σχεδιαστούν αριθµητικά κυκλώµατα µε τη βοήθεια εργαλείων CAD. Περιγράφεται η σχεδίαση αριθµητικών κυκλωµάτων µε τη βοήθεια σχηµατικών διαγραµµάτων και η σχεδίαση αριθµητικών κυκλωµάτων µε τη γλώσσα VHDL. Παρακάτω παρατίθενται δύο παραδείγµατα αριθµητικών κυκλωµάτων µε χρήση VHDL: 36

38 Στο σχήµα 5.1 παρουσιάζεται ένα παράδειγµα κώδικα σε γλώσσα VHDL για ένα πλήρη αθροιστή. LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY fulladd IS END fulladd ; PORT ( Cin, x, y : IN STD_LOGIC ; s, Cout : OUT STD_LOGIC ) ; ARCHITECTURE LogicFunc OF fulladd IS BEGIN s <= x XOR y XOR Cin ; Cout <= (x AND y) OR (Cin AND x) OR (Cin AND y) ; END LogicFunc ; Σχήμα

39 Στο σχήµα 5.2 παρουσιάζεται ένα παράδειγµα κώδικα σε γλώσσα VHDL για ένα πλήρη αθροιστή τεσσάρων bits. LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY adder4 IS PORT ( Cin : IN STD_LOGIC ; x3, x2, x1, x0 : IN STD_LOGIC ; y3, y2, y1, y0 : IN STD_LOGIC ; s3, s2, s1, s0 : OUT STD_LOGIC ; Cout : OUT STD_LOGIC ); END adder4 ; ARCHITECTURE Structure OF adder4 IS SIGNAL c1, c2, c3 : STD_LOGIC ; COMPONENT fulladd PORT ( Cin, x, y : IN STD_LOGIC ; s, Cout : OUT STD_LOGIC ); END COMPONENT ; Σχήµα 5.2 BEGIN stage0: fulladd PORT MAP ( Cin, x0, y0, s0, c1 ); stage1: fulladd PORT MAP ( c1, x1, y1, s1, c2 ); stage2: fulladd PORT MAP ( c2, x2, y2, s2, c3 ); stage3: fulladd PORT MAP ( Cin => c3, Cout => Cout, x => x3, y => y3, s => s3 ); END Structure ; 38

40 Πολλαπλασιασµός: Ένας δυαδικός αριθµός Β µπορεί να πολλαπλασιαστεί µε τον αριθµό δύο εάν τοποθετήσουµε στα δεξιά του λιγότερο σηµαντικό bit το ψηφίο 0, έτσι έχουµε ολίσθηση του αριθµό προς τα αριστερά κατά µία θέση. Περιγράφεται ο πολλαπλασιασµός σειράς µη-προσηµασµένων αριθµών και ο πολλαπλασιασµός προσηµασµένων αριθµών. 3.6 Κεφάλαιο 6 οµικές βαθµίδες συνδυαστικών κυκλωµάτων Μέχρι τώρα περιγράφηκαν βασικές τεχνικές σχεδίασης λογικών κυκλωµάτων. Στη πράξη συχνά χρησιµοποιούνται µερικοί τύποι λογικών κυκλωµάτων ως δοµικές βαθµίδες µεγαλύτερων κυκλωµάτων. Στο κεφάλαιο αυτό περιγράφεται ένας αριθµός τέτοιων βαθµίδων και δίνονται παραδείγµατα χρήσης τους. Πολυπλέκτες: ιαθέτει ένα αριθµό εισόδων δεδοµένων(data inputs),µία ή περισσότερες εισόδους επιλογής δεδοµένων (data select inputs) και µία έξοδο(output). Στο σχήµα 6.1 παρατίθεται ένα παράδειγµα πολυπλέκτη τέσσερα προς ένα. 39

41 σχήµα 6.1 Περιγράφεται η σύνθεση λογικών συναρτήσεων χρησιµοποιώντας πολυπλέκτες καθώς και η σύνθεση πολυπλεκτών µε τη βοήθεια της σχέσης του Shannon. Αποκωδικοποιητές: Χρησιµοποιούνται για να αποκωδικοποιούν κωδικοποιηµένη πληροφορία. Μία έξοδο ενεργοποιείται κάθε φορά και κάθε έξοδος αντιστοιχεί σε ένα συνδυασµό τιµών των εισόδων. ιαθέτει επίσης και µία είσοδο ενεργοποίησης (Εn) η οποία χρησιµοποιείται για να απενεργοποιεί τις εξόδους. Παρατίθεται ένα παράδειγµα που απεικονίζει ένα δυαδικό αποκωδικοποιητή µε n εισόδους και 2 n εξόδους. σχήµα

42 Κωδικοποιητές: Εκτελεί την αντίθετη λειτουργία ενός αποκωδικοποιητή, κωδικοποιεί πληροφορίες σε µία πιο συµπαγή µορφή. Στο κεφάλαιο περιγράφονται οι δυαδικοί κωδικοποιητές και οι κωδικοποιητές προτεραιότητας. Παράδειγµα δυαδικού κωδικοποιητή 2 n προς n φαίνεται στο σχήµα 6.3. σχήµα 6.3 Προγραµµατισµός συνδυαστικών κυκλωµάτων σε γλώσσα VHDL: Στο σχήµα 6.4 περιγράφεται ο πολυπλέκτης τέσσερα προς ένα του σχήµατος 6.1 σε κώδικα γλώσσας VHDL. 41

43 LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY mux4to1 IS PORT ( w0, w1, w2, w3 : IN STD_LOGIC ; S : IN STD_LOGIC_VECTOR(1 DOWNTO 0) ; F : OUT STD_LOGIC ); END mux4to1 ; ARCHITECTURE Behavior OF mux4to1 IS BEGIN WITH s SELECT f <= w0 WHEN "00", w1 WHEN "01", w2 WHEN "10", w3 WHEN OTHERS ; END Behavior ; LIBRARY ieee ; USE ieee.std_logic_1164.all ; PACKAGE mux4to1_package IS COMPONENT mux4to1 PORT ( w0, w1, w2, w3 : IN STD_LOGIC ; s : IN STD_LOGIC_VECTOR(1 DOWNTO 0) ; f : OUT STD_LOGIC ); END COMPONENT ; END mux4to1_package ; 42

44 3.7 Κεφάλαιο 7 Flip-Flops, καταχωρητές, µετρητές και ένας απλός επεξεργαστής. Στα προηγούµενα κεφάλια εξετάζονται συνδυάστηκα κυκλώµατα στα οποία οι τιµή της κάθε εξόδου εξαρτάται αποκλειστικά από τις τιµές των σηµάτων που εφαρµόζονται στις εισόδους. Υπάρχει µια άλλη κατηγορία λογικών κυκλωµάτων, στα οποία οι τιµές των εξόδων εξαρτώνται όχι µόνο από τις τρέχουσες τιµές των εισόδων, αλλά και από την προηγούµενη συµπεριφορά του κυκλώµατος. Τέτοια κυκλώµατα είναι τα στοιχεία αποθήκευσης που αποθηκεύουν τις τιµές λογικών σηµάτων. Τα περιεχόµενα των στοιχείων αποθήκευσης λέγεται ότι αντιπροσωπεύουν την κατάσταση (state) του κυκλώµατος. Όταν αλλάξουν οι τιµές των εισόδων του κυκλώµατος, οι νέες τιµές µπορεί να διατηρήσουν το κύκλωµα στην υπάρχουσα κατάσταση ή να το οδηγήσουν σε µια νέα. Καθώς κυλά ο χρόνος ένα κύκλωµα περνά από ένα σύνολο καταστάσεων, ως αποτέλεσµα των αλλαγών στις εισόδους του. Τα κυκλώµατα που συµπεριφέρονται µε αυτόν τον τρόπο λέγονται ακολουθιακά κυκλώµατα. Στο παρόν κεφάλαιο περιγράφονται κυκλώµατα που χρησιµοποιούνται ως στοιχεία αποθήκευσης. Χρονιζόµενος µανδαλωτής SR: Λειτουργεί ως στοιχείο µνήµης, θυµάται την κατάσταση όταν οι είσοδοι S και R είναι ίσες µε µηδέν και αλλάζει κατάσταση αποκρινόµενος στις αλλαγές των δύο παραπάνω σηµάτων εισόδων. Στο σχήµα 7.1 φαίνεται το κύκλωµα του χρονιζόµενου µανδαλωτή SR. 43

45 σχήµα 7.1 Χρονιζόµενος µανδαλωτής D: ο χρονιζόµενος µανδαλωτης D έχει µία είσοδο δεδοµένων που ονοµάζεται D και αποθηκεύει την τιµή που υπάρχει σε αυτήν υπό τον έλεγχο ενός ωρολογιακού σήµατος. D Flip-Flops διατάξεις master-slave και διέγερσης µετώπου: οι συγκεκριµένες διατάξεις περιγράφονται µε το παρακάτω σχήµα (7.2). σχήµα 7.2 Η λειτουργία του κυκλώµατος είναι τέτοια, ώστε όταν ο ωρολογιακός παλµός έχει υψηλή τιµή Clock=1 ο µανδαλωτής master να παρακολουθεί την τιµή του σήµατος της εισόδου D αλλά ο µανδαλωτής slave να µην αλλάζει κατάσταση, η τιµή της εξόδου Qm παρακολουθεί τις αλλαγές της εισόδου, ενώ η έξοδος Qs παραµένει σταθερή. 44

46 Στο κεφάλαιο αυτό περιγράφεται και η λειτουργία των D Flip-Flops διέγερσης µετώπου καθώς και η λειτουργία των D Flip-Flops µε εισόδους ενεργοποίησης και µηδενισµού. T Flip-Flops: Τα Τ flip-flop είναι ένα κύκλωµα που χρησιµοποιεί ένα D flip-flop θετικής διέγερσης όπου οι συνδέσεις ανάδρασης καθιστούν το σήµα εισόδου D ίσο µε την τιµή Q είτε Q, υπό τον έλεγχο ενός σήµατος Τ, η ονοµασία προέρχεται από τη συµπεριφορά του κυκλώµατος, το οποίο εναλλάσσει την κατάσταση του όταν είναι Τ=1. Η λειτουργία του κυκλώµατος (σχήµα 7.3) είναι ότι διατηρεί την τρέχουσα κατάσταση του όταν είναι Τ=0 και ότι την αντιστρέφει όταν είναι Τ=1. σχήµα 7.3 Jk Flip-flops : Tα JK-flip flops συνδυάζουν την συµπεριφορά των SR και T flip-flops. Αντί να χρησιµοποιήσουµε µια είσοδο ελέγχου Τ χρησιµοποιούµε δύο εισόδους J και K, η είσοδος D ορίζεται ως D=JQ+KQ. Για συνδυασµό τιµών εισόδων συµπεριφέρεται σαν SR flipflop όπου J=S και Κ=R εκτός από J=K=1 όπου συµπεριφέρεται ως ένα T flip-flop (σχήµα 7.4). 45

47 σχήµα 7.4 Καταχωρητές: Ο καταχωρητής είναι ένα σύνολο από n flip-flops που χρησιµοποιούνται για να αποθηκεύσουν n bits πληροφορίας, έχουν ένα κοινό ωρολογιακό σήµα. Στο κεφάλαιο αυτό αναφέρονται δύο είδη καταχρητών: ο καταχωρητής ολίσθησης και ο καταχωρητής ολίσθησης παράλληλης προσπέλασης. Μετρητές: Οι µετρητές χρησιµοποιούνται στα ψηφιακά συστήµατα για πολλούς λόγους, να µετρούν τις φορές που εµφανίστηκαν κάποια γεγονότα, να δηµιουργούν χρονικά διαστήµατα για τον έλεγχο διαφόρων εργασιών ενός συστήµατος κ.ο.κ. Αναλύεται η λειτουργία ασύγχρονων και σύγχρονων µετρητών. Συγχρονισµός Του Μηδενισµού: Όταν ο µετρητής µηδενίζεται κατά το θετικό µέτωπο του ωρολογιακού παλµού έχει τη δυνατότητα σύγχρονου µηδενισµού. 46

48 Άλλες µορφές µετρητών: περιγράφονται τρεις άλλες µορφές µετρητών που µπορούν να βρεθούν σε πρακτικές εφαρµογές. Οι µετρητές που περιγράφονται είναι: ο δεκαδικός, ο κυκλικός και ο µετρητής Jonhson. Χρήση Στοιχειών Αποθήκευσης µε εργαλεία Σχεδίασης CAD: ίνεται ένα παράδειγµα σε γλώσσα VHDL για ένα χρονιζόµενο µανδαλωτη τύπου D. LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY latch IS PORT ( D, Clk : IN STD_LOGIC ; Q : OUT STD_LOGIC) ; END latch ; ARCHITECTURE Behavior OF latch IS BEGIN PROCESS ( D, Clk ) BEGIN IF Clk = '1' THEN Q <= D ; END IF ; END PROCESS ; 47

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (1 η σειρά διαφανειών)

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (1 η σειρά διαφανειών) ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Δρ. Δ. Λαμπάκης (1 η σειρά διαφανειών) Τα ηλεκτρονικά κυκλώματα, ιδιαίτερα τα ψηφιακά χρησιμοποιούνται για την υλοποίηση λογικών συναρτήσεων και την αποθήκευση

Διαβάστε περισσότερα

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Γενικά χαρακτηριστικά, σύνταξη και τύποι. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 -

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Γενικά χαρακτηριστικά, σύνταξη και τύποι. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 - Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL Γενικά χαρακτηριστικά, σύνταξη και τύποι Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 1 - Περίγραµµα διάλεξης Τι είναι η VHDL? Πλεονεκτήµατα της VHDL στη σχεδίαση κυκλωµάτων

Διαβάστε περισσότερα

4.1 Θεωρητική εισαγωγή

4.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 4 ΥΑ ΙΚΟΣ ΑΘΡΟΙΣΤΗΣ-ΑΦΑΙΡΕΤΗΣ Σκοπός: Να µελετηθούν αριθµητικά κυκλώµατα δυαδικής πρόσθεσης και αφαίρεσης. Να σχεδιαστούν τα κυκλώµατα από τους πίνακες αληθείας

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη q Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή 6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή Εισαγωγή Η σχεδίαση ενός ψηφιακού συστήµατος ως ακολουθιακή µηχανή είναι εξαιρετικά δύσκολη Τµηµατοποίηση σε υποσυστήµατα µε δοµικές µονάδες:

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 1 η :

Διαβάστε περισσότερα

Κυκλωμάτων» Χειμερινό εξάμηνο

Κυκλωμάτων» Χειμερινό εξάμηνο «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Εισαγωγή στα Συστήματα Ολοκληρωμένων Κυκλωμάτων Δρ. Παρασκευάς Κίτσος Επίκουρος Καθηγητής http://diceslab.cied.teiwest.gr E-mail: pkitsos@teimes.gr

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Κεφάλαιο 7 i: Καταχωρητές Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές Ολίσθησης Σειριακή Φόρτωση Σειριακή Ολίσθηση Καταχωρητές Ολίσθησης Παράλληλης Φόρτωσης

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ ΠΕΡΙΕΧΟΜΕΝΑ Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ 1.1 Εισαγωγή...11 1.2 Τα κύρια αριθμητικά Συστήματα...12 1.3 Μετατροπή αριθμών μεταξύ των αριθμητικών συστημάτων...13 1.3.1 Μετατροπή ακέραιων

Διαβάστε περισσότερα

Πτυχιακή Εργασία Σχεδίαση κυκλωμάτων επικοινωνίας με απλές οθόνες, με τη γλώσσα VHDL και υλοποίηση στις αναπτυξιακές πλακέτες LP-2900 και DE2.

Πτυχιακή Εργασία Σχεδίαση κυκλωμάτων επικοινωνίας με απλές οθόνες, με τη γλώσσα VHDL και υλοποίηση στις αναπτυξιακές πλακέτες LP-2900 και DE2. ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΚΕΝΤΡΙΚΗΣ ΜΑΚΕΔΟΝΙΑΣ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Τ.Ε. Πτυχιακή Εργασία Σχεδίαση κυκλωμάτων επικοινωνίας με απλές οθόνες, με τη γλώσσα VHDL και υλοποίηση στις αναπτυξιακές

Διαβάστε περισσότερα

ΠΛΗ10 Κεφάλαιο 2. ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών

ΠΛΗ10 Κεφάλαιο 2. ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: 2 2.3 : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών Στόχοι Μαθήματος: Να γνωρίσετε τις βασικές αρχές αριθμητικής των Η/Υ. Ποια είναι τα κυκλώματα

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές

Διαβάστε περισσότερα

Κεφάλαιο Τρία: Ψηφιακά Ηλεκτρονικά

Κεφάλαιο Τρία: Ψηφιακά Ηλεκτρονικά Κεφάλαιο Τρία: 3.1 Τι είναι αναλογικό και τι ψηφιακό µέγεθος Αναλογικό ονοµάζεται το µέγεθος που µπορεί να πάρει οποιαδήποτε τιµή σε µια συγκεκριµένη περιοχή τιµών π.χ. η ταχύτητα ενός αυτοκινήτου. Ψηφιακό

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ. ΜΑΘΗΜΑ 2 ο. ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ

ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ. ΜΑΘΗΜΑ 2 ο. ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ ΜΑΘΗΜΑ 2 ο ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ 2009-10 ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ 1 Άλγεβρα Βοοle η θεωρητική βάση των λογικών κυκλωμάτων Η άλγεβρα Βοοle ορίζεται επάνω στο σύνολο

Διαβάστε περισσότερα

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Θεµατική Ενότητα ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Ακαδηµαϊκό Έτος 2006 2007 Γραπτή Εργασία #2 Ηµεροµηνία Παράδοσης 28-0 - 2007 ΠΛΗ 2: Ψηφιακά Συστήµατα ΠΡΟΤΕΙΝΟΜΕΝΕΣ ΛΥΣΕΙΣ Άσκηση : [5 µονάδες] Έχετε στη

Διαβάστε περισσότερα

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2009 Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL

ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL Προετοιµασία: Παπαδόπουλος Γιώργος Σούρδης Γιάννης Για το µάθηµα Οργάνωσης Υπολογιστών (ΑΡΥ301), 2002 ΕΙΣΑΓΩΓΗ ΣΤΗ STRUCTURAL VHDL Η VHDL είναι

Διαβάστε περισσότερα

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες Πρώτο Κεφάλαιο Εισαγωγή στα Ψηφιακά Συστήματα 1.1 Αναλογικά και Ψηφιακά Σήματα και Συστήματα... 1 1.2 Βασικά Ψηφιακά Κυκλώματα... 3 1.3 Ολοκληρωμένα κυκλώματα... 4 1.4 Τυπωμένα κυκλώματα... 7 1.5 Εργαλεία

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 2: Βασικές Μονάδες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ Κ. Δεμέστιχας Εργαστήριο Πληροφορικής Γεωπονικό Πανεπιστήμιο Αθηνών Επικοινωνία μέσω e-mail: cdemest@aua.gr, cdemest@cn.ntua.gr 1 5. ΑΛΓΕΒΡΑ BOOLE ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕΡΟΣ Β 2 Επαναληπτική

Διαβάστε περισσότερα

ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ένα συνδυαστικό κύκλωµα µπορεί να περιγραφεί από: Φεβ-05. n-είσοδοι

ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ένα συνδυαστικό κύκλωµα µπορεί να περιγραφεί από: Φεβ-05. n-είσοδοι ΗΜΥ 2: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 25 Φεβ-5 ΗΜΥ-2: Λογικός Σχεδιασµός Εαρινό Εξάµηνο 25 Κεφάλαιο 3 -i: Σχεδιασµός Συνδυαστικών Κυκλωµάτων Περίληψη Αρχές σχεδιασµού Ιεραρχία σχεδιασµού Σχεδιασµός

Διαβάστε περισσότερα

Γενικά Στοιχεία Ηλεκτρονικού Υπολογιστή

Γενικά Στοιχεία Ηλεκτρονικού Υπολογιστή Γενικά Στοιχεία Ηλεκτρονικού Υπολογιστή 1. Ηλεκτρονικός Υπολογιστής Ο Ηλεκτρονικός Υπολογιστής είναι μια συσκευή, μεγάλη ή μικρή, που επεξεργάζεται δεδομένα και εκτελεί την εργασία του σύμφωνα με τα παρακάτω

Διαβάστε περισσότερα

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

Ψηφιακά Κυκλώματα (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική

Ψηφιακά Κυκλώματα (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική Ψηφιακά Κυκλώματα ( ο μέρος) ΜΥΥ-6 Εισαγωγή στους Η/Υ και στην Πληροφορική Ψηφιακά κυκλώματα Οι δύο λογικές τιμές, αντιστοιχούν σε ηλεκτρικές τάσεις Υλοποιούνται με τρανζίστορ ή διόδους: ελεγχόμενοι διακόπτες

Διαβάστε περισσότερα

σύνθεση και απλοποίησή τους θεωρήµατα της άλγεβρας Boole, αξιώµατα του Huntington, κλπ.

σύνθεση και απλοποίησή τους θεωρήµατα της άλγεβρας Boole, αξιώµατα του Huntington, κλπ. Εισαγωγή Εργαστήριο 2 ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ Σκοπός του εργαστηρίου είναι να κατανοήσουµε τον τρόπο µε τον οποίο εκφράζεται η ψηφιακή λογική υλοποιώντας ασκήσεις απλά και σύνθετα λογικά κυκλώµατα (χρήση του

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9. Tα Flip-Flop

ΑΣΚΗΣΗ 9. Tα Flip-Flop ΑΣΚΗΣΗ 9 Tα Flip-Flop 9.1. ΣΚΟΠΟΣ Η κατανόηση της λειτουργίας των στοιχείων μνήμης των ψηφιακών κυκλωμάτων. Τα δομικά στοιχεία μνήμης είναι οι μανδαλωτές (latches) και τα Flip-Flop. 9.2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ

Διαβάστε περισσότερα

ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ. Κεφάλαιο 3

ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ. Κεφάλαιο 3 ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ Κεφάλαιο 3 Κεντρική Μονάδα Επεξεργασίας Κεντρική Μονάδα Επεξεργασίας Μονάδα επεξεργασίας δεδομένων Μονάδα ελέγχου Μονάδα επεξεργασίας δεδομένων Δομή Αριθμητικής Λογικής Μονάδας

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Ακολουθιακός Κώδικας Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ E-mail: pkitsos@teimes.gr

Διαβάστε περισσότερα

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Βασικές εντολές και η περιγραφή συνδυαστικών κυκλωµάτων. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 2 -

Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL. Βασικές εντολές και η περιγραφή συνδυαστικών κυκλωµάτων. Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 2 - Εισαγωγή στη γλώσσα περιγραφής υλικού VHDL Βασικές εντολές και η περιγραφή συνδυαστικών κυκλωµάτων Ψηφιακή Σχεδίαση µε CAD ΙΙ - ιάλεξη 2 - Περίγραµµα διάλεξης Υποκυκλώµατα Περιγραφή δοµής στη VHDL Βιβλιοθήκες

Διαβάστε περισσότερα

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A].

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A]. Κανονική μορφή συνάρτησης λογικής 5. Η κανονική μορφή μιας λογικής συνάρτησης (ΛΣ) ως άθροισμα ελαχιστόρων, από τον πίνακα αληθείας προκύπτει ως εξής: ) Παράγουμε ένα [A] όρων από την κάθε σειρά για την

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2018-2019 Ροή Σχεδίασης Κυκλωμάτων και Εργαλεία CAD ΗΥ220 - Βασίλης Παπαευσταθίου 1 Transistor: Δομική μονάδα κυκλωμάτων Τα ολοκληρωμένα κυκλώματα

Διαβάστε περισσότερα

"My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch

My Binary Logic Ένας προσομοιωτής λογικών πυλών στο Scratch "My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch Καραγιάννη Ελένη 1, Καραγιαννάκη Μαρία-Ελένη 2, Βασιλειάδης Αθανάσιος 3, Κωστουλίδης Αναστάσιος-Συμεών 4, Μουτεβελίδης Ιωάννης-Παναγιώτης 5,

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2017-2018 Ροή Σχεδίασης Κυκλωμάτων και Εργαλεία CAD ΗΥ220 - Γιώργος Καλοκαιρινός & Βασίλης Παπαευσταθίου 1 Transistor: Δομική μονάδα κυκλωμάτων Τα

Διαβάστε περισσότερα

VHDL Εισαγωγικές έννοιες

VHDL Εισαγωγικές έννοιες VHDL Εισαγωγικές έννοιες ρ. Κ. Καραφασούλης ckaraf@aegean.gr Σχεδίαση Αρχικά ψηφιακά κυκλώµατα µπορούν να σχεδιασθούν µε το κατάλληλο λογισµικό. Μεγαλύτερα κυκλώµατα µπορούν να σχεδιασθούν ιεραρχικά από

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 17: Αναδιατασσόµενη Λογική Προγραµµατιζόµενο Υλικό

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 17: Αναδιατασσόµενη Λογική Προγραµµατιζόµενο Υλικό ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 17: Αναδιατασσόµενη Λογική Προγραµµατιζόµενο Υλικό ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Προγραµµατιζόµενες

Διαβάστε περισσότερα

Περιεχόµενα. Πρόλογος 11. 0 Εισαγωγή 21

Περιεχόµενα. Πρόλογος 11. 0 Εισαγωγή 21 Περιεχόµενα Πρόλογος 11 Σκοπός αυτού του βιβλίου 11 Σε ποιους απευθύνεται αυτό το βιβλίο 12 Βασικά χαρακτηριστικά του βιβλίου 12 Κάλυψη συστηµάτων CAD 14 Εργαστηριακή υποστήριξη 14 Συνοπτική παρουσίαση

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΕΣ ΥΛΟΠΟΙΗΣΗΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΤΕΧΝΟΛΟΓΙΕΣ ΥΛΟΠΟΙΗΣΗΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΤΕΧΝΟΛΟΓΙΕΣ ΥΛΟΠΟΙΗΣΗΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Υλοποίηση ΥΛΟΠΟΙΗΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΔΙΑΚΡΙΤΑ ΣΤΟΙΧΕΙΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ ΑΝΑΔΙΑΜΟΡΦΩΣΙΜΟ ΥΛΙΚΟ Ο.Κ. ΕΙΔΙΚΟΥ ΣΚΟΠΟΥ (VLSI) FULL CUSTOM (Reconfigurable

Διαβάστε περισσότερα

Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής

Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής Βασισμένο σε μια εργασία των Καζαρλή, Καλόμοιρου, Μαστοροκώστα, Μπαλουκτσή, Καλαϊτζή, Βαλαή, Πετρίδη Εισαγωγή Η Εξελικτική Υπολογιστική

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 12: Σύνοψη Θεμάτων Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http://arch.icte.uowm.gr/mdasyg

Διαβάστε περισσότερα

Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL

Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL 3.1 Εισαγωγή στα FLIP FLOP 3.1.1 Θεωρητικό Υπόβαθρο Τα σύγχρονα ακολουθιακά κυκλώματα με τα οποία θα ασχοληθούμε στο εργαστήριο των Ψηφιακών συστημάτων

Διαβάστε περισσότερα

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 1) Να μετατρέψετε τον δεκαδικό αριθμό (60,25) 10, στον αντίστοιχο δυαδικό 11111,11 111001,01 111100,01 100111,1 111100,01 2)

Διαβάστε περισσότερα

5.1 Θεωρητική εισαγωγή

5.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 5 ΚΩ ΙΚΟΠΟΙΗΣΗ BCD Σκοπός: Η κατανόηση της µετατροπής ενός τύπου δυαδικής πληροφορίας σε άλλον (κωδικοποίηση/αποκωδικοποίηση) µε τη µελέτη της κωδικοποίησης BCD

Διαβάστε περισσότερα

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα Κεφάλαιο 6 Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα 6.1 Εισαγωγή Η εκτέλεση διαδοχικών λειτουργιών απαιτεί τη δημιουργία κυκλωμάτων που μπορούν να αποθηκεύουν πληροφορίες, στα ενδιάμεσα στάδια των

Διαβάστε περισσότερα

7.1 Θεωρητική εισαγωγή

7.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 7 ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΜΑΝ ΑΛΩΤΕΣ FLIP FLOP Σκοπός: Η κατανόηση της λειτουργίας των βασικών ακολουθιακών κυκλωµάτων. Θα µελετηθούν συγκεκριµένα: ο µανδαλωτής (latch)

Διαβάστε περισσότερα

PLD. Εισαγωγή. 5 η Θεµατική Ενότητα : Συνδυαστικά. PLAs. PLDs FPGAs

PLD. Εισαγωγή. 5 η Θεµατική Ενότητα : Συνδυαστικά. PLAs. PLDs FPGAs 5 η Θεµατική Ενότητα : Συνδυαστικά Κυκλώµατα µε MSI και Εισαγωγή Οι προγραµµατιζόµενες διατάξεις είναι ολοκληρωµένα µε εσωτερικές πύλες οι οποίες µπορούν να υλοποιήσουν οποιαδήποτε συνάρτηση αν υποστούν

Διαβάστε περισσότερα

Ψηφιακή Λογική και Σχεδίαση

Ψηφιακή Λογική και Σχεδίαση Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 26-7 Ψηφιακή Λογική και Σχεδίαση (σχεδίαση συνδυαστικών κυκλωμάτων) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Το τρανζίστορ

Διαβάστε περισσότερα

Καταστάσεων. Καταστάσεων

Καταστάσεων. Καταστάσεων 8 η Θεµατική Ενότητα : Εισαγωγή Ησχεδίαση ενός ψηφιακού συστήµατος µπορεί να διαιρεθεί σε δύο µέρη: τα κυκλώµατα επεξεργασίας δεδοµένων και τα κυκλώµατα ελέγχου. Το κύκλωµα ελέγχου δηµιουργεί σήµατα για

Διαβάστε περισσότερα

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων

ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL Διδάσκων: Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ - VHDL ΑΝΤΩΝΗΣ ΠΑΣΧΑΛΗΣ

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ - VHDL ΑΝΤΩΝΗΣ ΠΑΣΧΑΛΗΣ ΕΘΝΙΚΟΝ ΚΑΙ ΚΑΠΟΔΙΣΤΡΙΑΚΟΝ ΠΑΝΕΠΙΣΤΗΜΙΟΝ ΑΘΗΝΩΝ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ & ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ - VHDL ΥΛΙΚΟ ΚΑΙ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ ΠΠΣ Πληροφορικής και Τηλεπικοινωνιών, E Εξάμηνο

Διαβάστε περισσότερα

Μοντέλα. χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες.

Μοντέλα. χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες. Γλώσσες Περιγραφής Μοντέλα Ένα µοντέλο ενός κυκλώµατος είναι µία αναπαράσταση που παρουσιάζει χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες. Τα τυπικά µοντέλα έχουν καλά ορισµένη σύνταξη. Τα αυτόµατα

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Μονάδες Μνήμης και Διατάξεις Προγραμματιζόμενης Λογικής

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Μονάδες Μνήμης και Διατάξεις Προγραμματιζόμενης Λογικής Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Μονάδες Μνήμης και Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Μονάδες Μνήμης - Προγραμματιζόμενη Λογική Μια μονάδα μνήμης είναι ένα

Διαβάστε περισσότερα

Εργαστήριο Ψηφιακής Σχεδίασης

Εργαστήριο Ψηφιακής Σχεδίασης ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ Εργαστήριο Ψηφιακής Σχεδίασης 8 Εργαστηριακές Ασκήσεις Χρ. Καβουσιανός Επίκουρος Καθηγητής 2014 Εργαστηριακές Ασκήσεις Ψηφιακής Σχεδίασης 2 Εργαστηριακές Ασκήσεις

Διαβάστε περισσότερα

Εισαγωγή στα κυκλώµατα CMOS 2

Εισαγωγή στα κυκλώµατα CMOS 2 1 η Θεµατική Ενότητα : Εισαγωγή στα κυκλώµατα CMOS Επιµέλεια διαφανειών:. Μπακάλης Εισαγωγή Τεχνολογία CMOS = Complementary Metal Oxide Semiconductor Συµπληρωµατικού Ηµιαγωγού Μετάλλου Οξειδίου Αποτελείται

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ Συνδυαστικά Κυκλώµατα. 3.2 Σχεδιασµός Συνδυαστικής Λογικής 3.3 ιαδικασία Ανάλυσης 3.4 ιαδικασία Σχεδιασµού.

ΚΕΦΑΛΑΙΟ Συνδυαστικά Κυκλώµατα. 3.2 Σχεδιασµός Συνδυαστικής Λογικής 3.3 ιαδικασία Ανάλυσης 3.4 ιαδικασία Σχεδιασµού. Περιεχόµενα ΚΕΦΑΛΑΙΟ 3 Συνδυαστικά Κυκλώµατα 3.1 Συνδυαστικά Κυκλώµατα 3.2 Σχεδιασµός Συνδυαστικής Λογικής 3.3 ιαδικασία Ανάλυσης 3.4 ιαδικασία Σχεδιασµού 1 2 3.1 Συνδυαστικά Κυκλώµατα Έξοδος οποιαδήποτε

Διαβάστε περισσότερα

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος Εργαστήριο Οργάνωσης Η/Υ Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Ρόλος των γλωσσών περιγραφής υλικού σε μια τυπική ροή σχεδίασης: Documentation Simulation Synthesis Οι γλώσσες περιγραφής υλικού μπορούν να

Διαβάστε περισσότερα

Πράξεις με δυαδικούς αριθμούς

Πράξεις με δυαδικούς αριθμούς Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 25-6 Πράξεις με δυαδικούς αριθμούς (αριθμητικές πράξεις) http://di.ionio.gr/~mistral/tp/csintro/ Μ.Στεφανιδάκης Πράξεις με δυαδικούς

Διαβάστε περισσότερα

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops K24 Ψηφιακά Ηλεκτρονικά 9: TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ ΤΕΧΝΟΛΟΓΙΚΟ Περιεχόμενα 1 2 3 Γενικά Ύστερα από τη μελέτη συνδυαστικών ψηφιακών κυκλωμάτων, θα μελετήσουμε

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM).

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM). Μνήμες Ένα από τα βασικά πλεονεκτήματα των ψηφιακών συστημάτων σε σχέση με τα αναλογικά, είναι η ευκολία αποθήκευσης μεγάλων ποσοτήτων πληροφοριών, είτε προσωρινά είτε μόνιμα Οι πληροφορίες αποθηκεύονται

Διαβάστε περισσότερα

Μνήμη και Προγραμματίσιμη Λογική

Μνήμη και Προγραμματίσιμη Λογική Μνήμη και Προγραμματίσιμη Λογική Η μονάδα μνήμης είναι ένα στοιχείο κυκλώματος στο οποίο μεταφέρονται ψηφιακές πληροφορίες προς αποθήκευση και από το οποίο μπορούμε να εξάγουμε αποθηκευμένες πληροφορίες

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Μηχανές Πεπερασμένων Καταστάσεων Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Ακολουθιακή Λογική. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Ακολουθιακή Λογική. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Ακολουθιακή Λογική Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Εισαγωγή Είσοδοι Συνδυαστικό Κύκλωμα Έξοδοι Στοιχεία Μνήμης Κατάσταση

Διαβάστε περισσότερα

Δυαδικό Σύστημα Αρίθμησης

Δυαδικό Σύστημα Αρίθμησης Δυαδικό Σύστημα Αρίθμησης Το δυαδικό σύστημα αρίθμησης χρησιμοποιεί δύο ψηφία. Το 0 και το 1. Τα ψηφία ενός αριθμού στο δυαδικό σύστημα αρίθμησης αντιστοιχίζονται σε δυνάμεις του 2. Μονάδες, δυάδες, τετράδες,

Διαβάστε περισσότερα

Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα

Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα επαναληπτικής εξέτασης 2016 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

5 η Θεµατική Ενότητα : Μνήµη & Προγραµµατιζόµενη Λογική. Επιµέλεια διαφανειών: Χρ. Καβουσιανός

5 η Θεµατική Ενότητα : Μνήµη & Προγραµµατιζόµενη Λογική. Επιµέλεια διαφανειών: Χρ. Καβουσιανός 5 η Θεµατική Ενότητα : Μνήµη & Προγραµµατιζόµενη Λογική Επιµέλεια διαφανειών: Χρ. Καβουσιανός Μνήµη Η µνήµη καταλαµβάνει το µεγαλύτερο µέρος ενός υπολογιστικού συστήµατος Δύο τύποι: ROM - RAM RΟΜs CPU

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΠΛ 121 ΕΡΓΑΣΤΗΡΙΑ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΜΝΗΜΗ ΚΑΙ ΠΡΟΓΡΑΜΜΑΤΙΖΟΜΕΝΗ ΛΟΓΙΚΗ ΥΠΕΥΘΥΝΟΣ ΕΡΓΑΣΤΗΡΙΩΝ: ΧΡΥΣΟΣΤΟΜΟΣ ΧΡΥΣΟΣΤΟΜΟΥ ΕΑΡΙΝΟ ΕΞΑΜΗΝΟ 2001 ΕΠΛ 121 ΕΡΓΑΣΤΗΡΙΑ ΨΗΦΙΑΚΩΝ

Διαβάστε περισσότερα

PLC. Εισαγ γωγή στα. ιαδικασία προγραµµατισµού. Η δοµή ενός προγράµµατος. Η µνήµη και η δοµή της. Εκτέλεση προγράµµατος

PLC. Εισαγ γωγή στα. ιαδικασία προγραµµατισµού. Η δοµή ενός προγράµµατος. Η µνήµη και η δοµή της. Εκτέλεση προγράµµατος ιαδικασία προγραµµατισµού Η δοµή ενός προγράµµατος Η µνήµη και η δοµή της Εκτέλεση προγράµµατος 1 2 Εκτέλεση προγράµµατος Η εκτέλεση του προγράµµατος στα είναι κυκλική. ηλαδή όταν εκτελείται η τελευταία

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Καταχωρητές και Μετρητές 2. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Καταχωρητές και Μετρητές 2. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Καταχωρητές και Μετρητές Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Εισαγωγή Καταχωρητής: είναι μία ομάδα από δυαδικά κύτταρα αποθήκευσης

Διαβάστε περισσότερα

K15 Ψηφιακή Λογική Σχεδίαση 7-8: Ανάλυση και σύνθεση συνδυαστικών λογικών κυκλωμάτων

K15 Ψηφιακή Λογική Σχεδίαση 7-8: Ανάλυση και σύνθεση συνδυαστικών λογικών κυκλωμάτων K15 Ψηφιακή Λογική Σχεδίαση 7-8: Ανάλυση και σύνθεση συνδυαστικών λογικών κυκλωμάτων Γιάννης Λιαπέρδος TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ Η έννοια του συνδυαστικού

Διαβάστε περισσότερα

Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε.

Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Ενότητα 3: Καταχωρητές - Απαριθμητές Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες Χρήσης Το παρόν εκπαιδευτικό

Διαβάστε περισσότερα

! Εάν ο αριθμός διαθέτει περισσότερα bits, χρησιμοποιούμε μεγαλύτερες δυνάμεις του 2. ! Προσοχή στη θέση του περισσότερο σημαντικού bit!

! Εάν ο αριθμός διαθέτει περισσότερα bits, χρησιμοποιούμε μεγαλύτερες δυνάμεις του 2. ! Προσοχή στη θέση του περισσότερο σημαντικού bit! Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 25-6 Πράξεις με δυαδικούς αριθμούς (αριθμητικές ) http://di.ionio.gr/~mistral/tp/csintro/ Αριθμοί Πράξεις με δυαδικούς αριθμούς

Διαβάστε περισσότερα

Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level)

Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level) Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level) Ερωτήσεις Επανάληψης 1. Ένας καθηγητής λογικής μπαίνει σε ένα εστιατόριο και λέει : Θέλω ένα σάντουιτς ή ένα σουβλάκι και τηγανητές πατάτες. Δυστυχώς,

Διαβάστε περισσότερα

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL Διδάσκoντες: Δρ. Γιώργος Ζάγγουλοςκαι Δρ. Παναγιώτα Δημοσθένους Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ 1) Οι απαριθμητές ή μετρητές (counters) είναι κυκλώματα που

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 10 ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ

ΑΣΚΗΣΗ 10 ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ ΑΣΚΗΣΗ ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ.. ΣΚΟΠΟΣ Η σχεδίαση ακολουθιακών κυκλωμάτων..2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ.2.. ΑΛΓΟΡΙΘΜΟΣ ΣΧΕΔΙΑΣΗΣ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Τα ψηφιακά κυκλώματα με μνήμη ονομάζονται ακολουθιακά.

Διαβάστε περισσότερα

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL

Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL ΗΜΥ211 Εργαστήριο Ψηφιακών Συστημάτων Behavioral & Mixed VHDL Architectures Finite State Machines in VHDL Διδάσκoντες: Δρ. Αγαθοκλής Παπαδόπουλος και Δρ. Γιώργος Ζάγγουλος Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων

Διαβάστε περισσότερα

Αρχιτεκτονική Μηχανής. Αποθήκευση εδοµένων

Αρχιτεκτονική Μηχανής. Αποθήκευση εδοµένων Αρχιτεκτονική Μηχανής Αποθήκευση εδοµένων Οι πράξεις AND, OR, και Αλγεβρας Boole XOR (exclusive or) της Μία απεικόνιση των πυλών AND, OR, XOR, και NOT καθώς και των τιµών εισόδου (inputs) και εξόδου (output)

Διαβάστε περισσότερα

Κυκλωμάτων» Χειμερινό εξάμηνο

Κυκλωμάτων» Χειμερινό εξάμηνο «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 VHDL, Χαρακτηριστικά και τρόποι περιγραφής Δρ. Παρασκευάς Κίτσος Επίκουρος Καθηγητής Τμήμα Μηχανικών Πληροφορικής ΤΕ http://diceslab.cied.teiwest.gr

Διαβάστε περισσότερα

Σελίδα 1 από 11. Απαντήσεις στο φυλλάδιο 57 Ερώτηση: 1 η : Οι ακροδέκτες αυτοί χρησιµοποιούνται για:

Σελίδα 1 από 11. Απαντήσεις στο φυλλάδιο 57 Ερώτηση: 1 η : Οι ακροδέκτες αυτοί χρησιµοποιούνται για: Σελίδα 1 από 11 Απαντήσεις στο φυλλάδιο 57 Ερώτηση: 1 η : Οι ακροδέκτες αυτοί χρησιµοποιούνται για: την επικοινωνία, µε τα υπόλοιπα ολοκληρωµένα κυκλώµατα του υπολογιστικού συστήµατος. την παροχή τροφοδοσίας

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΕΦΑΡΜΟΓΩΝ

ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΗΛΕΚΤΡΟΝΙΚΑ ΚΑΙ ΣΥΣΤΗΜΑΤΑ ΟΜΑ Α Α Αριθµητική Λογική Μονάδα των 8-bit 1. Εισαγωγή Γενικά µια αριθµητική λογική µονάδα (ALU, Arithmetic Logic Unit)

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 9: Ελαχιστοποίηση και Κωδικοποίηση Καταστάσεων, Σχεδίαση με D flip-flop, Σχεδίαση με JK flip-flop, Σχεδίαση με T flip-flop Δρ. Μηνάς

Διαβάστε περισσότερα

8.1 Θεωρητική εισαγωγή

8.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 8 ΣΤΟΙΧΕΙΑ ΜΝΗΜΗΣ ΚΑΤΑΧΩΡΗΤΕΣ Σκοπός: Η µελέτη της λειτουργίας των καταχωρητών. Θα υλοποιηθεί ένας απλός στατικός καταχωρητής 4-bit µε Flip-Flop τύπου D και θα µελετηθεί

Διαβάστε περισσότερα

Εισαγωγή στην πληροφορική

Εισαγωγή στην πληροφορική Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Εισαγωγή στην πληροφορική Ενότητα 4: Ψηφιακή Λογική, Άλγεβρα Boole, Πίνακες Αλήθειας (Μέρος B) Αγγελίδης Παντελής Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών

Διαβάστε περισσότερα

9 ο Μαθητικό Συνέδριο Πληροφορικής Κεντρικής Μακεδονίας. "My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch

9 ο Μαθητικό Συνέδριο Πληροφορικής Κεντρικής Μακεδονίας. My Binary Logic Ένας προσομοιωτής λογικών πυλών στο Scratch 9 ο Μαθητικό Συνέδριο Πληροφορικής Κεντρικής Μακεδονίας Θεσσαλονίκη, 25-28 Απριλίου 2017, ΝΟΗΣΙΣ "My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch Κωνσταντίνος Παρασκευόπουλος Καθηγητής Πληροφορικής

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016 ΤΕΧΝΟΛΟΓΙΑ (ΙΙ) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΠΡΑΚΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Τεχνολογία και

Διαβάστε περισσότερα

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 7 FLIP - FLOP

ΑΣΚΗΣΗ 7 FLIP - FLOP ΑΣΚΗΣΗ 7 FLIP - FLOP Αντικείμενο της άσκησης: Η κατανόηση της δομής και λειτουργίας των Flip Flop. Flip - Flop Τα Flip Flop είναι δισταθή λογικά κυκλώματα με χαρακτηριστικά μνήμης και είναι τα πλέον βασικά

Διαβάστε περισσότερα

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017 Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 Μάθημα : Ψηφιακά Ηλεκτρονικά Τεχνολογία ΙΙ, Θεωρητικής Κατεύθυνσης Ημερομηνία

Διαβάστε περισσότερα

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος 1 Συνδυαστικό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται ΜΟΝΟ από τις εισόδους του Εάν γνωρίζουμε τις τιμές των εισόδων του κυκλώματος, τότε μπορούμε να προβλέψουμε ακριβώς τις εξόδους του Ακολουθιακό κύκλωμα

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 6 ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ. 6.1 Εισαγωγή

ΚΕΦΑΛΑΙΟ 6 ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ. 6.1 Εισαγωγή ΚΕΦΑΛΑΙΟ 6 ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 6. Εισαγωγή Τα ψηφιακά κυκλώματα διακρίνονται σε συνδυαστικά και ακολουθιακά. Τα κυκλώματα που εξετάσαμε στα προηγούμενα κεφάλαια ήταν συνδυαστικά. Οι τιμές των

Διαβάστε περισσότερα

i Το τρανζίστορ αυτό είναι τύπου NMOS. Υπάρχει και το συμπληρωματικό PMOS. ; Τι συμβαίνει στο τρανζίστορ PMOS; Το τρανζίστορ MOS(FET)

i Το τρανζίστορ αυτό είναι τύπου NMOS. Υπάρχει και το συμπληρωματικό PMOS. ; Τι συμβαίνει στο τρανζίστορ PMOS; Το τρανζίστορ MOS(FET) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 25-6 Το τρανζίστορ MOS(FET) πύλη (gate) Ψηφιακή και Σχεδίαση πηγή (source) καταβόθρα (drai) (σχεδίαση συνδυαστικών κυκλωμάτων) http://di.ioio.gr/~mistral/tp/comparch/

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 2005. υαδική Αφαίρεση. υαδική Αφαίρεση (συν.) Ακόµη ένα παράδειγµα Αφαίρεσης.

Περίληψη. ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 2005. υαδική Αφαίρεση. υαδική Αφαίρεση (συν.) Ακόµη ένα παράδειγµα Αφαίρεσης. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο 2005 Κεφάλαιο 5 -ii: Αριθµητικές Συναρτήσεις και Κυκλώµατα Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Αφαίρεση δυαδικών Περίληψη

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ & ΗΛΕΚΤΡΟΝΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ & μ-υπολογιστων ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ Θεωρητικό Μέρος Οι σειριακές λειτουργίες είναι πιο

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 4: Σχεδιασμός Σειριακού Αθροιστή Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

Πρόγραμμα Επικαιροποίησης Γνώσεων Αποφοίτων. Διδάσκοντες

Πρόγραμμα Επικαιροποίησης Γνώσεων Αποφοίτων. Διδάσκοντες Πρόγραμμα Επικαιροποίησης Γνώσεων Αποφοίτων ΕΝΟΤΗΤΑ Μ1 ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ Εκπαιδευτής: Γ. Π. ΠΑΤΣΗΣ, Επικ. Καθηγητής, Τμήμα Ηλεκτρονικών Μηχανικών, ΤΕΙ Αθήνας Διδάσκοντες 1. Γ. Πάτσης, Επικ. Καθηγητής,

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συντρέχων Κώδικας

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συντρέχων Κώδικας «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 216-217 Συντρέχων Κώδικας Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ E-mail: pkitsos@teimes.gr

Διαβάστε περισσότερα

Μάθημα 0: Εισαγωγή. Λευτέρης Καπετανάκης. ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΚΡΗΤΗΣ ΤΜΗΜΑ ΗΛΕΚΤΡΟΝΙΚΗΣ Άνοιξη 2011

Μάθημα 0: Εισαγωγή. Λευτέρης Καπετανάκης. ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΚΡΗΤΗΣ ΤΜΗΜΑ ΗΛΕΚΤΡΟΝΙΚΗΣ Άνοιξη 2011 ΤΛ22 Ψηφιακά Κυκλώματα Ι Μάθημα : Εισαγωγή Λευτέρης Καπετανάκης ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΚΡΗΤΗΣ ΤΜΗΜΑ ΗΛΕΚΤΡΟΝΙΚΗΣ Άνοιξη 2 Περιεχόμενα Μαθήματος Εισαγωγή στη σχεδίαση των ψηφιακών κυκλώματων Εισαγωγή

Διαβάστε περισσότερα

Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level)

Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level) Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level) Απαντήσεις 1. Η παραγγελία είναι σάντουιτς ή ένα σουβλάκι και τηγανητές πατάτες η οποία μπορεί να αναλυθεί ως σάντουιτς ή (σουβλάκι και τηγανητές πατάτες)

Διαβάστε περισσότερα