Ψηφιακή Σχεδίαση Ενότητα 10:

Σχετικά έγγραφα
ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Μετρητές 1

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 16: Μετρητές (Counters)

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Μετρητής Ριπής (Ripple Counter) Μετρητές (Counters) Μετρητής Ριπής (συν.

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f.

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Εισαγωγή στην πληροφορική

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Καταχωρητές και Μετρητές 2. Επιμέλεια Διαφανειών: Δ.

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΟΙ ΚΑΤΑΧΩΡΗΤΕΣ ΚΑΙ Η ΥΛΟΠΟΙΗΣΗ ΤΟΥΣ ΜΕ FLIP-FLOP ΚΑΙ ΠΥΛΕΣ

ΗΜΥ 210: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 2008

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

Ελίνα Μακρή

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Ακολουθιακά Κυκλώματα: Μανδαλωτές και Flip-Flops 1

7 η Θεµατική Ενότητα : Καταχωρητές, Μετρητές και Μονάδες Μνήµης

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα. Σχεδίαση Ψηφιακών Συστημάτων. Ενότητα: ΚΑΤΑΧΩΡΗΤΕΣ - ΑΠΑΡΙΘΜΗΤΕΣ

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο Διάλεξη 8 η : Μηχανές Πεπερασμένων Κaταστάσεων σε FPGAs

Ψηφιακά Συστήματα. 8. Καταχωρητές

ΑΠΟ ΤΑ ΘΕΜΑΤΑ ΤΩΝ ΠΑΝΕΛΛΗΝΙΩΝ ΕΞΕΤΑΣΕΩΝ ΚΕΦΑΛΑΙΟ 7-8 (ΚΑΤΑΧΩΡΗΤΕΣ & ΑΠΑΡΙΘΜΗΤΕΣ)

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΚΑΤΑΧΩΡΗΤΕΣ ΟΛΙΣΘΗΤΕΣ

Σχεδίαση Ψηφιακών Συστημάτων

ΠΕΡΙΕΧΟΜΕΝΑ ΠΕΡΙΕΧΟΜΕΝΑ.3 ΑΣΥΓΧΡΟΝΟΣ ΔYΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.5 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.7 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ ΜΕ LATCH.

Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε.

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017

Η συχνότητα f των παλµών 0 και 1 στην έξοδο Q n είναι. f Qn = 1/(T cl x 2 n+1 )

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops

Ψηφιακή Λογική Σχεδίαση

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Ακολουθιακή Λογική. Επιμέλεια Διαφανειών: Δ.

Α. ΣΚΟΔΡΑΣ ΠΛΗ21 ΟΣΣ#2. 14 Δεκ 2008 ΠΑΤΡΑ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ 2008 Α. ΣΚΟΔΡΑΣ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ

ΑΣΚΗΣΗ 9. Tα Flip-Flop

Σχεδιασμός Ψηφιακών Συστημάτων

ΑΣΚΗΣΗ 9 ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ (COUNTERS)

Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα

Στοιχεία Μνήμης, JKκαιD (Flip-Flops) Μετρητής Ριπής (Ripple Counter)

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης Θέμα 1ο (3 μονάδες)

8.1 Θεωρητική εισαγωγή

ΑΣΚΗΣΗ 8 ΚΑΤΑΧΩΡΗΤΕΣ - REGISTERS

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A].

Καταχωρητες (Registers) Μετρητες (Counters)

Ασύγχρονοι Απαριθμητές. Διάλεξη 7

Σύγχρονοι Απαριθμητές. Διάλεξη 8

Ψηφιακή Λογική Σχεδίαση

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή

Ψηφιακή Λογική Σχεδίαση

Ψηφιακή Σχεδίαση. Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ No:07. Δρ. Μηνάς Δασυγένης. Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών

7.1 Θεωρητική εισαγωγή

K24 Ψηφιακά Ηλεκτρονικά 10: Ακολουθιακά Κυκλώματα

Εργαστήριο Ψηφιακής Σχεδίασης

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ

Άσκηση 3 Ένα νέο είδος flip flop έχει τον ακόλουθο πίνακα αληθείας : I 1 I 0 Q (t+1) Q (t) 1 0 ~Q (t) Κατασκευάστε τον πίνακα

Σχεδίαση Βασικών Κυκλωµάτων. Χρ. Καβουσιανός. Επίκουρος Καθηγητής

ΘΕΜΑΤΑ & ΕΝΔΕΙΚΤΙΚΕΣ ΛΥΣΕΙΣ

ΑΣΚΗΣΗ 10 ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI II

Σχεδιασμός Ψηφιακών Συστημάτων

Καταχωρητές,Σύγχρονοι Μετρητές και ΑκολουθιακάΚυκλώματα

Ψηφιακή Λογική Σχεδίαση

Σχεδίαση Ψηφιακών Συστημάτων

ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ & ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΥΛΙΚΟ ΚΑΙ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ. Ενότητα 1. Λογικής Σχεδίασης. Καθηγητής Αντώνης Πασχάλης

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ

Ψηφιακή Λογική Σχεδίαση

Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL

Κυκλώµατα. Εισαγωγή. Συνδυαστικό Κύκλωµα

Θέματα χρονισμού σε φλιπ-φλοπ και κυκλώματα VLSI

Ψηφιακή Λογική Σχεδίαση

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα

ΜΙΧΑΛΗΣ ΨΑΡΑΚΗΣ ΑΚΑΔΗΜΑΪΚΟ ΕΤΟΣ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΙΡΑΙΩΣ

9. ΚΑΤΑΧΩΡΗΤΕΣ (REGISTERS)

Αρχιτεκτονική Υπολογιστών

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος

Ψηφιακή Λογική και Σχεδίαση

Καταχωρητές, Μετρητές και Ακολουθιακά Κυκλώματα

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων

i Το τρανζίστορ αυτό είναι τύπου NMOS. Υπάρχει και το συμπληρωματικό PMOS. ; Τι συμβαίνει στο τρανζίστορ PMOS; Το τρανζίστορ MOS(FET)

Ψηφιακή Σχεδίαση. Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ No:05. Δρ. Μηνάς Δασυγένης. Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών

Πράξεις με δυαδικούς αριθμούς

Σχεδίαση Ψηφιακών Συστημάτων

Αυγ-13 Ακολουθιακά Κυκλώματα: Μανδαλωτές και Flip-Flops. ΗΜΥ 210: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 2009.

7. ΚΑΤΑΧΩΡΗΤΕΣ ΕΡΩΤΗΣΕΙΣ ΑΣΚΗΣΕΙΣ

ΠΛΗ10 Κεφάλαιο 2. ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τµήµα Εφαρµοσµένης Πληροφορικής & Πολυµέσων. Ψηφιακή Σχεδίαση. Κεφάλαιο 5: Σύγχρονη Ακολουθιακή

Αρχιτεκτονικές Υπολογιστών

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ Γ ΕΠΑΛ 14 / 04 / 2019

Ελίνα Μακρή

Ενότητα ΚΑΤΑΧΩΡΗΤΕΣ ΜΕΤΡΗΤΕΣ ΜΝΗΜΕΣ RAM

Transcript:

Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 10: Καταχωρητές & Μετρητές Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http://arch.icte.uowm.gr/mdasyg

Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης Creative Commons. Για εκπαιδευτικό υλικό, όπως εικόνες, που υπόκειται σε άλλου τύπου άδειας χρήσης, η άδεια χρήσης αναφέρεται ρητώς. 2

Χρηματοδότηση Το παρόν εκπαιδευτικό υλικό έχει αναπτυχθεί στα πλαίσια του εκπαιδευτικού έργου του διδάσκοντα. Το έργο «Ανοικτά Ψηφιακά Μαθήματα στο Πανεπιστήμιο Δυτικής Μακεδονίας» έχει χρηματοδοτήσει μόνο τη αναδιαμόρφωση του εκπαιδευτικού υλικού. Το έργο υλοποιείται στο πλαίσιο του Επιχειρησιακού Προγράμματος «Εκπαίδευση και Δια Βίου Μάθηση» και συγχρηματοδοτείται από την Ευρωπαϊκή Ένωση (Ευρωπαϊκό Κοινωνικό Ταμείο) και από εθνικούς πόρους. 3

Σκοπός της ενότητας Να γίνει εισαγωγή και ανάλυση στους καταχωρητές και στους μετρητές. 4

Καταχωρητές & Μετρητές Ένας n-bit καταχωρητής είναι ένα σύνολο από n flip-flops, ικανό να αποθηκεύσει n bits δυαδικής πληροφορίας. Με επιπρόσθετες συνδυαστικές πύλες, ο καταχωρητής μπορεί να εκτελέσει λειτουργίες επεξεργασίας δεδομένων ( data-processing ). Ένας μετρητής είναι ένας καταχωρητής που έχει μια προκαθορισμένη σειρά καταστάσεων, βάση της εφαρμογής των παλμών του ρολογιού. 5

Παράδειγμα: καταχωρητής 2bit (1) 1. Πόσες καταστάσεις υπάρχουν; 2. Πόσοι συνδυασμοί εισόδων/εξόδων; 3. Ποιά είναι η συνάρτηση εξόδου; 4. Ποια είναι η συνάρτηση της επόμενης κατάστασης; 5. Είναι Moore ή Mealy; Ποιά είναι η απάντηση για τα 1 και 2 ( πιο πάνω ) για έναν καταχωρητή με n-bits; A1 In1 D Q Y1 C In0 D Q A0 Y0 CP C 6

Παράδειγμα: καταχωρητής 2bit (2) Παρούσα Κατάσταση Επόμενη Κατάσταση A1 ( t + 1 ) A0( t + 1 ) Για ln1 ln0 = Έξοδος (= A1 A0) A1 A0 00 01 10 11 Y1 Y0 0 0 00 01 10 11 0 1 0 1 00 01 10 11 0 1 1 0 00 01 10 11 1 0 1 1 00 01 10 11 1 1 7

Παράδειγμα: καταχωρητής 4bit Η κοινή είσοδος Clock πυροδοτεί όλα τα flip-flops στην θετική ακμή κάθε παλμού, και η διαθέσιμη πληροφορία στις 4 D-εισόδους μεταφέρεται στον καταχωρητή. D 0 D Q 0 REG Clock C clear Clear D 1 R D Q 1 D 0 D 1 D 2 D 3 C (b) Symbol R D 2 D Q 2 C R D 3 D Q 3 C (a) Logic diagram R Logic diagram: Λογικό διάγραμμα Symbol: Σύμβολο 8

Μνήμη Καταχωρητών (1) Προσδοκίες: Ένας καταχωρητής πρέπει να μπορεί να αποθηκεύει πληροφορίες για πολλαπλές χρονικές περιόδους. Η «αποθήκευση» ή «φόρτωση» πληροφοριών πρέπει να ελέγχεται από κάποιο σήμα. Πραγματικότητα: Ο προηγούμενος καταχωρητής φορτώνει πληροφορίες σε κάθε χρονική περίοδο του ρολογιού ( clock cycle ). 9

Μνήμη Καταχωρητών (2) Λύση: Χρήση του σήματος για μπλοκάρισμα του καταχωρητή από το ρολόι ή χρήση του σήματος για έλεγχο ανάδρασης ( feedback control ) από την έξοδο του καταχωρητή πίσω στις εισόδους του ή χρήση SR ή JK flip-flops τα οποία κρατούν την παρούσα κατάσταση τους για είσοδο ( 0, 0 ). Load: συχνή ονομασία για το σήμα που ελέγχει την αποθήκευση και φόρτωση καταχωρητών. Load = 1: Φορτώνει τις τιμές των εισόδων. Load = 0: αποθηκεύει τις τιμές του καταχωρητή. 10

Αποφυγή ελέγχου του ρολογιού Μια λύση για να παραμείνουν αναλοίωτα τα περιεχόμενα του καταχωρητή είναι μια πύλη επίτρεψης στο ρολόι. Η εισαγωγή λογικών πυλών στη γραμμή του ρολογιού, προκαλεί άνισες καθυστερήσεις διάδοσης. Για να είναι συγχρονισμένο το κύκλωμα πρέπει να εξασφαλίσουμε ότι όλοι οι παλμοί φτάνουν την ίδια στιγμή. 11

Καταχωρητής 4bit Το Clock-gating δεν είναι καλή λύση D 0 D Q 0 REG Clock Clear D 1 C R D C R Q 1 clear D 0 D 1 D 2 D 3 (b) Symbol Load Clock (c) Load control input C inputs (clock inputs of flip-flops) C = Load + Clock D 2 D C Q 2 Τα FFs είναι ευαίσθητα σε αλλαγές μόνο όταν Load=1 R D 3 D Q 3 Clock C R Load (a) Logic diagram C inputs (d) Timing diagram 12

Καταχωρητές με clock gating Το σήμα Load χρησιμοποιείται για ενεργοποίηση του σήματος του ρολογιού όταν είναι 1, και απνεργοποιεί το ρολόι όταν είναι 0. Παράδειγμα προβλήματος: Για Flip-flops θετικής ακμοπυροδότησης ή αρνητικού-επίπεδου πυροδότησης: Ποιό είναι το πρόβλημα; Απόκλιση του gated clock από το πραγματικό ρολόι λόγω της καθυστέρησης από τις επιπρόσθετες πύλες ( clock skew ). 13

Καταχωρητές παράλληλης φόρτωσης με έλεγχο ανάδρασης (1) Πιο αξιόπιστος σχεδιασμός: Το ρολόι δουλεύει ασταμάτητα ( στα FFs ), και Επιλεκτική χρήση του Load για αλλαγή των περιεχομένων του καταχωρητή. Παράδειγμα: καταχωρητής 2- bit: Για Load = 0 κράτηση παρούσας κατάστασης. Για Load = 1 φότωση τιμών εισόδου, βάση του ρολογιού. Πιο σύνθετος σχεδιασμός από clock gating, αλλά ελέυθερος από προβλήματα χρονισμού. 14

Καταχωρητης Παράλληλης φόρτωσης 4 bit 15

Καταχωρητές Ολίσθησης (1) Οι καταχωρητές ολίσθησης μεταφέρουν πληροφορίες «πλάγια» μέσα στον καταχωρητή, προς την περισσότερο σημαντική ( MSB ) ή λιγότερο σημαντική ( LSB ) θέση Στην πιο απλή περίπτωση, ο καταχωρητής ολίσθησης είναι απλά ένα σύνολο από D flip-flops ενωμένα διαδοχικά ως ακολούθως: In A B C D Q D Q D Q D Q Out Clock SRG 4 S1 S0 CP 16

Καταχωρητές Ολίσθησης (2) Είσοδος δεδομένων, In, ονομάζεται σειριακή είσιοδος ή είσοδος δεξιάς ολίσθησης. Έξοδος δεδομένων, Out, συχνά ονομάζεται σειριακή έξοδος. Το διάνυσμα ( A, B, C, Out ) ονομάζεται η παράλληλη έξοδος. 17

Καταχωρητές Ολίσθησης (3) In A B C D Q D Q D Q D Q Out Clock CP Η συμπεριφορά του σειριακού καταχωρητή ολίσθησης δίνεται στον πίνακα απέναντι. Τ0 είναι η κατάσταση ακριβώς πρίν την εμφάνιση του 1 ου παλμού του ρολογιού. Τ1 έρχεται μετά τον πρώτο παλμό και πριν τον δεύτερο. Αρχικές άγνωστες καταστάσεις δηλώνονται με?. 18

Καταχωρητές Ολίσθησης (4) Συμπληρώστε τις 3 τελευταίες γραμμές του πίνακα. CP In A B C Out T0 0???? T1 1 0??? T2 1 1 0?? T3 0 1 1 0? T4 1 T5 1 T6 1 19

Σειριακή Μεταφορά (1) Ένα ψηφιακό σύστημα λειτουργεί σειριακά ( in serial mode ) όταν σε κάθε παλμό του ρολογιού, ένα bit μόνο των δυαδικών πληροφοριών μεταφέρεται και υπόκειται σε επεξεργασία. 20

Σειριακή Μεταφορά (2) Σε παράλληλα μονοπάτια υπάρχει πρόβλημα συγχρονισμού επειδή υπάρχουν διαφορετικές καθυστερήσεις σε κάθε κάθε αγωγό. Το πρόβλημα οξύνεται με την αύξηση της ταχύτητας μετάδοσης bit. Ο συγχρονισμός πολύ πιο εύκολος με ένα μόνο καλώδιο με σταθερή καθυστέρηση για όλα τα bit. Με αύξηση κόστους μπορούμε να έχουμε ομοιόμορφη καθυστέρηση σε παράλληλες οδεύσεις. Παράλληλες οδεύσεις απαιτούν πολλαπλάσιο χώρο από σειριακές οδεύσεις bit ( ευελιξία ). 21

Σειριακή Μεταφορά Δεδομένων Σειριακή μεταφορά δεδομένων από έναν καταχωρητή Α σε έναν καταχωρητή Β. Απαιτείται μία περίοδος ρολογιού για κάθε bit. Shift Clock Register A Register B SRG 4 SRG 4 C C 0 SI SO SI SO Clock Shift C Input Shift: Ολίσθηση Register: Καταχωρητής Clock: Ρολόι C input: Είσοδος C 22

Σειριακή μεταφορα από τον Α στον Β Υποθέτουμε 4bit καταχωρητές. Οι πληροφορίες επανατροφοδοτούνται στον ίδιο καταχωρητή. Κάθε θετική ακμή του παλμού προκαλεί όλισθηση στους δύο καταχωρητές. Shift control: Ελεγχόμενη ολίσθηση Shift register: Καταχωρητής ολίσθησης 23

Σειριακή Πρόσθεση (1) Οι δύο δυαδικοί προσθετέοι αποθηκεύονται σειριακά σε δύο καταχωρητές Α και Β. Πόσος χρόνος χρειάζεται για να φορτωθούν οι αριθμοί; Τα bits προσθέτονται ανά ζεύγος κάθε χρονική στιγμή, μέσω ενός πλήρη αθροιστή ( full-adder circuit ). Πόσος χρόνος χρειάζεται για την πρόσθεση; Το carry out του πλήρη αθροιστή μεταφέρεται σε ένα D flip-flop, του οποίου η έξοδος χρησιμοποιείται ως το carry in για το επόμενο ζεύγος των bits. To sum bit στην έξοδο S του πλήρη αθροιστή μεταφέρεται πίσω στον καταχωρητή Α ( συσσωρευτής ). 24

Σειριακή Πρόσθεση (2) Πόσος χρόνος χρειάζεται για ολόκληρη τη διαδικασία; Σχεδιάστε το διάγραμμα, σε επίπεδο καταχωρητών ( ή RTl-level = Register-Transfer Level ). 25

Διάγραμμα Σειριακού Αθροιστή Το αποτέλεσμα αποθηκεύεται στον Α. Μπορούν να προστεθούν περισσότεροι αριθμοί. Serial input: Σειριακή είσοδος Shift control: Ελεγχόμενη ολίσθηση Shift register: Καταχωρητής ολίσθησης 26

Σειριακή ή παράλληλη πρόσθεση Ο παράλληλος αθροιστής είναι ένα συνδυαστικό κύκλωμα, ενώ ο σειριακός ένα ακολουθιακό. Ο παράλληλος αθροιστής αποτελείται απο n πλήρες 1- bit αθοιστές για προσθετέους των n-bit, ενώ ο σειριακός απαιτεί μόνο 1 πλήρη 1-bit αθροιστή. Το σειριακό κύκλωμα πάιρνει n περιόδους του ρολογιού για να ολοκληρώσει, ενώ το παράλληλο 1. Συνοπτικά, ο παράλληλος αθροιστής είναι n φορές μεγαλύτερος του σεριακού σε χώρο, αλλά είναι και n φορες πιο γρήγορος. 27

Καταχωρητές Ολίσθησης Παράλληλης Φόρτωσης (1) Προσθέτοντας ένα MUX μεταξύ κάθε «στάδιο» του καταχωρητή ολίσθησης, δεδομένα μπορούν να αποθηκεύονται ή φορτώνονται με αξιόπιστο τρόπο. SHIFT = 0, τα A και B αντικαθιστούνται με τα δεδομένα στις γραμμές D A και D B ( παράλληλη φόρτωση ), αλλιώς τα δεδομένα ολισθαίνουν προς τα δεξιά στην κάθε περίοδο ρολογιού και φορτώνεται 1-bit ( σειριακή φόρτωση ). Προσθέτοντας και άλλα bits, κατασκευάζουμε καταχωρητή ολίσθησης παράλληλης φόρτωσης n-bit. D A DB A B IN D Q D Q SHIFT CP 28

Καταχωρητές Ολίσθησης Παράλληλης Φόρτωσης (2) D A D B A B IN D Q D Q SHIFT CP 29

Καταχωρητές Ολίσθησης Παράλληλης Φόρτωσης (3) 30

SHIFT = 1 Καταχωρητές Ολίσθησης Παράλληλης Φόρτωσης (4) 31

Καταχωρητές Ολίσθησης Παράλληλης Φόρτωσης (5) SHIFT = 0 LOAD = 1 32

Καταχωρητές Ολίσθησης SHIFT = 0 LOAD = 1 Παράλληλης Φόρτωσης (6) 33

Καταχωρητές Ολίσθησης Παράλληλης Φόρτωσης (7) Μπορούμε να προσθέσουμε την λειτουργία hold για αποθήκευση/κράτηση της παρούσας πληροφορίας. Shift Load Λειτουργία 0 0 Κράτηση παρούσας κατάστασης: Q0 Q0, Q1 Q1, Q2 Q2,. 0 1 Παράλληλη φόρτωση: D0 Q0, D1 Q1, D2 Q2,. 1 X Ολίσθηση: Serial Input Q0 Q1 Q2... 34

Καταχωρητές ολίσθησης με Επιπρόσθετες Λειτουργίες Προσθέτοντας ένα MUX 4-εισόδων μπροστά από κάθε flip-flop σε ένα καταχωρητή ολίσθησης, μπορούμε να υλοπιήσουμε ένα κύκλωμα με λειτουργίες: ολίσθηση δεξιά, ολίσθηση αριστερά, παράλληλη φόρτωση, κράτηση παρούσας κατάστασης. Καταχωρητές ολίσθησης μπορούν επίσης να σχεδιαστούν για ολίσθηση περισσότερων του ενός bit ολίσθηση k( < n ) bits. 35

Αμφίδρομος καταχωρητής ολίσθησης με παράλληλη φόρτωση 4bit Γενικός καταχωρητής ολίσθησης. Parallel outputs: Παράλληλες εξόδους Serial input of shift-right: Σειριακοί είσοδοι για δεξιά ολίσθηση Serial input of shift-left: Σειριακοί είσοδοι για αριστερή ολίσθηση 36

Καταχωρητής Ολίσθησης Διπλής Κατέυθυνσης (1) S 1 S 0 Λειτουργία 00 Κρατηση παρούσας κατάστασης 01 Ολίσθηση προς τα κάτω 10 Ολίσθηση προς τα πάνω 11 Παράλληλη φόρτωση 37

Καταχωρητής Ολίσθησης Διπλής Κατέυθυνσης (2) D Q i-1 C SHR 4 S 1 S 0 D i S 1 S 0 0 1 2 3 MUX D C Q i Clock Mode S 1 Mode S 0 Left serial input S 1 S 0 LSI D 0 D 1 Q 0 Q 1 D 2 Q 2 D 3 Q 3 D Q i+1 Right serial input RSI C Clock 38

Καταχωρητής Ολίσθησης Διπλής Κατέυθυνσης (3) D Q i-1 C S 0 S 1 = 00 SHR 4 S 1 S 0 D i S 1 S 0 0 1 2 3 MUX D C Q i Clock Mode S 1 Mode S 0 Left serial input S 1 S 0 LSI D 0 D 1 Q 0 Q 1 D 2 Q 2 D 3 Q 3 D Q i+1 Right serial input RSI C Clock 39

Καταχωρητής Ολίσθησης Διπλής Κατέυθυνσης (4) D Q i-1 C S 0 S 1 = 10 SHR 4 S 1 S 0 D i S 1 S 0 0 1 2 3 MUX D C Q i Clock Mode S 1 Mode S 0 Left serial input S 1 S 0 LSI D 0 D 1 Q 0 Q 1 D 2 Q 2 D 3 Q 3 D Q i+1 Right serial input RSI C Clock 40

Καταχωρητής Ολίσθησης Διπλής Κατέυθυνσης (5) D Q i-1 C S 0 S 1 = 11 SHR 4 S 1 S 0 D i S 1 S 0 0 1 2 3 MUX D C Q i Clock Mode S 1 Mode S 0 Left serial input S 1 S 0 LSI D 0 D 1 Q 0 Q 1 D 2 Q 2 D 3 Q 3 D Q i+1 Right serial input RSI C Clock 41

Μετρητές Ένας μετρητής είναι ένας καταχωρητής που «μετρά» μια προκαθορισμένοι ακολουθία καταστάσεων, βάση της εφαρμογής παλμών του ρολογιού. Οι μετρητές κατηγοριοποιούνται σε: Μετρητές Ριπής: Το ρολόι του συστήματος ενώνεται στην είσοδο ρολογιού του LSB FF. Για τα υπόλοιπα FFs, η έξοδος ενός FF ενώνεται στην είσοδο ρολογιού του επόμενου σημαντικού FF. Δεν υπάρχει κοινό ρολόι. Χαμηλή κατανάλωση ισχύος. Γιατι; Σύγχρονους Μετρητές: Όλα τα FFs έχουν κοινό ρολόι. Χρήση κοινής λογικής για υλοποίηση της επόμενης κατάστασης. 42

Μετρητής Ριπής ( Ripple Counter ) (1) Πως δουλευει; Στην θετική ακμή στην είσοδο του ρολογιού του A, το A συμπληρώνεται. Η είσοδος του ρολογιού για το Β είναι το συμπλήρωμα της εξόδου του Α. Όταν το A αλλάξει από 1 σε 0 ( αρνητική ακμή ), υπάρχει θετική ακμή ( 0 σε 1 ) στην είσοφο του ρολογιού του Β, προσκαλώντας το Β να συμπληρωθεί. Προς-τα-πάνω ( upward counting ). Γιατι; 43

Μετρητής Ριπής ( Ripple Counter ) (2) Τα βέλη δειχνουν την σχέση αιτίας-αποτελέσματος από την προηγούμενη διαφάνεια. Η αντίστοιχη ακολουθία καταστάσεων είναι: ( B, A ) = ( 0, 0 ), ( 0, 1 ), ( 1, 0 ), ( 1, 1 ), ( 0, 0 ), ( 0, 1 ),... 44

Μετρητής Ριπής ( Ripple Counter ) (3) Κάθε επιπρόσθετο bit, C, D,. Συμπεριφέρεται όπως το bit Β, αλλάζοντας 50% λιγότερο συχνά από το προηγούμενο bit. Για 3 bits: ( C, B, A ) = ( 0, 0, 0 ), ( 0, 0, 1 ), ( 0, 1, 0 ), ( 0, 1, 1 ), ( 1, 0, 0 ), ( 1, 0, 1 ), ( 1, 1, 0 ), ( 1, 1, 1 ), ( 0, 0, 0 ), 45

Δυαδικός Μετρητής Ριπής με T και D flip-flop (α) T flip-flops (β) D flip-flops 46

Διάγραμμα καταστάσεων ενός Απαιτούνται 4 FF. δεκαδικού μετρητή BCD Ίδιο με δυαδικό μετρητή μόνο που μετά το 1001 βρίσκεται το 0000. 47

Λογικό διάγραμμα μετρητή ριπής Ασύγχρονο ακολουθιακό κύκλωμα. Θυμηθείτε ότι Αν J = 1 Q = 1. Αν K = 1 Q = 0. Αν J = K = 1 Q = συμπλήρωση. Αν J = K = 0 Q = σταθερό. BCD με JK FF BCD Ripple Counter 48

Σύνδεση μετρητών BCD για πολλαπλά δεκαδικά ψηφία Block Diagram of a Three-Decade Decimal BCD Counter ( Σχηματικό διάγραμμα για τρείς δεκαδικούς BCD μετρητές ) Count pulses: Μετρητής παλμών 49

Σύγχρονοι Δυαδικοί Μετρητές ( Synchronous Binary Counters ) Για εξουδετέρωση του προβλήματος του ripple effect χρησιμοποιείται κοινό ρολόι για όλα τα FFs και ένα συνδυαστικό μέρος του κυκλώματος για πραγωγή της επόμενης κατάστασης. Για ένα μετρητή προς τα πάνω χρήση συνδυαστικού Incrementer. 50

Σειριακή τοποθέτηση Πυλών ( Serial Gating ) (1) Εσωτερική λογική XOR συμπληρώνει ή κρατά το κάθε bit ανάλογα. Αλυσίδα από AND συμπληρώνει ένα bit εάν όλα τα bits από το LSB μέχρι το τρέχον είναι 1. Count Enable Θέτει όλες τις εξόδους των AND σε 0 για να «κρατήσει» την παρούσα κατάσταση. Carry out Κομμάτι του Incrementer ( προσαυξητή ). Ενώνεται στο Count Enable του επόμενου μετρητή 4- bit για δημιουργία μεγαλύτερων μετρητών. 51

Σειριακή τοποθέτηση Πυλών ( Serial Gating ) (2) Logic Diagram-Serial Gating ( Λογικό διάγραμμα-σειριακών πυλών ) 52

Σειριακή τοποθέτηση Πυλών ( Serial Gating ) (3) Q 0 ( t + 1) = Q 0 ( t ) EN Q 1 ( t + 1) = Q 1 ( t ) ( EN Q 0 (t) ) Q 2 ( t + 1) = Q 2 ( t ) ( EN Q 0 ( t ) Q 1 ( t ) ) Q 3 ( t + 1 ) = Q 3 ( t ) ( EN Q 0 ( t ) Q 1 ( t ) Q 2 ( t ) ) Logic Diagram-Serial Gating ( Λογικό διάγραμμα-σειριακών πυλών ) 53

Παράλληλη τοποθέτηση Πύλών ( Parallel gating ) Αλυσίδα του Carry Σειρά από πύλες AND μέσα από την οποία περνά το carry ( ripples ). Δίνει μεγάλες καθυστερήσεις. Ονομάζεται serial gating. Αντικατάστσαση της AND αλυσίδας του carry με πύλες AND παράλληλα Μειώνει τις καθυστερήσεις μονοπατιών. Ονομάζεται parallel gating. Παρόμοιο με carry lookahead. Το lookahead χρησιμοποιήται στα Cos και Ens για αποτροπή δημιουργίαςμεγάλων μονοπατιών σε μεγαύτερους μετρητές. Πλεονεκτήματα για parallel gating: πιο γρήγορο σε κάποιε περιπτώσεις ( 1111 0000 ). Συμβολισμός Logic Diagram-Parallel Gating ( Λογικό διάγραμμα-παράλληλων πυλών ) 54

Σύγχρονοι Δυαδικοί Μετρητές (1) Η διαδικασία σχεδιασμού για ένα δυαδικό μετρητή είναι ίδια με αυτή για ένα τυχαίο σύγχρονο ακολουθιακό κύκλωμα. Οι είσοδοι του κυκλώματος είναι το ρολόι ( CLK ) και άλλα απαραίτητα σήματα ελέγχου ( EN, Load κτλ. ). Οι έξοδοι του κυκλώματος είναι οι έξοδοι των FF ( παρούσα κατάσταση ). Συνήθως οι πιο αποτελεσματικές υλοποιήσεις χρησιμοποιούν T-FFs ή JK-FFs. 55

Μετρητές με JK flip-flop (1) Σύγχρονοι Δυαδικοί Μετρητές Σχεδιασμός με J-K FFs για Up Counter 4 ων -bit 56

Μετρητές με JK flip-flop (2) 57

Μετρητές με JK flip-flop (3) 58

Μετρητές με JK flip-flop (4) 59

Μετρητές με JK flip-flop (5) J Q0 = 1 K Q0 = 1 J Q1 = Q 0 K Q1 = Q 0 J Q2 = Q 0 Q 1 K Q2 = Q 0 Q 1 J Q3 = Q 0 Q 1 Q 2 K Q3 = Q 0 Q 1 Q 2 60

Σχεδιασμός μετρητή με JK Flip-Flop με ΕΝ, CO (1) EN = σημα ενεργοποίησης όταν είναι 0 ο μετρητής διατηρεί την παρούσα κατάσταση, όταν είναι 1 μετρά. CO = σήμα εξόδου carry ( κρατούμενου ), χρησιμοποιείται για την κατασκευή μεγαλύτερων μετρητών. 61

Σχεδιασμός μετρητή με JK Flip-Flop με ΕΝ, CO (2) J Q0 = 1 x EN K Q0 = 1 x EN J Q1 = Q O x EN K Q1 = Q O x EN J Q2 = Q O Q 1 x EN K Q2 = Q O Q 1 x EN J Q3 = Q O Q 1 Q 2 x EN K Q3 = Q O Q 1 Q 2 x EN CO = Q O Q 1 Q 2 Q 3 x EN Αν J=K=0 τότε συμπλήρωμα 62

Δυαδικός Μετρητής Πάνω-Κάτω (1) UD = 0: μετρά προς τα πάνω. UD= 1: μετρά προς τα κάτω. Clock UD n-bit Up-Down Counter... Q 0 Q 1 Q n-1 Up-Down Counter: Μετρητής Πάνω-Κάτω. 63

Δυαδικός Μετρητής Πάνω-Κάτω (2) UD Q2 Q1 Q0 Q2.D Q1.D Q0.D 0 0 0 0 0 0 1 0 0 0 1 0 1 0 0 0 1 0 0 1 1 0 0 1 1 1 0 0 0 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 0 1 1 1 0 1 1 1 0 0 0 64

Δυαδικός Μετρητής Πάνω-Κάτω (3) UD Q2 Q1 Q0 Q2.D Q1.D Q1.D 1 0 0 0 1 1 1 1 0 0 1 0 0 0 1 0 1 0 0 0 1 1 0 1 1 0 1 0 1 1 0 0 0 1 1 1 1 0 1 1 0 0 1 1 1 0 1 0 1 1 1 1 1 1 1 0 65

Δυαδικός Μετρητής Πάνω-Κάτω (4) Συμπληρώστε τον K-χάρτη για Q2.D, Q1.D και Q0.D, απλοποιείστε, και βρείτε το λογικό διάγραμμα με (a) D-FFs και (b) T-FFs. 66

Δυαδικός Μετρητής Πάνω-Κάτω (5) 67

Δυαδικός μετρητής Παράλληλη Φορτωση (1) Πρόσθεση μονοπατιού για δεδομένα εισόδων: Ενεργοποιείται για Load = 1. Πρόσθεση Λογικής για: Πάυση μέτρησης για Load = 1. Πάυση κράτησης παρούσας κατάστασης για Load = 1. Ενεργοποίηση μέτρησης για Load = 0 και Count = 1. Ο πίνακας λειτουργίας: Load Count Λειτουργία 0 0 Κρατηση παρούσας κατάστασης 0 1 Μέτρηση προς τα πάνω 1 Χ Παράλληλη Φορτωση 68

Δυαδικός μετρητής Παράλληλη Φορτωση (2) 69

Μετρητής BCD (1) Ένας δυαδικός μετρητής με παράλληλη φόρτωση μπορεί να μετατραπεί σε ένα σύγχρονο μετρητή BCD με μία μόνο επιπρόσθετη πύλη AND. Εξηγείστε πως λειτουργεί αυτό το κύκλωμα... Clock CTR 4 Load 1 Count D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 Q 0 Q 1 Q 2 Q 3 (Logic 0) 70

Μετρητής BCD (2) Ο μετρητής ξεκινά με έξοδο = 0000. Εάν η έξοδος της AND είναι 0, σε κάθε θετικό παλμό του ρολογιού η τιμή αυξάνεται κατά 1. Όταν η έξοδος γινει 1001, Q 0 και Q 3 γίνονται 1, κάνονται την έξοδο της AND ίση με 1. Αυτή η κατάσταση ενεργοποιεί το Load, έτσι στον επόμενο παλμό μετρητής δεν μετρά, αλλά φορτώνει από τις τέσσερις εισόδους δεδομένων. Η τιμή που φορτώνεται ειναι 0000. 71

Μετρητής BCD (3) Χρήση ακολουθιακού μοντέλου για το σχεδιασμό σύγχρονου μετρητή BCD με D flipflops. Πίνακας καταστάσεων ( επόμενη διαφάνεια ). Συνδυασμοι εισόδων 1010 μέχρι 1111 είναι συνδυασμοι αδιαφορίας ( don t cares ). 72

Μετρητής BCD (4) Παρούσα Κατάσταση Επόμενη Κατάσταση Q8 Q4 Q2 Q1 Q8 Q4 Q2 Q1 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 0 1 1 0 0 1 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 1 0 1 1 1 1 0 0 0 1 0 0 0 1 0 0 1 1 0 0 1 0 0 0 0 73

Μετρητής BCD (5) Βρείτε τις τιμές των 6 επόμενων καταστάσεων για τους συνδυασμούς αδιαφορίας από τις εξισώσεις της προηγούμενης διαφάνειας. Βρείτε το ολοκληρωμένο διάγραμμα του κυκλώματος για τις συνθήκες εργασίας. 74

Μετρητής BCD (6) Παρούσα Κατάσταση Επόμενη Κατάσταση Q8 Q4 Q2 Q1 Q8 Q4 Q2 Q1 1 0 1 0 1 0 1 1 1 0 1 1 0 1 1 0 1 1 0 0 1 1 0 1 1 1 0 1 0 1 0 0 1 1 1 0 1 1 1 1 1 1 1 1 0 0 1 0 75

Μετρητής BCD (7) Για τον προηγούμενο σχεδιασμό, σε περίπτωση που το κύκλωμα έρθει σε μια άκυρη κατάσταση ( invalid state ) θα επανέλθει σε μια έγξυρη κατάσταση μέσα σε 2 περιόδους του ρολογιού ( clock cycles ). Είναι αυτό ικανοποιητικό; Αν όχι: Χρειάζεται κάποιο σήμα που να υποεδεικνύει ότι το κύκλωμα εισήλθε σε άκυρη κατάσταση; Ποια η εξίσωση τέτοιου σήματος; Χρειάζεται τροποποιήση του κυκλώματος για να μπορεί να επιστρέψει σε έγκυρη κατάσταση μέσα σε 1 περίοδο του ρολογιού; Χρειάζεται τροποποίηση του κυκλώματος για να μπορεί να επιστρέψει σε συγκεκριμένη έγκυρη κατάσταση ( όπως 0 ); Τυχόν ενέργειες εξαρτώνται από: Την εφαρμογή που θα χρησιμοποιήσει το κύκλωμα. Πολιτική της ομάδας σχεδιασμού. 76

Μετρητές Τυχαίων Ακολουθιών Δεδομένης μιας τυχαίας ακολουθίας, σχεδιάστε ένα μετρητή που να αναπαράγει την ακολουθία. Διαδικασία: Παραγωγή πίνακα / διάγραμμα καταστάσεων βάση δεδομένης ακολουθίας. Ελαχιστοποίηση ( με Κ-χάρτες, κτλ. ). Σχεδιασμός λογικού διαγράμματος. Παράδειγμα: Σχεδιάστε το λογικό διάγραμμα για τον μετρητή με την εξής ακολουθία: 0 7 6 1 0 ( 000 111 110 001 000 ). Χρησιμοποιήστε D FFs. 77

Μετρώντας modulo n (1) Μετρητής Διαίρεσης-δια-n ( Modulo n ) Μετρά το υπόλοιπο της διαίρεσης δια n, όπου το n μπορεί να μην είναι δύναμη του 2 ή μετρά τυχαία ακολουθία από n καταστάσεις, ειδικά σχεδιασμένος βάσης κάθε κατάστασης. Περιλαμβάνει modulo 10 που είναι ο μετρητής BCD. 78

Μετρώντας modulo n με ασύγχρονη επαναφορά Χρήση ενός σύγχρονου δυαδικού μετρητή 4 ων -bit με σύγχρονη φόρτωση (load) και ασύγχρονο clear για την κατασκευή μετρητή Modulo 7. Όταν ανιχνεύεται το 7, το κύκλωμα επανέρχεται στο 0 σύγχρονα αφού φορτώνει το 0 από τις εισόδους. Άρα δίνει: 0 1 2 3 4 5 6 7 ( για πολύ λίγο ) 0 1 2 3 4 5 6 7 ( για πολύ λιγο ) κτλ. Αυτό ΔΕΝ ΠΡΕΠΕΙ ΝΑ ΓΙΝΕΤΑΙ! Αναφέρεται ως μετρητής «αυτοκτονίας»! ( το 7 «σκοτώνεται», αλλά μαζί του και η δουλειά του σχεδιαστή ). D 3 D 2 Q 3 Q 2 Clock 0 D 1 D 0 CP LOAD CLEAR Q 1 Q 0 79

Μετρώντας modulo n με παράλληλη φόρτωση 0 Χρήση ενός σύγχρονου δυαδικού μετρητή 4 ων -bit με σύγχρονη φόρτωση ( load ) και ασύγχρονο clear για την κατασκευή μετρητή Modulo 7. Όταν ανιχνεύεται το 6, το κύκλωμα επανέρχεται στο 0 σύγχρονα αφού φορτώνει το 0 από τις εισόδους. Άρα δίνει: 0 1 2 3 4 5 6 0 1 2 3 4 5 6... Για καταστάσεις μεγαλύτερες ( σε δυαδική τιμή ) του 0110, χρησιμοποιούνται συνθήκες αδιαφορίας, και έτσι Load = Q2 Q1 ( Q0 = X, Q3 = X ). Clock Reset 0 0 0 0 D 3 D 2 D 1 D 0 CP LOAD CLEAR Q 3 Q 2 Q 1 Q 0 80

Άλλο παράδειγμα μετρητής 9-14 με σύγχρονη φόρτωση του 9 Χρήση ενός σύγχρονου δυαδικού μετρητή 4 ων -bit με σύγχρονη φόρτωση ( load ) και ασύγχρονο clear για την κατασκευή μετρητή Modulo 6. Το Load χρησιμοποιείται για να θέσει την κατάσταση στο δυαδικό 9 είτε στο Reset είτε όταν ανιχνευει 14. Αυτό δίνει 9 10 11 12 13 14 9 10 11 12 13 14 9... 1 0 0 1 D 3 D 2 D 1 D 0 Q 3 Q 2 Q 1 Q 0 Reset Clock 1 CP LOAD CLEAR 81

Μετρητές με αχρησιμοποίητες καταστάσεις (1) n flip-flop έχουν 2 n δυαδικές καταστάσεις. Αν δε χρησιμοποιούνται όλες τότε υπάρχουν αχρησιμοποίητες καταστάσεις. Πρέπει να υπάρχει τρόπος ώστε σε περίπτωση που βρεθεί το κύκλωμα σε αχρησιμοποίητη κατάσταση να μπορεί να επανέλθει. Μπορούμε να προσδιορίσουμε την επόμενη κατάσταση από την ανάλυση του κυκλώματος. 82

Μετρητές με αχρησιμοποίητες καταστάσεις (2) (α) Λογικό διάγραμμα (β) Διάγραμμα καταστάσεων 83

Στο Μετρητή δακτυλίου μόνο ένα FF έχει τιμή 1 ανά κατάσταση Ring-counter ( initial value = 1000 ) ( Μετρητής δακτυλίου ( Αρχική τιμή = 1000 ) ) Counter and decoder ( Μετρητής και κωδικοποιητής ) Sequence of four signals ( Ακολουθία τεσσάρων σημάτων ) 84

Μετρητής Δακτυλίου με αντιστροφή ούρας ( switch-tail ring counter ) (a) Four-stage switch-tail ring counter ( Τεσσάρων καταστάσεων διακόπτης-μετρητής δακτυλίου ουράς ) Sequence number A B C E AND gate required for output 1 0 0 0 0 A E 2 1 0 0 0 AB 3 1 1 0 0 BC 4 1 1 1 0 CE 5 1 1 1 1 AE 6 0 1 1 1 A B 7 0 0 1 1 B C 8 0 0 0 1 C E (b) Count sequence and required decoding ( Μετρητής ακολουθίας και απαιτείται αποκωδικοποίηση ) 85

Τέλος Ενότητας 86