Σημειώσεις Εργαστηρίου - Παρουσίαση Εργαλείων

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Σημειώσεις Εργαστηρίου - Παρουσίαση Εργαλείων"

Transcript

1 Εργαςτήριο Σχεδιαςμού Ολοκληρωμένων Κυκλωμάτων Σημειώσεις Εργαστηρίου - Παρουσίαση Εργαλείων Σχεδιαςμόσ Ολοκληρωμένων Συςτημάτων με Τεχνικέσ VLSI (VLSI-III) [ΠΡΩΣΟ ΜΕΡΟ] υγγραφή - Επιμέλεια: Γιώργος Σ. Αθανασίου, Υπ. Διδάκτωρ - Ερευνητής Παναγιώτης Σακελλαρίου, Υπ. Διδάκτωρ - Ερευνητής Γιώργος Θεοδωρίδης, Επίκουρος Καθηγητής (Νοζμβριος 2012)

2 Περιεχόμενα 1. Ειςαγωγι Mentor Graphics: ModelSim Γενικά - Εγκατάςταςθ Συγγραφι κϊδικα VHDL και Δθμιουργία Αρχείου Project Δθμιουργία Project και Ειςαγωγι Κϊδικα Σχεδιαςμοφ Συντακτικι Ανάλυςθ Κϊδικα (Compile) και Αποςφαλμάτωςθ Λειτουργικι Ρροςομοίωςθ - Κυματομορφζσ Ρρόςκετα: Αρχεία Εντολϊν Ρροςομοίωςθσ (DO-files) και Αρχεία Ελζγχου Ορκισ Λειτουργίασ (Test-benches) Αναςκόπθςθ Θεωρίασ για FPGAs Mentor Graphics: LeonardoSpectrum Γενικά - Εγκατάςταςθ Εκκίνθςθ του Εργαλείου Φόρτωςθ Σχεδιαςμοφ - Επιλογι Τεχνολογίασ και Χαρακτθριςτικϊν Σφνκεςθ Σχθματικά Διαγράμματα και Επιπλζον Επιλογζσ Xilinx: ISE Design Suite Γενικά - Ειςαγωγικά για Xilinx FPGAs Απόκτθςθ και Εγκατάςταςθ ISE Εκκίνθςθ του ISE - Δθμιουργία Project Ειςαγωγι Σχεδιαςμοφ ςτο Project Σφνκεςθ Σχεδιαςμοφ Βιβλιογραφία - Χριςιμοι Σφνδεςμοι... 31

3 1. Ειςαγωγή Το ςφγγραμμα αυτό αποτελεί τισ βαςικζσ ςθμειϊςεισ για το Εργαςτιριο του μακιματοσ «Σχεδιαςμόσ Ολοκλθρωμζνων Συςτθμάτων με Τεχνικζσ VLSI (VLSI-III)». Είναι χωριςμζνο ςε δφο μζρθ. Το Ρρϊτο Μζροσ (παρόν) εμπεριζχει τρεισ (4) ενότθτεσ. Η πρϊτθ αφορά τθν Ειςαγωγι του ςυγγράμματοσ. Οι υπόλοιπεσ δφο αναφζρονται ςτισ ςουίτεσ εργαλείων που κα χρθςιμοποιθκοφν από τουσ φοιτθτζσ κατά τθ διάρκεια τόςο των εργαςτθριακϊν αςκιςεων όςο και τθσ προετοιμαςίασ τθσ εργαςίασ εξαμινου (project). Η δεφτερθ ενότθτα αφορά ςτο εργαλείο προςομοίωςθσ ModelSim τθσ Mentor Graphics. Το εργαλείο αυτό χρθςιμοποιείται για ςυντακτικι ανάλυςθ και προςομοίωςθ ςχεδιαςμϊν που ζχουν περιγραφεί ςε γλϊςςεσ περιγραφισ υλικοφ, όπωσ θ VHDL, θ Verilog, ι θ SystemC. Στο μάκθμα αυτό θ γλϊςςα που κα διδαχκεί και κα χρθςιμοποιθκεί ςτα εργαςτιρια είναι θ VHDL. Το ModelSim δίνει τθ δυνατότθτα τόςο για λογικι προςομοίωςθ (functional simulation) όςο και για προςομοίωςθ όπου λαμβάνονται υπόψθ οι κακυςτεριςεισ των κυκλωμάτων του ςχεδιαςμοφ (post place-and-route simulation). Για τισ ανάγκεσ του μακιματοσ, το ModelSim κα χρθςιμοποιθκεί μόνο για το πρϊτο είδοσ προςομοίωςθσ. Η τρίτθ ενότθτα αφορά ςτο εργαλείο LeonardoSpectrum τθσ Mentor Graphics. Το εργαλείο αυτό χρθςιμοποιοφνται για ςφνκεςθ (synthesis) και απεικόνιςθ (place-and-route & mapping) ςχεδιαςμϊν υλικοφ ςε πλατφόρμεσ αναδιαταςςόμενθσ λογικισ (FPGA) διαφόρων εταιρειϊν αλλά και ASIC. Χρθςιμοποιικθκε κατά κόρον ςτο παρελκόν για τισ παραπάνω ενζργειεσ. Πμωσ, ςτισ μζρεσ μασ δεν χρθςιμοποιείται από πολλοφσ ςχεδιαςτζσ, κυρίωσ λόγω τθσ ανάπτυξθσ πλθρζςτερων εργαλείων από τισ εταιρείεσ καταςκευισ FPGAs, όπωσ θ Xilinx και θ ALTERA. Η τζταρτθ ενότθτα αφορά ςτθ ςουίτα ISE τθσ Xilinx. Τα εργαλεία τθσ ςουίτασ αυτισ χρθςιμοποιοφνται για ςφνκεςθ (synthesis) και απεικόνιςθ (place-and-route & mapping) ςχεδιαςμϊν υλικοφ ςε πλατφόρμεσ αναδιαταςςόμενθσ λογικισ (FPGA) τθσ ίδιασ εταιρείασ. Επίςθσ, χρθςιμοποιείται για τον προγραμματιςμό (configuration & downloading) πλατφορμϊν FPGA με βάςθ τουσ παραπάνω ςχεδιαςμοφσ. Στο Ρρϊτο Μζροσ αναλφεται θ διαδικαςία τθσ ςφνκεςθσ. Στο τζλοσ του ςυγγράμματοσ, μετά τθν τρίτθ ενότθτα, παρατίκεται θ βιβλιογραφία κακϊσ και χριςιμοι ςφνδεςμοι για το εργαςτιριο (γενικά), τθ γλϊςςα VHDL και τα εργαλεία που παρουςιάςτθκαν. Για τυχόν παρατθριςεισ/επιςθμάνςεισ/διορκϊςεισ οι αναγνϊςτεσ ενκαρρφνονται να ςτείλουν ςτισ παρακάτω διευκφνςεισ: (Γιϊργοσ Ακαναςίου) (Γιϊργοσ Θεοδωρίδθσ)

4 2. Mentor Graphics: ModelSim 2.1. Γενικά - Εγκατάςταςη Το εργαλείο προςομοίωςθσ ModelSim τθσ Mentor Graphics χρθςιμοποιείται για ςυντακτικι ανάλυςθ και προςομοίωςθ των ςχεδιαςμϊν που κα καταςκευαςτοφν κατά διάρκεια του εργαςτθρίου με τθ γλϊςςα περιγραφισ υλικοφ VHDL. Η εγκατάςταςθ και θ χριςθ του δεν εγκυμονεί ςθμαντικζσ δυςκολίεσ. Για τθν εγκατάςταςθ, κα πρζπει να ακολουκθκοφν οι οδθγίεσ που βρίςκονται εντόσ του φακζλου του εργαλείου, ςτο αντίςτοιχο αρχείο txt που ςυνοδεφει τα εκτελζςιμα αρχεία εγκατάςταςθσ. Πλα τα παραπάνω κα ςασ δοκοφν από το εργαςτιριο αλλά είναι και διακζςιμα ςτον αντίςτοιχο φάκελο που βρίςκεται ςτθν Επιφάνεια Εργαςίασ των υπολογιςτϊν του εργαςτθρίου Συγγραφή κώδικα VHDL και Δημιουργία Αρχείου Project Η γλϊςςα περιγραφισ υλικοφ VHDL είναι μια ευρζωσ διαδεδομζνθ γλϊςςα για περιγραφι ςχεδιαςμϊν ςε περιβάλλοντα ςχεδίαςθσ κυκλωμάτων και ςυςτθμάτων. Αν και το παρόν ςφγγραμμα γίνεται ιδιαίτερθ αναφορά ςτθ γλϊςςα αυτι, δεν κα λάβει χϊρα κεωρθτικι ανάλυςθ και παρουςίαςθ τθσ, κζματα που αποτελοφν αντικείμενο τθσ κεωρίασ του μακιματοσ. Η ςυγγραφι του κϊδικα VHDL για ζνα ςχεδιαςμό μπορεί να γίνει είτε απευκείασ πάνω ςτουσ editors των εργαλείων προςομοίωςθσ/ςφνκεςθσ είτε ςε διαφορετικό editor, ανεξάρτθτο από τα εργαλεία. Στθν πρϊτθ περίπτωςθ, υπάρχει το πλεονζκτθμα ότι (ςε ςφγχρονα εργαλεία) γίνεται μζχρι ζνα βακμό αυτόματθ (ςε πραγματικό χρόνο) ςυντακτικι ανάλυςθ τθν ϊρα τθσ ςυγγραφισ. Ζτςι μειϊνεται ο χρόνοσ αποςφαλμάτωςθσ ςτο τζλοσ. Πμωσ, τα εργαλεία αυτά απαιτοφν ςθμαντικι ποςότθτα μνιμθσ και χρόνου του επεξεργαςτι, με αποτζλεςμα το ςφςτθμα εργαςίασ του ςχεδιαςτι να ζχει λιγότερουσ διακζςιμουσ πόρουσ. Σε κάκε περίπτωςθ θ επιλογι είναι του εκάςτοτε ςχεδιαςτι. Ζνα παράδειγμα ξεχωριςτοφ editor για VHDL (και όχι μόνο) είναι το Notepad++, το οποίο διατίκεται ελεφκερα ςτο διαδίκτυο. Η μορφι του κϊδικα VHDL ςτο εργαλείο αυτό φαίνεται ςτο Σχιμα 1. Γενικά, κατά τθ δθμιουργία αρχείων VHDL (.vhd) καλό κα είναι να ακολουκοφνται κάποιοι βαςικοί κανόνεσ: Να υπάρχουν «πλοφςια» ςχόλια, τα οποία να είναι ζγκυρα και «ενθμερωμζνα» Να υπάρχει μια ςφντομθ περιγραφι του ςχεδιαςμοφ, μαηί με όνομα ςχεδιαςτι και θμερομθνία δθμιουργίασ (ςε μορφι ςχολίων) ςτθν αρχι του κϊδικα. Με αυτόν τον τρόπο γίνεται ευκολότερθ θ επαναχρθςιμοποίθςθ του αρχείου αυτοφ. Να λαμβάνεται ςοβαρά υπόψθ θ όψθ του κϊδικα. Δθλαδι, ςτοίχιςθ, κενά, κενζσ γραμμζσ, κτλ. Ρολφ ςθμαντικό ςε μεγάλουσ κϊδικεσ πολφπλοκων ςχεδιαςμϊν. Σε περίπτωςθ που δεν χρθςιμοποιείται ανεξάρτθτοσ editor, καλό είναι να ακολουκοφνται (όςο είναι δυνατόν) οι «ςυμβουλζσ» που δίνουν ςε πραγματικό χρόνο πολλά εργαλεία προςομοίωςθσ/ςφνκεςθσ.

5 χήμα 1. Κϊδικασ VHDL ςτον editor Notepad Δημιουργία Project και Ειςαγωγή Κώδικα Σχεδιαςμού Το εργαλείο εκκινεί είτε με διπλό κλικ ςτο εικονίδιο που βρίςκεται ςτθν επιφάνεια εργαςίασ είτε από το μενοφ προγραμμάτων του υπολογιςτι. Μετά τθν επιτυχθμζνθ εκκίνθςθ του εργαλείου, ςτθν οκόνθ εμφανίηεται το γραφικό περιβάλλον και τα υποπαράκυρα που φαίνονται ςτο Σχιμα 2. Ξεκινϊντασ, κα πρζπει να δθμιουργθκεί ζνα νζο Project, όπωσ φαίνεται και ςτο Σχιμα 2.. Ο αντίςτοιχοσ φάκελοσ του project δθμιουργείται αυτόματα ςτθν τοποκεςία που επιλζγεται ςτο πεδίο Project Location. Το project ονοματίηεται ειςάγοντασ το όνομα ςτο αντίςτοιχο πεδίο (Σχιμα 3). Στθ ςυνζχεια, κα πρζπει να γίνει ειςαγωγι του (των) επικυμθτοφ ςχεδιαςμοφ ςτο Project. Αυτό γίνεται είτε από το παράκυρο που αναδφεται αυτόματα αμζςωσ μετά (Σχιμα 4), είτε πατϊντασ δεξί κλικ εντόσ του πεδίου Workspace. Μετά τθν ειςαγωγι του κϊδικα, το αρχείο παρουςιάηεται ςτο Workspace (Σχιμα 5).

6 χήμα 2. Ρεριβάλλον ModelSim και Δθμιουργία Project χήμα 3. Πνομα και Αποκικευςθ Project

7 χήμα 3. Αναδυόμενο παράκυρο για ειςαγωγι κϊδικα χήμα 4. Κϊδικασ εντόσ Workspace (π.χ. εδϊ ο κϊδικασ περιγράφει μια πφλθ AND 1-bit) 2.4. Συντακτική Ανάλυςη Κώδικα (Compile) και Αποςφαλμάτωςη Ραρατθρϊντασ το Σχιμα 4, δίπλα από τον κϊδικα του ςχεδιαςμοφ που μόλισ ειςιχκθ, υπάρχει ζνα ερωτθματικό ςτο πεδίο Status. Αυτό το ερωτθματικό δθλϊνει ότι ο κϊδικασ δεν ζχει αναλυκεί ςυντακτικά (compilation). Για να επιτευχκεί ςυντακτικι ανάλυςθ, χρθςιμοποιείται θ αντίςτοιχθ εντολι του πεδίου Compile ςτθ γραμμι εντολϊν ι

8 εναλλακτικά το πρϊτο κουμπί τθσ ομάδοσ των τεςςάρων που βρίςκεται δεξιότερα, κάτω από τθ γραμμι εντολϊν (Σχιμα 5α). Ζςτω ότι κϊδικασ που ειςιχκθ ςτο Project είναι αυτόσ που παρουςιάηεται ςτο Σχιμα 5β. χήμα 5. (α) Κουμπί Συντακτικισ Ανάλυςθσ (Compile), (β) Ενδεικτικόσ κϊδικασ πφλθσ AND 1-bit εντόσ του Project Ρατϊντασ το κουμπί ςυντακτικισ ανάλυςθσ κα προκφψει το μινυμα λάκουσ που φαίνεται ςτο Σχιμα 6α, εντόσ του πεδίου Transcript. Εκεί κα φαίνεται ο ακριβισ αρικμόσ λακϊν του κϊδικα (ςτθν παροφςα περίπτωςθ 1). Με διπλό κλικ πάνω ςτο λάκοσ κα αναδυκεί ζνα νζο παράκυρο ςτο οποίο κα παρατίκενται επιπλζον πλθροφορίεσ για τα (το) ςυντακτικά(ο) λάκθ(οσ), οι οποίεσ κα βοθκιςουν ςτθν αποςφαλμάτωςθ. Μετά τθν αποςφαλμάτωςθ του κϊδικα (ςτθν παροφςα περίπτωςθ?), κα πρζπει γίνει Save ο νζοσ (ςωςτόσ) κϊδικασ και να επαναλθφκεί θ διαδικαςία τθσ ςυντακτικισ ανάλυςθσ. Τότε, ςτο πεδίο Transcript επιβεβαιϊνεται θ ορκότθτα του κϊδικα και αναφζρεται ότι θ ςυντακτικι ανάλυςθ ιταν επιτυχισ!

9 χήμα 6. (α) Μθ επιτυχισ ςυντακτικι ανάλυςθ και μινυμα λάκουσ, (β) Επιτυχισ ςυντακτικι ανάλυςθ 2.5. Λειτουργική Προςομοίωςη - Κυματομορφέσ Επόμενο ςτάδιο από τθν ςυντακτικι ανάλυςθ είναι θ προςομοίωςθ. Ππωσ προαναφζρκθκε και ςτθν Ειςαγωγι, για τισ ανάγκεσ του μακιματοσ δεν κα λάβει χϊρα προςομοίωςθ όπου να αξιοποιοφνται μοντζλα κακυςτζρθςθσ. Ζτςι, δεν γίνεται να ανιχνευκοφν τυχϊν ςφάλματα μετάβαςθσ ι ςφάλματα κακυςτζρθςθσ. Για να εκτελεςτεί προςομοίωςθ, χρθςιμοποιείται θ αντίςτοιχθ εντολι ςτο πεδίο Simulation τθσ γραμμισ εντολϊν ι εναλλακτικά το κουμπί Simulation ςτθ δίπλα από το κουμπί τθσ ςυντακτικισ ανάλυςθσ (Σχιμα 7α και 7β αντίςτοιχα). Κατόπιν κα πρζπει να επιλεγεί θ επικυμθτι αρχιτεκτονικι, εφόςον κάκε οντότθτα(entity) μπορεί να ζχει παραπάνω από μία

10 αρχιτεκτονικι που να τθν «περιγράφει» (όπωσ ζχει διδαχκεί ςτο μάκθμα), θ οποία κα προςομοιωκεί (Σχιμα 7γ). χήμα 7. (α) Ρροςομοίωςθ από τθν γραμμι εντολϊν, (β) Ρροςομοίωςθ από το κουμπί, (γ) Επιλογι αρχιτεκτονικισ προσ προςομοίωςθ Κατόπιν, εμφανίηεται το πεδίο Objects όπου περιλαμβάνονται όλα τα ςιματα (ειςόδου και εξόδου) του ςχεδιαςμοφ (Σχιμα 8α). Με δεξί κλικ και επιλογι όλων των ςθμάτων προσ το πεδίο Waveform ( All signals in region ), τα ςιματα κα εμφανίηονται ςτθν κυματομορφι. Σε περίπτωςθ που τα ςιματα είναι πάρα πολλά ι δεν είναι όλα απαραίτθτα, τότε επιλζγονται όςα χρειάηονται ( Selected Signals ), όπωσ φαίνεται ςτο Σχιμα 8β. Η κυματομορφι εμφανίηεται μζςω του View ςτθ γραμμι εντολϊν και κατόπιν Wave (Σχιμα 8γ). Στθν παροφςα περίπτωςθ θ κυματομορφι που προκφπτει παρουςιάηεται ςτο Σχιμα 9. Στθ ςυνζχεια, πρζπει να ειςαχκοφν τιμζσ ςτα ςιματα ειςόδου. Ενδεικτικά ςτο ζνα ςιμα δίνεται θ τιμι 1 ενϊ ςτο άλλο θ τιμι 0 (Σχιμα 10α). Στθν κυματομορφι οι τιμζσ εμφανίηονται δίπλα ςτα ςιματα (Σχιμα 10β). Στο ςθμείο αυτό χρθςιμοποιείται το κουμπί Run για εκτζλεςθ τθσ προςομοίωςθσ (Σχιμα 10γ). Ακόμθ, μποροφμε να πλθκτρολογιςουμε Run ςτο πεδίο Transcript, αφοφ πρϊτα ζχουμε ορίςει δίπλα το χρονικό διάςτθμα προςομοίωςθσ. Τα αποτελζςματα φαίνονται ςτο πεδίο Wave όπωσ παρουςιάηονται ςτο Σχιμα 10δ.

11 χήμα 8. (α) Ρεδίο Objects με τα ςιματα ειςόδου/εξόδου, (β) Επιλογι ςθμάτων για τθν κυματομορφι (γ) Εμφάνιςθ κυματομορφισ χήμα 9. Ραράκυρο Κυματομορφισ

12 χήμα 10. (α) Ειςαγωγι τιμϊν ςτα ςιματα, (β) Εμφάνιςθ τιμϊν ςτθν κυματομορφι, (γ) Κουμπί εκτζλεςθσ

13 Γενικά, κατά τθ προςομοίωςθ κωδίκων VHDL καλό κα είναι να ακολουκοφνται κάποιοι βαςικοί κανόνεσ: Εκτελοφμε προςομοίωςθ ςε κάκε υποκφκλωμα (component) του ςχεδιαςμοφ ξεχωριςτά και ζπειτα ςε όλο το ςφςτθμα. Ζτςι εξοικονομοφμε χρόνο κάνοντασ τθν αποςφαλμάτωςθ ευκολότερθ. Εκτελοφμε ςτοχευμζνθ προςομοίωςθ. Δεν ελζγχουμε όλεσ τισ πικανζσ καταςτάςεισ (είναι άπειρεσ), αλλά αυτζσ που είναι πικανότερο να αναδείξουν ζνα ςφάλμα ςτο ςχεδιαςμό (π.χ. υπερχείλιςθ ςε ζναν ακροιςτι ι άκροιςμα μθδενικϊν ςθμάτων κτλ). Καλό κα είναι να υπάρχει ζνα αρχικό μοντζλο του ςχεδιαςμοφ, υλοποιθμζνο ςε γλϊςςα προγραμματιςμοφ υψθλοφ επιπζδου ι μακθματικό περιβάλλον (C/C++ ι Matlab) ϊςτε να μπορεί να ελεγχκεί ο ςχεδιαςμόσ ολιςτικά και να υπάρχουν από πριν γνωςτζσ ζξοδοι για δοςμζνεσ ειςόδουσ Πρόςθετα: Αρχεία Εντολών Προςομοίωςησ (DO-files) και Αρχεία Ελέγχου Ορθήσ Λειτουργίασ (Test-benches) Η παραπάνω διαδικαςία, όπωσ φαίνεται, είναι αρκετά χρονοβόρα. Ειδικά δε όταν ο ςχεδιαςμόσ είναι μεγάλοσ και πολφπλοκοσ. Ζνασ τρόποσ να επιςπευτεί θ διαδικαςία είναι θ χριςθ αρχείων εντολϊν προςομοίωςθσ, τα λεγόμενα DO-files. Ζνα τζτοιο αρχείο εμπεριζχει όλεσ τισ παραπάνω ενζργειεσ ςε μορφι εντολϊν script. Η κατάλθξθ του αρχείου είναι.do. Οι εντολζσ αυτζσ είναι ίδιεσ με αυτζσ που προκφπτουν κατά τθν παραπάνω διαδικαςία ςτο πεδίο Transcript. Ζνα τζτοιο αρχείο παρουςιάηεται ςτο Σχιμα 11. Το αρχείο αυτό χρθςιμοποιείται μζςω τθσ εντολισ Execute Macro TCL Transcript ςτο πεδίο Tools TCL τθσ γραμμισ εντολϊν (Σχιμα 12). χήμα 11. Ραράδειγμα αρχείου DO

14 χήμα 12. Εκτζλεςθ αρχείου DO Γενικά, κατά τθ προςομοίωςθ με χριςθ DO-files καλό κα είναι να λαμβάνονται υπόψθ τα εξισ: Το # λειτουργεί ωσ ζναρξθ ςχολίου. Καλό κα είναι ςτο DO-file να γράφονται και χρονιςμοί αλλά και οι αναμενόμενεσ ζξοδοι ςε μορφι ςχολίου. Με τθν εντολι radix αλλάηει θ εμφάνιςθ των τιμϊν των ςθμάτων (δυαδικό, δεκαεξαδικό κτλ) Στθν εντολι RUN μπορεί να οριςκεί χρονικι διάρκεια (π.χ. 500ns). Ρζρα από τα DO-files υπάρχουν και τα Αρχεία Ελζγχου Ορκισ Λειτουργίασ (Testbences). Τα testbenches είναι αρχεία μθ-ςυνκζςιμου κϊδικα VHDL (κα εξθγθκεί ςτο κεφάλαιο τθσ ςφνκεςθσ) τα οποία ζχουν ελάχιςτεσ (ι και κακόλου) ειςόδουσ και εξόδουσ, εκτόσ ίςωσ από ςιματα ρολογιοφ ι reset. Τα αρχεία αυτά τοποκετοφνται ιεραρχικά ζνα επίπεδο πάνω από το ςχεδιαςμό και παράγουν εςωτερικά τα ςιματα ειςόδου και εξόδου για το ςχεδιαςμό που κα χρθςιμοποιθκοφν ςτθν προςομοίωςθ. Ζνα τζτοιο αρχείο φαίνεται ςτο Σχιμα 13. Για να χρθςιμοποιθκεί το αρχείο αυτό, εκτελείται όλθ θ παραπάνω διαδικαςία μόνο που ςτθ κζςθ του ςχεδιαςμοφ επιλζγεται κάκε φορά αυτό. Ππωσ γίνεται αντιλθπτό, τα αρχεία DO και Testbench δφνανται κάλλιςτα να ςυνδυαςτοφν.

15 χήμα 13. Αρχείο Testbench για τθν πφλθ AND 1-bit

16 3. Αναςκόπηςη Θεωρίασ για FPGAs Στο ςθμείο αυτό, καλό είναι να γίνει μία μικρι αναςκόπθςθ ςτο τι είναι ζνα FPGA. Το FPGA ι Field Programmable Gate Array ι Συςτοιχία Επιτόπια Ρρογραμματιηόμενων Ρυλϊν είναι τφποσ προγραμματιηόμενου ολοκλθρωμζνου κυκλϊματοσ γενικισ χριςθσ το οποίο διακζτει πλθκϊρα διαςυνδεδεμζνων Look-up Tables (LuTs) κακϊσ επίςθσ και ζναν πολφ μεγάλο αρικμό τυποποιθμζνων πυλϊν και άλλων ψθφιακϊν λειτουργιϊν όπωσ απαρικμθτζσ, καταχωρθτζσ μνιμθσ, γεννιτριεσ PLL κα. Σε οριςμζνα από αυτά ενςωματϊνονται και αναλογικζσ λειτουργίεσ. Κατά τον προγραμματιςμό του FPGA, ο οποίοσ γίνεται πάντοτε ενϊ αυτό είναι τοποκετθμζνο ςτο τυπωμζνο κφκλωμα, ενεργοποιοφνται οι επικυμθτζσ λειτουργίεσ και διαςυνδζονται μεταξφ τουσ ζτςι ϊςτε το FPGA να ςυμπεριφζρεται ωσ ολοκλθρωμζνο κφκλωμα με ςυγκεκριμζνθ λειτουργία. Κάποια ιδιαίτερα χαρακτθριςτικά του FPGA παρατίκενται παρακάτω: Το FPGA χάνει τον προγραμματιςμό του κάκε φορά που διακόπτεται θ τάςθ τροφοδοςίασ του. Επομζνωσ απαιτεί εξωτερικό μικροεπεξεργαςτι ι μνιμθ με μόνιμθ ςυγκράτθςθ δεδομζνων (non-volatile memory) από τα οποία κα προγραμματίηεται, κάκε φορά που επανζρχεται θ τάςθ τροφοδοςίασ. Δεν υπάρχει όριο ςτο πόςεσ φορζσ μπορεί να επαναπρογραμματιςτεί. Η κατανάλωςθ ιςχφοσ είναι ςθμαντικά αυξθμζνθ, ςε ςχζςθ με τα ASIC. Κατάλλθλο εκεί που οι παράμετροι λειτουργίασ πρζπει να αλλάηουν ςυχνά. Είναι ςθμαντικά φτθνότερο ςε ςχζςθ με τα αντίςτοιχα ASICs ενϊ ταυτόχρονα δίνει τθ δυνατότθτα διόρκωςθσ του ςχεδιαςμοφ ςε περίπτωςθ ςφάλματοσ (ςτθν περίπτωςθ του ASIC γίνεται απόρριψθ). Βαςικι δομικι μονάδα ενόσ FPGA είναι το Ραραμετροποιιςιμο Λογικό Μπλόκ ι Configurable Logic Block (CLB). Ζνα FPGA διακζτει χιλιάδεσ CLBs τα οποία ςυνδζονται μεταξφ τουσ μζςω ςτακερϊν καλωδιϊςεων (wires). Επίςθσ, υπάρχουν και διακλαδωτζσ καλωδίων (switch matrices) οι οποίοι δίνουν επιπλζον δυνατότθτεσ ςφνδεςθσ. Ακόμθ, υπάρχουν μπλοκ τα οποία είναι υπεφκυνα μόνο για τθν είςοδο/ζξοδο του FPGA (I/O Blocks). Σχθματικά, θ διάταξθ ενόσ FPGA φαίνεται ςτο Σχιμα 14. Κατά τον προγραμματιςμό ενόσ FPGA, το κάκε CLB (και κατ επζκταςθ οι εςωτερικζσ λογικζσ μονάδεσ του) «προγραμματίηονται» να εκτελοφν ςυγκεκριμζνεσ λειτουργίεσ με βάςθ τον ςχεδιαςμό τον οποίο ςτοχεφει ο ςχεδιαςτισ. Επίςθσ, γίνονται και οι κατάλλθλεσ ςυνδζςεισ (ι αποςυνδζςεισ) των καλωδίων ανάμεςα ςτα CLBs ϊςτε τα δεδομζνα να ακολουκοφν τθν επικυμθτι διαδρομι. Ζτςι, επιτυγχάνεται από το FPGA θ ςυμπεριφορά (λειτουργία) του επικυμθτοφ ςχεδιαςμοφ.

17 χήμα 14. Δομι ενόσ FPGA 4. Mentor Graphics: LeonardoSpectrum 4.1. Γενικά - Εγκατάςταςη Το LeonardoSpectrum τθσ Mentor Graphics είναι ζνα εργαλείο ςφνκεςθσ τόςο για FPGA όςο και για ASIC πλατφόρμεσ. Χρθςιμοποιικθκε κατά κόρον ςτο παρελκόν τόςο από Ρανεπιςτιμια και Ερευνθτικά Κζντρα όςο και από εταιρείεσ. Πμωσ, ςτισ μζρεσ μασ δεν χρθςιμοποιείται από πολλοφσ ςχεδιαςτζσ, κυρίωσ λόγω τθσ ανάπτυξθσ πλθρζςτερων εργαλείων από τισ εταιρείεσ καταςκευισ FPGAs, όπωσ θ Xilinx και θ ALTERA. Για τισ ανάγκεσ του εργαςτθρίου του μακιματοσ κα χρθςιμοποιιςουμε κυρίωσ τα εργαλεία τθσ Xilinx (ΙSE κτλ - κα αναλυκεί ςτο επόμενο κεφάλαιο). Πμωσ, για λόγουσ πλθρότθτασ κα αναφζρουμε ςυνοπτικά κάποια βαςικά βιματα για εκτζλεςθ ςφνκεςθσ με το παρόν εργαλείο. Στο εργαςτιριο κα χρθςιμοποιθκεί ΜΟΝΟ ςε εξαιρετικζσ περιπτϊςεισ κωλφματοσ με τα εργαλεία τθσ ISE (αποτυχία επιτυχοφσ εγκατάςταςθσ, προβλιματα ςυμβατότθτασ με το λειτουργικό κτλ). Για τθν εγκατάςταςθ, κα πρζπει να ακολουκθκοφν οι οδθγίεσ που βρίςκονται εντόσ του φακζλου του εργαλείου, ςτο αντίςτοιχο αρχείο txt που ςυνοδεφει τα εκτελζςιμα αρχεία εγκατάςταςθσ. Πλα τα παραπάνω κα ςασ δοκοφν από το εργαςτιριο αλλά είναι και διακζςιμα ςτον αντίςτοιχο φάκελο που βρίςκεται ςτθν Επιφάνεια Εργαςίασ των υπολογιςτϊν του εργαςτθρίου Εκκίνηςη του Εργαλείου Κατά τθν εκκίνθςθ του εργαλείου, αναδφεται το παράκυρο που φαίνεται ςτο Σχιμα 15.

18 χήμα 15. Αρχικό Ραράκυρο LeonardoSpectrum 4.3. Φόρτωςη Σχεδιαςμού - Επιλογή Τεχνολογίασ και Χαρακτηριςτικών Για να γίνει ειςαγωγι ενόσ ςχεδιαςμοφ προσ ςφνκεςθ, δεν απαιτείται θ δθμιουργία Project. Με τθ βοικεια των κουμπιϊν επιλογισ που φαίνονται ςτο Σχιμα 16 ειςάγεται το αρχείο VHDL του ςχεδιαςμοφ αλλά ορίηεται και ο φάκελοσ όπου τα αρχεία που παράγονται κατά τθ λειτουργία τθσ ςφνκεςθσ κα αποκθκευκοφν. Στθ ςυνζχεια, από το πεδίο Quick Setup επιλζγεται θ επικυμθτι τεχνολογία FPGA, θ ςυςκευι κακϊσ και το Speed Grade για τθ ςφνκεςθ.

19 χήμα 16. Ειςαγωγι Σχεδιαςμοφ και οριςμόσ Working Directory χήμα 17. Επιλογι τεχνολογίασ FPGA

20 4.4. Σύνθεςη Για να εκτελεςτεί θ ςφνκεςθ, χρθςιμοποιείται το κουμπί Run Flow που βρίςκεται χαμθλότερα (Σχιμα 18). Αν ο κϊδικασ ζχει ςφάλματα, τότε ςτο Information Window γίνεται αναφορά ςτο ςφάλμα. Αν όχι, τότε αναφζρεται ότι θ ςφνκεςθ ιταν επιτυχισ (Σχιμα 19α και β). χήμα 18. Κουμπί ςφνκεςθσ χήμα 19. Information Window Τα αποτελζςματα τθσ ςφνκεςθσ δίνονται ςτο Summary File (Σχιμα 20α) το οποίο προκφπτει πατϊντασ το αντίςτοιχο κουμπί (Σχιμα 20β). Σθμαντικζσ παράμετροι κεωροφνται ο αρικμόσ των I/O που χρθςιμοποιικθκαν, ο αρικμόσ των CLBs (λογικά blocks) για τθν επιφάνεια και θ ςυχνότθτα λειτουργίασ. Το κρίςιμο μονοπάτι του ςχεδιαςμοφ δίνεται πατϊντασ το αντίςτοιχο κουμπί ςτθν ομάδα λειτουργιϊν (Σχιμα 20γ και δ).

21 χήμα 20. (α) Αναφορά Σφνκεςθσ, (β) Κουμπί αναφοράσ ςφνκεςθσ, (γ) Κουμπί εμφάνιςθσ κρίςιμου μονοπατιοφ, (δ) Ενδεικτικι μορφι κρίςιμου μονοπατιοφ από το εργαλείο 4.5. Σχηματικά Διαγράμματα και Επιπλέον Επιλογέσ Το LeonardoSpectrum δίνει τθ δυνατότθτα ςτο ςχεδιαςτι να παράξει τα ςχθματικά διαγράμματα του ςχεδιαςμοφ που ςυνζκεςε. Δίνει τθ δυνατότθτα παραγωγισ δφο ςχθματικϊν, του RTL Schematic και του Technology Schematic. Το πρϊτο αφορά το RTL μπλοκ διάγραμμα του ςχεδιαςμοφ, όπωσ διαμορφϊνεται από τθν περιγραφι του ςχεδιαςτι ςτον κϊδικα VHDL. Το κουμπί για εμφάνιςθ του ςχθματικοφ αυτοφ φαίνεται ςτο Σχιμα 21α ενϊ ζνα ενδεικτικό ςχθματικό τζτοιου τφπου παρουςιάηεται ςτο Σχιμα 21β. Αντίςτοιχα, το Technology Schematic αφορά τθν απεικόνιςθ του ςχεδιαςμοφ πάνω ςτο FPGA. Με άλλα λόγια δείχνει το τμιμα του FPGA που αποτελεί τον ςχεδιαςμό ο οποίοσ ςυνετζκθ. Το κουμπί για εμφάνιςθ του ςχθματικοφ αυτοφ φαίνεται ςτο Σχιμα 21γ ενϊ ζνα ενδεικτικό ςχθματικό τζτοιου τφπου παρουςιάηεται ςτο Σχιμα 21δ. Μια ακόμθ δυνατότθτα του εργαλείου είναι κάποιεσ επιπλζον επιλογζσ και ρυκμίςεισ, όπωσ θ επιλογι για optimization τθσ ςφνκεςθσ ωσ προσ διάφορεσ παραμζτρουσ, όπωσ το clock frequency, το area κλπ. (Σχιμα 22).

22 χήμα 21. (α) Κουμπί εμφάνιςθσ RTL Schematic, (β) Ενδεικτικι μορφι RTL Schematic, (γ) Κουμπί εμφάνιςθσ Technology Schematic, (δ) Ενδεικτικι μορφι Technology Schematic χήμα 22. Κουμπί επιπλζον επιλογϊν εργαλείου

23 5. Xilinx: ISE Design Suite 5.1. Γενικά - Ειςαγωγικά για Xilinx FPGAs Ππωσ προαναφζρκθκε, το ISE είναι μια ςουίτα εργαλείων τθσ Xilinx τα οποία αλλθλεπιδροφν και δίνουν τθ δυνατότθτα ςτο ςχεδιαςτι να εκτελζςει όλθ τθ ροι ςχεδίαςθσ, από τθν απλι ςυγγραφι και ςφνκεςθ ενόσ κϊδικα VHDL ζωσ τον «προγραμματιςμό» μίασ πλακζτασ FPGA. Πλα τα επιμζρουσ εργαλεία μποροφν να εκκινιςουν μζςω ενόσ κεντρικοφ εργαλείου ελζγχου, του Project Navigator, το οποίο περιλαμβάνεται εντόσ του ISE. Η ζκδοςθ του ISE που κα επιλεγεί παίηει ιδιαίτερο ρόλο κακϊσ όλο και νεότερεσ εκδόςεισ δίνονται από τθ Xilinx οι οποίεσ είτε προςφζρουν νζεσ δυνατότθτεσ, είτε βελτιςτοποιοφν τισ υπάρχουςεσ, είτε και τα δφο. Επίςθσ, με τθν πάροδο των ετϊν, παράγονται νζεσ οικογζνειεσ FPGAs (ι νεότερεσ εκδόςεισ υφιςτάμενων), τισ οποίεσ οι παλαιότερεσ εκδόςεισ του ISE δεν τισ υποςτθρίηουν. Συνεπϊσ, κα πρζπει πάντα να γίνεται ζλεγχοσ τθσ ζκδοςθσ του ISE που χρθςιμοποιείται αλλά και το αν ζχει δθμοςιευκεί κάποια ανανζωςθ (update) ι εντελϊσ καινοφρια ζκδοςθ. Οι κφριεσ ςειρζσ FPGAs τθσ Xilinx, οι οποίεσ χρθςιμοποιοφνται από τθν πλειοψθφία των ςχεδιαςτϊν ςιμερα, είναι δφο: θ ςειρά Spartan και θ ςειρά Virtex. Η κάκε ςειρά περιλαμβάνει αρκετζσ οικογζνειεσ FPGAs (Spartan-3, Spartan-6 ι Virtex-4, Virtex-5, κτλ), θ κάκε μία εκ των οποίων περιλαμβάνει διάφορεσ ςυςκευζσ (devices) FPGA με ςυγκεκριμζνα χαρακτθριςτικά. Ππωσ γίνεται αντιλθπτό, υπάρχει πλθκϊρα επιλογϊν για τον εκάςτοτε ςχεδιαςτι, ο οποίοσ μπορεί να αποτιμιςει τισ ανάγκεσ του και να επιλζξει τθ ςειρά, τθν οικογζνεια, τθ ςυςκευι και τζλοσ τθν πλακζτα (board) αυτι κακαυτι που του ταιριάηει περιςςότερο. Σθμαντικόσ παράγοντασ επιλογισ είναι και το κόςτοσ, κακϊσ θ ςειρά Virtex είναι ακριβότερθ από τθν ςειρά Spartan. Πμωσ προςφζρει επιπλζον δυνατότθτεσ, γρθγορότερα FPGAs (ςτθν πλειοψθφία τουσ), και μεγαλφτερθ ευελιξία όςον αφορά τισ επιλογζσ ςυςκευισ. Στο παραπάνω ςχιμα, το κάκε CLB φαίνεται ωσ ζνα ςκοφρο μπλε κουτάκι ανάμεςα ςε καλϊδια. Στθν περίπτωςθ των FPGAs τθσ Xilinx κάκε CLB χωρίηεται ςε μικρότερεσ δομζσ, τα λεγόμενα slices. Ανάλογα με τθν οικογζνεια FPGA, κάκε CLB μπορεί να περιζχει 2, 4 ι και 6 slices. Με τθ ςειρά τουσ, τα slices εμπεριζχουν Look-up Tables (LuTs) και ενδεχομζνωσ τυποποιθμζνα τμιματα λογικισ που εκτελοφν βαςικζσ πράξεισ (όπωσ για παράδειγμα μικροφσ πολυπλζκτεσ, πφλεσ, λογικι υπολογιςμοφ carry πρόςκεςθσ κτλ). Ανάλογα με τθν οικογζνεια FPGA τα LuTs μπορεί να είναι (4 ειςόδων/1 εξόδου) ι (6 ειςόδων / 2 εξόδων), ενϊ διαφοροποιοφνται και τα ενςωματωμζνα τυποποιθμζνα λογικά τμιματα (περιςςότερεσ πφλεσ ι λογικι για DSP εφαρμογζσ κτλ). Για παράδειγμα, ςτθν περίπτωςθ τθσ οικογζνειασ Virtex-5 (Σχιμα 23), κάκε CLB αποτελείται από 2 slices, εκ των οποίων το κάκε ζνα εμπεριζχει 4 LuTs (6 ειςόδων / 2 εξόδων), πολυπλζκτεσ 2ςε1, λογικι υπολογιςμοφ carry άκροιςθσ, πφλεσ XOR και καταχωρθτζσ (D flip-flops).

24 χήμα 23. Εςωτερικι δομι CLB ςτθν περίπτωςθ του Virtex Απόκτηςη και Εγκατάςταςη ISE Η ςουίτα ISE είναι διακζςιμθ ςτθν επίςθμθ ιςτοςελίδα τθσ Xilinx ( όπου μπορεί κάκε φοιτθτισ, αφοφ εγγραφεί (δωρεάν) δθλϊνοντασ τθν φοιτθτικι του ιδιότθτα να «κατεβάςει» τθν ζκδοςθ που είναι δωρεάν (ζκδοςθ WebPACK). Αναλυτικά το path για τθν ζκδοςθ αυτι είναι: Downloads Design Tools Vivado and ISE Design Suites, όπου είναι διακζςιμεσ εκδόςεισ τόςο για Windows όςο και για Linux OS (Σχιμα 24). Ακόμθ υπάρχει πλοφςιο υλικό (Documentation) για βοικεια και επεξιγθςθ των λειτουργιϊν και των δυνατοτιτων του ISE. Η εγκατάςταςθ γίνεται εφκολα μζςω ενόσ αυτοματοποιθμζνου οδθγοφ (Wizard) χωρίσ προβλιματα. Είναι δοκιμαςμζνθ ςε Windows XP/Vista/7 κακϊσ και ςε Linux Ubuntu. Για περιςςότερεσ πλθροφορίεσ οι φοιτθτζσ ενκαρρφνονται να επικοινωνιςουν με τουσ βοθκοφσ του μακιματοσ ςτο εργαςτιριο.

25 χήμα 24. ISE WebPACK ςτθ ςελίδα τθσ Xilinx 5.3. Εκκίνηςη του ISE - Δημιουργία Project Ο Project Navigator του ISE εκκινεί είτε από τθν λίςτα προγραμμάτων του υπολογιςτι είτε με διπλό κλικ τθσ ςυντόμευςθσ ςτθν επιφάνεια εργαςίασ. Η εκκίνθςθ ενδεχομζνωσ να κακυςτεριςει μερικά δευτερόλεπτα, ανάλογα με τισ δυνατότθτεσ του υπολογιςτι. Ππωσ και ςτθν περίπτωςθ του ModelSim, και εδϊ αρχικά πρζπει να δθμιουργθκεί νζο Project. Αυτό γίνεται μζςω του πεδίου File New Project τθσ γραμμισ εργαλείων (Σχιμα 25). Δίνεται όνομα και τοποκεςία ςτον υπολογιςτι κακϊσ και ο τφποσ γλϊςςασ περιγραφισ υλικοφ που κα χρθςιμοποιθκεί ςτο ανϊτερο αρχείο τθσ ιεραρχίασ (Σχιμα 26). Κατόπιν, επιλζγεται θ οικογζνεια (Family), θ ςυςκευι (Device) και τα χαρακτθριςτικά του FPGA το οποίο κα χρθςιμοποιθκεί. Τα χαρακτθριςτικά (όπωσ για παράδειγμα ο αρικμόσ I/O blocks αναφζρονται ωσ Package). Στθν παροφςα περίπτωςθ, ζχει επιλεγεί θ οικογζνεια Spartan 3E, θ ςυςκευι XC3S500E και το Package FT256 (Σχιμα 27). Σθμαντικό ρόλο παίηει και το πεδίο Speed που αφορά τθν ταχφτθτα που μποροφν να επιτφχουν οι εςωτερικζσ ςυνδζςεισ του FPGA. Πςο μικρότερο Speed rate τόςο μεγαλφτερθ ταχφτθτα. Πςο μεγαλφτερθ ταχφτθτα τόςο καλφτερεσ επιδόςεισ κα ζχει ο τελικόσ ςχεδιαςμόσ. Εδϊ ζχει επιλεγεί το Speed Rate -4. Στο τζλοσ τθσ διαδικαςίασ δθμιουργίασ, εμφανίηεται περιλθπτικά θ περιγραφι του project που ζχει δθμιουργθκεί ςυμπεριλαμβανομζνων των επιλογϊν για FPGA (Σχιμα 28). Σε αυτό το ςθμείο μπορεί να γίνει θ ειςαγωγι του ςχεδιαςμοφ (ων) ςτο Project με μορφι θ οποία ζχει επιλεγεί νωρίτερα (π.χ. VHDL αρχείο).

26 χήμα 25. Δθμιουργία νζου Project ςτο ISE χήμα 26. Ονοματοδοςία και Τοποκεςία νζου Project ςτο ISE

27 χήμα 27. Επιλογι οικογζνειασ, ςυςκευισ και χαρακτθριςτικϊν για το FPGA χήμα 28. Συνοπτικι περιγραφι project πριν τθν ειςαγωγι του ςχεδιαςμοφ

28 5.4. Ειςαγωγή Σχεδιαςμού ςτο Project Στο τρζχον παράκυρο του Project Navigator, αριςτερά τθν καρτζλα Hierarchy (Σχιμα 29) παρουςιάηεται θ οικογζνεια (sp3), θ ςυςκευι, το package, και το speed grade που ζχουν επιλεγεί (xc3s500e-4ft256). Η ειςαγωγι του ςχεδιαςμοφ γίνεται πατϊντασ δεξί κλικ και Add-Source όπωσ φαίνεται ςτο προαναφερκζν ςχιμα. χήμα 29. Ειςαγωγι Σχεδιαςμοφ ςτο Project: Βιμα 1 ο Κατόπιν, το εργαλείο ηθτά τθν επιβεβαίωςθ τθσ ειςαγωγισ του ςχεδιαςμοφ όπωσ επίςθσ και τθν αντιςτοίχθςθ του με τθν ορκι βιβλιοκικθ. Για τισ ανάγκεσ του εργαςτθρίου όλα τα παραπάνω παραμζνουν ωσ ζχουν (Σχιμα 30). Σε περίπτωςθ λάκουσ ςτο αρχείο, ι μθ ορκισ γλϊςςασ περιγραφισ του ςχεδιαςμοφ, ι λάκοσ τφποσ αρχείου το εργαλείο δεν κα ειςάγει το ςχεδιαςμό και κα προκφψει μινυμα λάκουσ. Αμζςωσ μετά τθν επιτυχι ειςαγωγι του ςχεδιαςμοφ, το παράκυρο του Project Navigator ζχει τθ μορφι που παρουςιάηεται ςτο Σχιμα 31. Εκεί φαίνονται 4 κφρια υπο-παράκυρα (Bars), τα: Source Bar, Process Bar, Design Summary Bar και Transcript Bar. Στο Source Bar παρουςιάηεται ο ςχεδιαςμόσ(οι) που μόλισ ειςιχκθςαν ςτο Project. Το Process Bar εμπεριζχει όλεσ τισ δυνατζσ λειτουργίεσ για τον τρζχων ςχεδιαςμό (π.χ. ςφνκεςθ,

29 υλοποίθςθ, απεικόνιςθ ςτο FPGA κτλ) θ οποίεσ επιλζγονται είτε με διπλό κλικ είτε με δεξί κλικ και RUN. Για όλεσ αυτζσ τισ λειτουργίεσ, θ ςφνδεςθ με τα αντίςτοιχα εργαλεία τθσ ςουίτασ γίνεται αυτόματα κατά τθν επιλογι τθσ λειτουργίασ. To Design Summary Bar αποτυπϊνει τα αποτελζςματα των παραπάνω λειτουργιϊν (κα αναλυκοφν ςτα αντίςτοιχα υποκεφάλαια). Τζλοσ, το Transcript Bar ζχει όμοιεσ λειτουργίεσ με το αντίςτοιχο πεδίο του ModelSim. χήμα 30. Ειςαγωγι Σχεδιαςμοφ ςτο Project: Βιμα 2 ο χήμα 31. Ραράκυρο Project Navigator αμζςωσ μετά τθν ειςαγωγι του ςχεδιαςμοφ

30 5.5. Σύνθεςη Σχεδιαςμού Η ςφνκεςθ του ςχεδιαςμοφ είναι το πρϊτο βιμα μετά τθν ειςαγωγι και γίνεται μζςω τθσ αντίςτοιχθσ εντολισ ςτο Process Bar (Σχιμα 32). Αξίηει να ςθμειωκεί πωσ πριν τθ ςφνκεςθ γίνεται (αυτόματα κατά τθν επιλογι τθσ) ςυντακτικόσ ζλεγχοσ του κϊδικα περιγραφισ του ςχεδιαςμοφ (Check Syntax). Αν υπάρχει οποιοδιποτε πρόβλθμα θ διαδικαςία ςταματά και τα αντίςτοιχα μθνφματα λάκουσ (με περιγραφι τουσ για ευκολότερθ αποςφαλμάτωςθ) αναδφονται ςτο Transcript Bar. χήμα 32. Διαδικαςία Σφνκεςθσ Μετά το πζρασ τθσ διαδικαςίασ τθσ ςφνκεςθσ, τα αποτελζςματα παρουςιάηονται ςτθν καρτζλα Summary (Σχιμα 33) αλλά και ςτθν αναφορά τθσ ςφνκεςθσ (Synthesis Report). Στο ςθμείο αυτό κα πρζπει να τονιςτεί ότι ςτο Summary απεικονίηεται θ επιφάνεια του FPGA (area) που καταλαμβάνει ο ςχεδιαςμόσ. Γίνεται ανάλυςθ τθσ επιφάνειασ αυτισ ςε Slices, LuTs, Registers κτλ. Συνικωσ, θ ποςότθτα που καταδεικνφει τθν κατειλθμμζνθ επιφάνεια είναι ο αρικμόσ των Slices. Εκτόσ από το Summary, θ επιφάνεια αναλφεται και ςτθν Αναφορά Σφνκεςθσ ςτο πεδίο Final Report Device Utilization Summary (Σχιμα 34). χήμα 33. Ενδεικτικά αποτελζςματα ςφνκεςθσ ςτο Summary

31 Πςον αφορά ςτθν μζγιςτθ ςυχνότθτα που επιτυγχάνει ο ςχεδιαςμόσ, αυτι παρατίκεται ςτθν αναλυτικι αναφορά ςφνκεςθσ ςτο πεδίο Final Report Timing Report. Η ςυχνότθτα του ςχεδιαςμοφ ουςιαςτικά αποτελεί τον χρόνο του κρίςιμου μονοπατιοφ του ςχεδιαςμοφ. Ο τελευταίοσ, μαηί με τθν αντίςτοιχθ ςυχνότθτα που προκφπτει, αναφζρεται ωσ Minimum Period ςτθν Αναφορά και μετράται ςε ns. χήμα 34. Ενδεικτικό Synthesis Report Τζλοσ, το εργαλείο δίνει τθ δυνατότθτα ςτο ςχεδιαςτι να παράξει τα RTL και Technology Schematics (όπωσ και ςτθν περίπτωςθ του LeonardoSpectrum). Αυτό γίνεται από τισ αντίςτοιχεσ εντολζσ (View RTL Schematic και View Technology Schematic) ςτθν κατθγορία τθσ Σφνκεςθσ του Process Bar (Σχιμα 32). ΣΟ ΔΕΤΣΕΡΟ ΜΕΡΟ ΣΩΝ ΕΡΓΑΣΗΡΙΑΚΩΝ ΗΜΕΙΩΕΩΝ ΘΑ ΤΝΕΧΙΣΕΙ Η ΑΝΑΛΤΗ ΣΗ ΧΕΔΙΑΣΙΚΗ ΡΟΗ ΜΕΧΡΙ ΚΑΙ ΣΟΝ ΠΡΟΓΡΑΜΜΑΣΙΜΟ ΣΟΤ FPGA. 6. Βιβλιογραφία - Χρήςιμοι Σύνδεςμοι [1] CMOS VLSI Design, A Circuits and Systems Perspective Third Edition. Neil H.E. Weste, David Harris. Addison Wesley Publications [2] The Designer s Guide to VHDL. Peter J. Asbenden. University of Adelaide. Morgan Kaufman Publishers, Inc., California [3] Σχεδίαςθ Ψθφιακϊν Συςτθμάτων με τθ Γλϊςςα VHDL. S. Brown, Z. Vranesic. Εκδόςεισ Τηιόλα, Θεςςαλονίκθ

32 [4] Modelsim_Tutorial: [5] VHDL tutorial: [6] MIT Course: Science/6-111Spring2004/LectureNotes/index.htm [7] [8] [9] [10]

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 1

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 1 Τμήμα Μησανικών Πληποφοπικήρ, Τ.Ε.Ι. Ηπείπος Ακαδημαϊκό Έτορ 2016-2017, 6 ο Εξάμηνο Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 1 Διδάςκων Τςιακμάκθσ Κυριάκοσ, Phd MSc in Electronic Physics (Radioelectrology)

Διαβάστε περισσότερα

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 2

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 2 Τμήμα Μησανικών Πληποφοπικήρ, Τ.Ε.Ι. Ηπείπος Ακαδημαϊκό Έτορ 2016-2017, 6 ο Εξάμηνο Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 2 Διδάςκων Τςιακμάκθσ Κυριάκοσ, Phd MSc in Electronic Physics (Radioelectrology)

Διαβάστε περισσότερα

Modellus 4.01 Συ ντομοσ Οδηγο σ

Modellus 4.01 Συ ντομοσ Οδηγο σ Νίκοσ Αναςταςάκθσ 4.01 Συ ντομοσ Οδηγο σ Περιγραφή Σο είναι λογιςμικό προςομοιϊςεων που ςτθρίηει τθν λειτουργία του ςε μακθματικά μοντζλα. ε αντίκεςθ με άλλα λογιςμικά (π.χ. Interactive Physics, Crocodile

Διαβάστε περισσότερα

Ειςαγωγι ςτθ ςχεδιαςτικι ροι τθσ Xilinx. ISE Design Suite University Of Thessaly Department of Electrical and Computer Engineering CE430

Ειςαγωγι ςτθ ςχεδιαςτικι ροι τθσ Xilinx. ISE Design Suite University Of Thessaly Department of Electrical and Computer Engineering CE430 Πανεπιςτιμιο Θεςςαλίασ, Τμιμα Ηλεκτρολόγων Μθχανικϊν και Μθχανικϊν Υπολογιςτϊν HY430 Εργαςτιριο Ψθφιακϊν Κυκλωμάτων Χειμερινό Εξάμθνο 2013-2014 Ειςαγωγι ςτθ ςχεδιαςτικι ροι τθσ Xilinx ISE Design Suite

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ

ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ Δίκτυα Επικοινωνιϊν ΙΙ Διδάςκων: Απόςτολοσ Γκάμασ (Διδάςκων ΠΔ 407/80) Βοθκόσ Εργαςτθρίου: Δθμιτριοσ Μακρισ Ενδεικτική Λύση 2

Διαβάστε περισσότερα

Ηλεκτρονικι Επιχειρθςιακι Δράςθ Εργαςτιριο 1

Ηλεκτρονικι Επιχειρθςιακι Δράςθ Εργαςτιριο 1 1. Εγκατάςταςη Xampp Προκειμζνου να γίνει θ εγκατάςταςθ κα πρζπει πρϊτα να κατεβάςετε και εγκαταςτιςετε το XAMPP ωσ ακολοφκωσ. 1.1. Πάμε ςτθν ακόλουκθ διεφκυνςθ https://www.apachefriends.org/download.html

Διαβάστε περισσότερα

Πωσ δθμιουργώ φακζλουσ;

Πωσ δθμιουργώ φακζλουσ; Πωσ δθμιουργώ φακζλουσ; Για να μπορζςετε να δθμιουργιςετε φακζλουσ ςτο χαρτοφυλάκιό ςασ ςτο Mahara κα πρζπει να μπείτε ςτο ςφςτθμα αφοφ πατιςετε πάνω ςτο ςφνδεςμο Mahara profiles από οποιοδιποτε ςελίδα

Διαβάστε περισσότερα

Διαδικαςία Διαχείριςθσ Στθλϊν Βιβλίου Εςόδων - Εξόδων. (v.1.0.7)

Διαδικαςία Διαχείριςθσ Στθλϊν Βιβλίου Εςόδων - Εξόδων. (v.1.0.7) Διαδικαςία Διαχείριςθσ Στθλϊν Βιβλίου Εςόδων - Εξόδων (v.1.0.7) 1 Περίληψη Το ςυγκεκριμζνο εγχειρίδιο δθμιουργικθκε για να βοθκιςει τθν κατανόθςθ τθσ διαδικαςίασ διαχείριςθσ ςτθλών βιβλίου Εςόδων - Εξόδων.

Διαβάστε περισσότερα

Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο του Άβακα

Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο του Άβακα Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο του Άβακα Αυτζσ οι οδθγίεσ ζχουν ςτόχο λοιπόν να βοθκιςουν τουσ εκπαιδευτικοφσ να καταςκευάςουν τισ δικζσ τουσ δραςτθριότθτεσ με το μοντζλο του Άβακα. Παρουςίαςη

Διαβάστε περισσότερα

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 2 ο Εργαςτιριο Διαχείριςθ Διεργαςιϊν

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 2 ο Εργαςτιριο Διαχείριςθ Διεργαςιϊν ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ 2 ο Εργαςτιριο Διαχείριςθ Διεργαςιϊν Τπόβακρο (1/3) τουσ παλαιότερουσ υπολογιςτζσ θ Κεντρικι Μονάδα Επεξεργαςίασ (Κ.Μ.Ε.) μποροφςε κάκε ςτιγμι να εκτελεί μόνο ζνα πρόγραμμα τουσ ςφγχρονουσ

Διαβάστε περισσότερα

ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΠΟΛΟΓΙΣΤΗ. ΚΕΦΑΛΑΙΟ 5: Γνωριμία με το λογιςμικό του υπολογιςτι

ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΠΟΛΟΓΙΣΤΗ. ΚΕΦΑΛΑΙΟ 5: Γνωριμία με το λογιςμικό του υπολογιςτι ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΠΟΛΟΓΙΣΤΗ ΚΕΦΑΛΑΙΟ 5: Γνωριμία με το λογιςμικό του υπολογιςτι Λογιςμικό (Software), Πρόγραμμα (Programme ι Program), Προγραμματιςτισ (Programmer), Λειτουργικό Σφςτθμα (Operating

Διαβάστε περισσότερα

Αυτόματη δημιουργία στηλών Αντιστοίχηση νέων λογαριασμών ΦΠΑ

Αυτόματη δημιουργία στηλών Αντιστοίχηση νέων λογαριασμών ΦΠΑ Αυτόματη δημιουργία στηλών Αντιστοίχηση νέων λογαριασμών ΦΠΑ 1 Περίληψη Το ςυγκεκριμζνο εγχειρίδιο δημιουργήθηκε για να βοηθήςει την κατανόηςη τησ διαδικαςίασ αυτόματησ δημιουργίασ ςτηλών και αντιςτοίχιςησ

Διαβάστε περισσότερα

Virtualization. Στο ςυγκεκριμζνο οδηγό, θα παρουςιαςτεί η ικανότητα δοκιμήσ τησ διανομήσ Ubuntu 9.04, χωρίσ την ανάγκη του format.

Virtualization. Στο ςυγκεκριμζνο οδηγό, θα παρουςιαςτεί η ικανότητα δοκιμήσ τησ διανομήσ Ubuntu 9.04, χωρίσ την ανάγκη του format. Virtualization Στο ςυγκεκριμζνο οδηγό, θα παρουςιαςτεί η ικανότητα δοκιμήσ τησ διανομήσ Ubuntu 9.04, χωρίσ την ανάγκη του format. Το virtualization πρόκειται για μια τεχνολογία, θ οποία επιτρζπει το διαχωριςμό

Διαβάστε περισσότερα

Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο τησ Αριθμογραμμήσ

Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο τησ Αριθμογραμμήσ Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο τησ Αριθμογραμμήσ Αυτζσ οι οδθγίεσ ζχουν ςτόχο να βοθκιςουν τουσ εκπαιδευτικοφσ να καταςκευάςουν τισ δικζσ τουσ δραςτθριότθτεσ με το μοντζλο τθσ Αρικμογραμμισ.

Διαβάστε περισσότερα

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 3

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 3 Τμήμα Μησανικών Πληποφοπικήρ, Τ.Ε.Ι. Ηπείπος Ακαδημαϊκό Έτορ 2016-2017, 6 ο Εξάμηνο Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 3 Διδάςκων Τςιακμάκθσ Κυριάκοσ, Phd MSc in Electronic Physics (Radioelectrology)

Διαβάστε περισσότερα

ΟΔΗΓΙΕ ΓΙΑ ΣΗΝ ΕΙΑΓΩΓΗ ΕΚΔΡΟΜΩΝ & ΝΕΩΝ - ΑΝΑΚΟΙΝΩΕΩΝ ΣΗΝ ΙΣΟΕΛΙΔΑ ΣΗ Δ.Δ.Ε. ΘΕΠΡΩΣΙΑ

ΟΔΗΓΙΕ ΓΙΑ ΣΗΝ ΕΙΑΓΩΓΗ ΕΚΔΡΟΜΩΝ & ΝΕΩΝ - ΑΝΑΚΟΙΝΩΕΩΝ ΣΗΝ ΙΣΟΕΛΙΔΑ ΣΗ Δ.Δ.Ε. ΘΕΠΡΩΣΙΑ ΟΔΗΓΙΕ ΓΙΑ ΣΗΝ ΕΙΑΓΩΓΗ ΕΚΔΡΟΜΩΝ & ΝΕΩΝ - ΑΝΑΚΟΙΝΩΕΩΝ ΣΗΝ ΙΣΟΕΛΙΔΑ ΣΗ Δ.Δ.Ε. ΘΕΠΡΩΣΙΑ ΕΙΑΓΩΓΗ Ο νζοσ δικτυακόσ τόποσ τθσ Δ.Δ.Ε. Θεςπρωτίασ παρζχει πλζον τθ δυνατότθτα τθσ καταχϊρθςθσ νζων, ειδιςεων και

Διαβάστε περισσότερα

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 7 θ Διάλεξθ Διαχείριςθ Μνιμθσ Μζροσ Γ

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 7 θ Διάλεξθ Διαχείριςθ Μνιμθσ Μζροσ Γ ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ 7 θ Διάλεξθ Διαχείριςθ Μνιμθσ Μζροσ Γ ελιδοποίθςθ (1/10) Σόςο θ κατάτμθςθ διαμεριςμάτων ςτακεροφ μεγζκουσ όςο και θ κατάτμθςθ διαμεριςμάτων μεταβλθτοφ και άνιςου μεγζκουσ δεν κάνουν

Διαβάστε περισσότερα

Οδηγίες αναβάθμισης χαρτών

Οδηγίες αναβάθμισης χαρτών Οδηγίες αναβάθμισης χαρτών Για να κάνετε τθν αναβάκμιςθ χαρτϊν Ελλάδοσ κα πρζπει να εγγραφείτε ωσ νζο μζλοσ ςτθν ιςτοςελίδα http://www.mls.gr. 1) Εγγραφή νέου μέλουσ ςτην ιςτοςελίδα αναβαθμίςεων Α) Αντιγράψτε

Διαβάστε περισσότερα

ΕΦΑΡΜΟΓΕ ΒΑΕΩΝ ΔΕΔΟΜΕΝΩΝ ΣΗ ΝΟΗΛΕΤΣΙΚΗ. Φιλιοποφλου Ειρινθ

ΕΦΑΡΜΟΓΕ ΒΑΕΩΝ ΔΕΔΟΜΕΝΩΝ ΣΗ ΝΟΗΛΕΤΣΙΚΗ. Φιλιοποφλου Ειρινθ ΕΦΑΡΜΟΓΕ ΒΑΕΩΝ ΔΕΔΟΜΕΝΩΝ ΣΗ ΝΟΗΛΕΤΣΙΚΗ Φιλιοποφλου Ειρινθ Προςθήκη νζων πεδίων Ασ υποκζςουμε ότι μετά τθ δθμιουργία του πίνακα αντιλαμβανόμαςτε ότι ζχουμε ξεχάςει κάποια πεδία. Είναι ζνα πρόβλθμα το οποίο

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΘΜΙΟ ΔΤΣΙΚΘ ΜΑΚΕΔΟΝΙΑ ΣΜΘΜΑ ΜΘΧΑΝΙΚΩΝ ΠΛΘΡΟΦΟΡΙΚΘ ΚΑΙ ΣΘΛΕΠΙΚΟΙΝΩΝΙΩΝ. Λειτουργικά υςτιματα, 4 ο Εξάμθνο Ψθφιακι χεδίαςθ ΙΙ, 4 ο Εξάμθνο

ΠΑΝΕΠΙΣΘΜΙΟ ΔΤΣΙΚΘ ΜΑΚΕΔΟΝΙΑ ΣΜΘΜΑ ΜΘΧΑΝΙΚΩΝ ΠΛΘΡΟΦΟΡΙΚΘ ΚΑΙ ΣΘΛΕΠΙΚΟΙΝΩΝΙΩΝ. Λειτουργικά υςτιματα, 4 ο Εξάμθνο Ψθφιακι χεδίαςθ ΙΙ, 4 ο Εξάμθνο ΠΑΝΕΠΙΣΘΜΙΟ ΔΤΣΙΚΘ ΜΑΚΕΔΟΝΙΑ ΣΜΘΜΑ ΜΘΧΑΝΙΚΩΝ ΠΛΘΡΟΦΟΡΙΚΘ ΚΑΙ ΣΘΛΕΠΙΚΟΙΝΩΝΙΩΝ Λειτουργικά υςτιματα, 4 ο Εξάμθνο Ψθφιακι χεδίαςθ ΙΙ, 4 ο Εξάμθνο Νικόλασ Κυπαριςςάσ, 414 Τπεφκυνοι Κακθγθτζσ: Δρ. Μθνάσ Δαςυγζνθσ,

Διαβάστε περισσότερα

GNSS Solutions guide. 1. Create new Project

GNSS Solutions guide. 1. Create new Project GNSS Solutions guide 1. Create new Project 2. Import Raw Data Αναλόγωσ τον τφπο των δεδομζνων επιλζγουμε αντίςτοιχα το Files of type. παράδειγμα ζχουν επιλεγεί για ειςαγωγι αρχεία τφπου RINEX. το Με τθν

Διαβάστε περισσότερα

Joomla! - User Guide

Joomla! - User Guide Joomla! - User Guide τελευταία ανανέωση: 10/10/2013 από την ICAP WEB Solutions 1 Η καταςκευι τθσ δυναμικισ ςασ ιςτοςελίδασ ζχει ολοκλθρωκεί και μπορείτε πλζον να προχωριςετε ςε αλλαγζσ ι προςκικεσ όςον

Διαβάστε περισσότερα

x n D 2 ENCODER m - σε n (m 2 n ) x 1 Παραδείγματα κωδικοποιθτϊν είναι ο κωδικοποιθτισ οκταδικοφ ςε δυαδικό και ο κωδικοποιθτισ BCD ςε δυαδικό.

x n D 2 ENCODER m - σε n (m 2 n ) x 1 Παραδείγματα κωδικοποιθτϊν είναι ο κωδικοποιθτισ οκταδικοφ ςε δυαδικό και ο κωδικοποιθτισ BCD ςε δυαδικό. Κωδικοποιητές Ο κωδικοποιθτισ (nor) είναι ζνα κφκλωμα το οποίο διακζτει n γραμμζσ εξόδου και το πολφ μζχρι m = 2 n γραμμζσ ειςόδου και (m 2 n ). Οι ζξοδοι παράγουν τθν κατάλλθλθ λζξθ ενόσ δυαδικοφ κϊδικα

Διαβάστε περισσότερα

Διαχείριςθ του φακζλου "public_html" ςτο ΠΣΔ

Διαχείριςθ του φακζλου public_html ςτο ΠΣΔ Διαχείριςθ του φακζλου "public_html" ςτο ΠΣΔ Οι παρακάτω οδθγίεσ αφοροφν το χριςτθ webdipe. Για διαφορετικό λογαριαςμό χρθςιμοποιιςτε κάκε φορά το αντίςτοιχο όνομα χριςτθ. = πατάμε αριςτερό κλικ ςτο Επιςκεφκείτε

Διαβάστε περισσότερα

ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΠΟΛΟΓΙΣΤΗ. ΚΕΦΑΛΑΙΟ 6: Το γραφικό περιβάλλον Επικοινωνίασ (Γ.Π.Ε)

ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΠΟΛΟΓΙΣΤΗ. ΚΕΦΑΛΑΙΟ 6: Το γραφικό περιβάλλον Επικοινωνίασ (Γ.Π.Ε) ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΠΟΛΟΓΙΣΤΗ ΚΕΦΑΛΑΙΟ 6: Το γραφικό περιβάλλον Επικοινωνίασ (Γ.Π.Ε) Γραφικό Περιβάλλον Επικοινωνίασ Περιβάλλον Εντολϊν Γραμμισ (Graphical User Interface/GUI), (Command Line Interface),

Διαβάστε περισσότερα

Διαδικαςία Προγράμματοσ Ωρομζτρθςθσ. (v.1.0.7)

Διαδικαςία Προγράμματοσ Ωρομζτρθςθσ. (v.1.0.7) (v.1.0.7) 1 Περίλθψθ Σο ςυγκεκριμζνο εγχειρίδιο δθμιουργικθκε για να βοθκιςει τθν κατανόθςθ τθσ Διαδικαςίασ Προγράμματοσ Ωρομζτρθςθσ. Παρακάτω προτείνεται μια αλλθλουχία ενεργειϊν τθν οποία ο χριςτθσ πρζπει

Διαβάστε περισσότερα

assessment.gr USER S MANUAL (users)

assessment.gr USER S MANUAL (users) assessment.gr USER S MANUAL (users) Human Factor January 2010 Περιεχόμενα 1. Γενικζσ οδθγίεσ ςυςτιματοσ... 3 1.1 Αρχικι ςελίδα... 3 1.2 Ερωτθματολόγια... 6 1.2.1 Τεςτ Γνϊςεων Γενικοφ Ρεριεχομζνου... 6

Διαβάστε περισσότερα

ΛΕΙΤΟΥΓΙΚΆ ΣΥΣΤΉΜΑΤΑ. 5 ο Εργαςτιριο Ειςαγωγι ςτθ Γραμμι Εντολϊν

ΛΕΙΤΟΥΓΙΚΆ ΣΥΣΤΉΜΑΤΑ. 5 ο Εργαςτιριο Ειςαγωγι ςτθ Γραμμι Εντολϊν ΛΕΙΤΟΥΓΙΚΆ ΣΥΣΤΉΜΑΤΑ 5 ο Εργαςτιριο Ειςαγωγι ςτθ Γραμμι Εντολϊν Τι είναι θ Γραμμι Εντολϊν (1/6) Στουσ πρϊτουσ υπολογιςτζσ, και κυρίωσ από τθ δεκαετία του 60 και μετά, θ αλλθλεπίδραςθ του χριςτθ με τουσ

Διαβάστε περισσότερα

Megatron ERP Βάςη δεδομζνων Π/Φ - κατηγοριοποίηςη Databox

Megatron ERP Βάςη δεδομζνων Π/Φ - κατηγοριοποίηςη Databox Megatron ERP Βάςη δεδομζνων Π/Φ - κατηγοριοποίηςη Databox 03 05 ΙΛΤΔΑ ΠΛΗΡΟΦΟΡΙΚΗ Α.Ε. αρμά Ιηαμπζλλα Βαρλάμθσ Νίκοσ Ειςαγωγι... 1 Σι είναι το Databox...... 1 Πότε ανανεϊνεται...... 1 Μπορεί να εφαρμοςτεί

Διαβάστε περισσότερα

Διαδικαζία Διατείριζης Εκηύπωζης Ιζοζσγίοσ Γενικού - Αναλσηικών Καθολικών. (v )

Διαδικαζία Διατείριζης Εκηύπωζης Ιζοζσγίοσ Γενικού - Αναλσηικών Καθολικών. (v ) Διαδικαζία Διατείριζης Εκηύπωζης Ιζοζσγίοσ Γενικού - Αναλσηικών Καθολικών (v.1. 0.7) 1 Περίλθψθ Το ςυγκεκριμζνο εγχειρίδιο δθμιουργικθκε για να βοθκιςει τθν κατανόθςθ τθσ διαδικαςίασ διαχείριςθσ Εκτφπωςθσ

Διαβάστε περισσότερα

Κάνουμε κλικ ςτθν επιλογι του οριηόντιου μενοφ «Get Skype»για να κατεβάςουμε ςτον υπολογιςτι μασ το πρόγραμμα του Skype.

Κάνουμε κλικ ςτθν επιλογι του οριηόντιου μενοφ «Get Skype»για να κατεβάςουμε ςτον υπολογιςτι μασ το πρόγραμμα του Skype. ΟΔΗΓΙΕ ΔΗΜΙΟΤΡΓΙΑ ΛΟΓΑΡΙΑΜΟΤ ΣΟ SKYPE Ανοίγουμε το πρόγραμμα περιιγθςθσ ιςτοςελίδων (εδϊ Internet Explorer). Κάνουμε κλικ ςτθ γραμμι διεφκυνςθσ του προγράμματοσ και πλθκτρολογοφμε: www.skype.com Κάνουμε

Διαβάστε περισσότερα

Λειτουργικά υςτιματα Windows XP

Λειτουργικά υςτιματα Windows XP ΤΠΗΡΕΙΑ ΠΛΗΡΟΦΟΡΙΚΩΝ ΤΣΗΜΑΣΩΝ ΣΟΜΕΑ ΔΙΚΣΤΩΝ ΚΑΙ ΣΗΛΕΠΙΚΟΙΝΩΝΙΩΝ Οδθγόσ Εγκατάςταςθσ και Διαμόρφωςθσ τθσ Τπθρεςίασ Σθλεομοιότυπου (Fax Service) ςε Λειτουργικά υςτιματα Windows XP ΤΠ ΕΔ/41 Αφγουςτοσ 2011

Διαβάστε περισσότερα

Πρόςβαςη και δήλωςη μαθημάτων ςτον Εφδοξο

Πρόςβαςη και δήλωςη μαθημάτων ςτον Εφδοξο Πρόςβαςη και δήλωςη μαθημάτων ςτον Εφδοξο Τι πρζπει να γνωρίηω πριν ξεκινιςω τθν διαδικαςία 1. Να ζχω κωδικοφσ από τον Κζντρο Δικτφου του ΤΕΙ Ακινασ (είναι αυτοί με τουσ οποίουσ ζχω πρόςβαςθ ςτο αςφρματο

Διαβάστε περισσότερα

ΟΔΗΓΙΕ ΔΗΜΙΟΤΡΓΙΑ ΚΑΙ ΡΤΘΜΙΗ ΔΩΡΕΑΝ ΗΛΕΚΣΡΟΝΙΚΟΤ ΣΑΧΤΔΡΟΜΕΙΟΤ ΣΟ GOOGLE (G-MAIL)

ΟΔΗΓΙΕ ΔΗΜΙΟΤΡΓΙΑ ΚΑΙ ΡΤΘΜΙΗ ΔΩΡΕΑΝ ΗΛΕΚΣΡΟΝΙΚΟΤ ΣΑΧΤΔΡΟΜΕΙΟΤ ΣΟ GOOGLE (G-MAIL) ΟΔΗΓΙΕ ΔΗΜΙΟΤΡΓΙΑ ΚΑΙ ΡΤΘΜΙΗ ΔΩΡΕΑΝ ΗΛΕΚΣΡΟΝΙΚΟΤ ΣΑΧΤΔΡΟΜΕΙΟΤ ΣΟ GOOGLE (G-MAIL) Ανοίγουμε το πρόγραμμα περιιγθςθσ ιςτοςελίδων (εδϊ Internet Explorer). Αν θ αρχικι ςελίδα του προγράμματοσ δεν είναι θ ςελίδα

Διαβάστε περισσότερα

1. Εγκατάςταςη κειμενογράφου JCE

1. Εγκατάςταςη κειμενογράφου JCE 1. Εγκατάςταςη κειμενογράφου JCE 1.1. Πθγαίνουμε ςτθν ακόλουκθ διεφκυνςθ https://www.joomlacontenteditor.net/downloads/editor/joomla-3 και κατεβάηουμε τον JCE Editor 2.5.8. Εναλλακτικά βρίςκουμε το αρχείο

Διαβάστε περισσότερα

ΕΦΑΡΜΟΓΖσ ΒΆΕΩΝ ΔΕΔΟΜΖΝΩΝ ΚΑΙ ΔΙΑΔΙΚΣΥΟΤ. Ειρινθ Φιλιοποφλου

ΕΦΑΡΜΟΓΖσ ΒΆΕΩΝ ΔΕΔΟΜΖΝΩΝ ΚΑΙ ΔΙΑΔΙΚΣΥΟΤ. Ειρινθ Φιλιοποφλου ΕΦΑΡΜΟΓΖσ ΒΆΕΩΝ ΔΕΔΟΜΖΝΩΝ ΚΑΙ ΔΙΑΔΙΚΣΥΟΤ Ειρινθ Φιλιοποφλου Ειςαγωγι Ο Παγκόςμιοσ Ιςτόσ (World Wide Web - WWW) ι πιο απλά Ιςτόσ (Web) είναι μία αρχιτεκτονικι για τθν προςπζλαςθ διαςυνδεδεμζνων εγγράφων

Διαβάστε περισσότερα

ΕΓΧΕΙΡΙΔΙΟ ΧΡΗΗ. του ΙΑΣΡΟΦΑΡΜΑΚΕΤΣΙΚΟΤ ΦΑΚΕΛΟΤ ΑΘΕΝΩΝ Για τον ΟΙΚΟ ΝΑΤΣΟΤ ΕΡΓΑΣΗΡΙΑΚΟΙ ΓΙΑΣΡΟΙ. iknowhow Πληροφορική A.E

ΕΓΧΕΙΡΙΔΙΟ ΧΡΗΗ. του ΙΑΣΡΟΦΑΡΜΑΚΕΤΣΙΚΟΤ ΦΑΚΕΛΟΤ ΑΘΕΝΩΝ Για τον ΟΙΚΟ ΝΑΤΣΟΤ ΕΡΓΑΣΗΡΙΑΚΟΙ ΓΙΑΣΡΟΙ. iknowhow Πληροφορική A.E ΕΓΧΕΙΡΙΔΙΟ ΧΡΗΗ του ΙΑΣΡΟΦΑΡΜΑΚΕΤΣΙΚΟΤ ΦΑΚΕΛΟΤ ΑΘΕΝΩΝ Για τον ΟΙΚΟ ΝΑΤΣΟΤ ΕΡΓΑΣΗΡΙΑΚΟΙ ΓΙΑΣΡΟΙ iknowhow Πληροφορική A.E ΕΡΓΑΣΗΡΙΑΚΟΙ ΓΙΑΣΡΟΙ... 3 Η ΕΦΑΡΜΟΓΗ... 3 ΧΡΗΣΕ... 3 ΠΡΟΒΑΗ ΣΗΝ ΕΦΑΡΜΟΓΗ... 3 ΑΡΧΙΚΗ

Διαβάστε περισσότερα

Εφδοξοσ+ Συνδεκείτε ςτθν Εφαρμογι Φοιτθτϊν και μεταβείτε ςτθ ςελίδα «Ανταλλαγι Βιβλίων (Εφδοξοσ+)».

Εφδοξοσ+ Συνδεκείτε ςτθν Εφαρμογι Φοιτθτϊν και μεταβείτε ςτθ ςελίδα «Ανταλλαγι Βιβλίων (Εφδοξοσ+)». Εφδοξοσ+ Διαθζτοντασ βιβλία μζςω του «Εφδοξοσ+» Συνδεκείτε ςτθν Εφαρμογι Φοιτθτϊν και μεταβείτε ςτθ ςελίδα «Ανταλλαγι Βιβλίων (Εφδοξοσ+)». Εμφανίηεται θ λίςτα με όλα ςασ τα βιβλία. Από εδϊ μπορείτε: -

Διαβάστε περισσότερα

Σφντομεσ Οδθγίεσ Χριςθσ

Σφντομεσ Οδθγίεσ Χριςθσ Σφντομεσ Οδθγίεσ Χριςθσ Περιεχόμενα 1. Επαφζσ... 3 2. Ημερολόγιο Επιςκζψεων... 4 3. Εκκρεμότθτεσ... 5 4. Οικονομικά... 6 5. Το 4doctors ςτο κινθτό ςου... 8 6. Υποςτιριξθ... 8 2 1. Επαφζσ Στισ «Επαφζσ»

Διαβάστε περισσότερα

ΕΝΟΣΗΣΑ 1: ΓΝΩΡIΖΩ ΣΟΝ ΤΠΟΛΟΓΙΣΗ. ΚΕΦΑΛΑΙΟ 2: Σο Τλικό του Τπολογιςτι

ΕΝΟΣΗΣΑ 1: ΓΝΩΡIΖΩ ΣΟΝ ΤΠΟΛΟΓΙΣΗ. ΚΕΦΑΛΑΙΟ 2: Σο Τλικό του Τπολογιςτι ΕΝΟΣΗΣΑ 1: ΓΝΩΡIΖΩ ΣΟΝ ΤΠΟΛΟΓΙΣΗ ΚΕΦΑΛΑΙΟ 2: Σο Τλικό του Τπολογιςτι Τλικό υπολογιςτι (Hardware), Προςωπικόσ Τπολογιςτισ (ΡC), υςκευι ειςόδου, υςκευι εξόδου, Οκόνθ (Screen), Εκτυπωτισ (Printer), αρωτισ

Διαβάστε περισσότερα

Εγχειρίδιο Χρήςησ Προςωποποιημζνων Υπηρεςιών Γ.Ε.ΜΗ. (Εθνικό Τυπογραφείο)

Εγχειρίδιο Χρήςησ Προςωποποιημζνων Υπηρεςιών Γ.Ε.ΜΗ. (Εθνικό Τυπογραφείο) Εγχειρίδιο Χρήςησ Προςωποποιημζνων Υπηρεςιών Γ.Ε.ΜΗ. (Εθνικό Τυπογραφείο) Ιοφνιοσ 2013 Περιεχόμενα: Ειςαγωγή... 3 1.Εθνικό Τυπογραφείο... 3 1.1. Είςοδοσ... 3 1.2. Αρχική Οθόνη... 4 1.3. Διεκπεραίωςη αίτηςησ...

Διαβάστε περισσότερα

Διαχείριςη Αριθμοδεικτών (v.1.0.7)

Διαχείριςη Αριθμοδεικτών (v.1.0.7) Διαχείριςη Αριθμοδεικτών (v.1.0.7) Περιεχόμενα 1. Μενοφ... 5 1.1 Αρικμοδείκτεσ.... 5 1.1.1 Δθμιουργία Αρικμοδείκτθ... 6 1.1.2 Αντιγραφι Αρικμοδείκτθ... 11 2. Παράμετροι... 12 2.1.1 Κατθγορίεσ Αρικμοδεικτϊν...

Διαβάστε περισσότερα

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 4

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 4 Τμήμα Μησανικών Πληποφοπικήρ, Τ.Ε.Ι. Ηπείπος Ακαδημαϊκό Έτορ 2016-2017, 6 ο Εξάμηνο Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 4 Διδάςκων Τςιακμάκθσ Κυριάκοσ, Phd MSc in Electronic Physics (Radioelectrology)

Διαβάστε περισσότερα

1. Κατέβαςμα του VirtueMart

1. Κατέβαςμα του VirtueMart 1. Κατέβαςμα του VirtueMart Αρχικό βήμα (προαιρετικό). Κατζβαςμα και αποςυμπίεςη αρχείων VirtueMart ΠΡΟΟΧΗ. Αυτό το βήμα να παρακαμφθεί ςτο εργαςτήριο. Τα αρχεία θα ςασ δοθοφν από τουσ καθηγητζσ ςασ. Οι

Διαβάστε περισσότερα

ΟΔΗΓΙΕΣ ΔΗΜΙΟΥΡΓΙΑΣ ΚΑΙ ΡΥΘΜΙΣΗΣ ΔΩΡΕΑΝ ΗΛΕΚΤΡΟΝΙΚΟΥ ΤΑΧΥΔΡΟΜΕΙΟΥ ΣΤΟ YAHOO

ΟΔΗΓΙΕΣ ΔΗΜΙΟΥΡΓΙΑΣ ΚΑΙ ΡΥΘΜΙΣΗΣ ΔΩΡΕΑΝ ΗΛΕΚΤΡΟΝΙΚΟΥ ΤΑΧΥΔΡΟΜΕΙΟΥ ΣΤΟ YAHOO ΟΔΗΓΙΕΣ ΔΗΜΙΟΥΡΓΙΑΣ ΚΑΙ ΡΥΘΜΙΣΗΣ ΔΩΡΕΑΝ ΗΛΕΚΤΡΟΝΙΚΟΥ ΤΑΧΥΔΡΟΜΕΙΟΥ ΣΤΟ YAHOO Ανοίγουμε το πρόγραμμα περιιγθςθσ ιςτοςελίδων (εδώ Internet Explorer). Κάνουμε κλικ ςτθ γραμμι διεφκυνςθσ του προγράμματοσ και

Διαβάστε περισσότερα

Ειςαγωγι ςτο Δομθμζνο Προγραμματιςμό. Βαγγζλθσ Οικονόμου

Ειςαγωγι ςτο Δομθμζνο Προγραμματιςμό. Βαγγζλθσ Οικονόμου Ειςαγωγι ςτο Δομθμζνο Προγραμματιςμό Βαγγζλθσ Οικονόμου Περιεχόμενα Πλθροφορίεσ Μακιματοσ Δομθμζνοσ Προγραμματιςμόσ (Οριςμοί, Γενικζσ Ζννοιεσ) Αλγόρικμοι και Ψευδοκϊδικασ Γλϊςςα προγραμματιςμοφ C Πλθροφορίεσ

Διαβάστε περισσότερα

Σχεδίαςη Σφγχρονων Ακολουθιακών Κυκλωμάτων

Σχεδίαςη Σφγχρονων Ακολουθιακών Κυκλωμάτων Σχεδίαςη Σφγχρονων Ακολουθιακών Κυκλωμάτων Πίνακεσ Διζγερςησ των FF Όπωσ είδαμε κατά τθ μελζτθ των FF, οι χαρακτθριςτικοί πίνακεσ δίνουν τθν τιμι τθσ επόμενθσ κατάςταςθσ κάκε FF ωσ ςυνάρτθςθ τθσ παροφςασ

Διαβάστε περισσότερα

Εφδοξοσ Δήλωςη Συγγραμμάτων

Εφδοξοσ Δήλωςη Συγγραμμάτων Εφδοξοσ Δήλωςη Συγγραμμάτων Το πρόγραμμα «Εφδοξοσ» αφορά ςτθ διανομι Συγγραμμάτων των Τεχνολογικϊν και Πανεπιςτθμιακϊν Ιδρυμάτων τθσ Επικράτειασ. Στόχοσ του Πλθροφοριακοφ Συςτιματοσ (ΠΣ) τθσ Δράςθσ είναι

Διαβάστε περισσότερα

Πωσ δημιουργώ μάθημα ςτο e-class του ΠΣΔ [επίπεδο 1]

Πωσ δημιουργώ μάθημα ςτο e-class του ΠΣΔ [επίπεδο 1] Το e-class του Πανελλινιου Σχολικοφ Δίκτυου [ΠΣΔ/sch.gr] είναι μια πολφ αξιόλογθ και δοκιμαςμζνθ πλατφόρμα για αςφγχρονο e-learning. Ανικει ςτθν κατθγορία του ελεφκερου λογιςμικοφ. Αρχίηουμε από τθ διεφκυνςθ

Διαβάστε περισσότερα

Οδηγός χρήσης Blackboard Learning System για φοιτητές

Οδηγός χρήσης Blackboard Learning System για φοιτητές Οδηγός χρήσης Blackboard Learning System για φοιτητές Ειςαγωγή Το Blackboard Learning System είναι ζνα ολοκλθρωμζνο ςφςτθμα διαχείριςθσ μακθμάτων (Course Management System). Στισ δυνατότθτεσ του Blackboard

Διαβάστε περισσότερα

τατιςτικά ςτοιχεία ιςτότοπου Κ.Ε.Π.Α. Α.Ν.Ε.Μ, www.e-kepa.gr για τθν περίοδο 1/1/2011-31/12/2014

τατιςτικά ςτοιχεία ιςτότοπου Κ.Ε.Π.Α. Α.Ν.Ε.Μ, www.e-kepa.gr για τθν περίοδο 1/1/2011-31/12/2014 τατιςτικά ςτοιχεία ιςτότοπου Κ.Ε.Π.Α. Α.Ν.Ε.Μ, www.e-kepa.gr για τθν περίοδο 1/1/2011-31/12/2014 Ειςαγωγι Στο παρόν κείμενο παρουςιάηονται και αναλφονται τα ςτατιςτικά ςτοιχεία του ιςτοτόπου τθσ ΚΕΠΑ-ΑΝΕΜ,

Διαβάστε περισσότερα

ΗΛΕΚΣΡΟΝΙΚΗ ΤΠΗΡΕΙΑ ΑΠΟΚΣΗΗ ΑΚΑΔΗΜΑΪΚΗ ΣΑΤΣΟΣΗΣΑ

ΗΛΕΚΣΡΟΝΙΚΗ ΤΠΗΡΕΙΑ ΑΠΟΚΣΗΗ ΑΚΑΔΗΜΑΪΚΗ ΣΑΤΣΟΣΗΣΑ ΗΛΕΚΣΡΟΝΙΚΗ ΤΠΗΡΕΙΑ ΑΠΟΚΣΗΗ ΑΚΑΔΗΜΑΪΚΗ ΣΑΤΣΟΣΗΣΑ Οδηγός Χρήσης Εφαρμογής Ελέγχου Προσφορών Αφοφ πιςτοποιθκεί ο λογαριαςμόσ που δθμιουργιςατε ςτο πρόγραμμα ωσ Πάροχοσ Προςφορϊν, κα λάβετε ζνα e-mail με

Διαβάστε περισσότερα

Σφςτημα Κεντρικήσ Υποςτήριξησ τησ Πρακτικήσ Άςκηςησ Φοιτητών ΑΕΙ

Σφςτημα Κεντρικήσ Υποςτήριξησ τησ Πρακτικήσ Άςκηςησ Φοιτητών ΑΕΙ Σφςτημα Κεντρικήσ Υποςτήριξησ τησ Πρακτικήσ Άςκηςησ Φοιτητών ΑΕΙ Οδηγόσ Χρήςησ Εφαρμογήσ Φορζων Υποδοχήσ Πρακτικήσ Άςκηςησ Αφοφ πιςτοποιθκεί ο λογαριαςμόσ που δθμιουργιςατε ςτο πρόγραμμα «Άτλασ» ωσ Φορζασ

Διαβάστε περισσότερα

Εγχειρίδιο Χρήςησ Προςωποποιημζνων Υπηρεςιών Γ.Ε.ΜΗ. (Εθνικό Τυπογραφείο)

Εγχειρίδιο Χρήςησ Προςωποποιημζνων Υπηρεςιών Γ.Ε.ΜΗ. (Εθνικό Τυπογραφείο) Εγχειρίδιο Χρήςησ Προςωποποιημζνων Υπηρεςιών Γ.Ε.ΜΗ. (Εθνικό Τυπογραφείο) Πάτρα, 2013 Περιεχόμενα: Ειςαγωγή... 4 1. Επιμελητήριο... Error! Bookmark not defined. 1.1 Διαχειριςτήσ Αιτήςεων Επιμελητηρίου...

Διαβάστε περισσότερα

Διαχείριςη Εκπαιδευτικού Υλικού (ΠΑΚΕ) Πληροφοριακό Σύςτημα (MIS)

Διαχείριςη Εκπαιδευτικού Υλικού (ΠΑΚΕ) Πληροφοριακό Σύςτημα (MIS) Διαχείριςη Εκπαιδευτικού Υλικού (ΠΑΚΕ) Πληροφοριακό Σύςτημα (MIS) Ειςαγωγή Οι λειτουργίεσ διαχείριςθσ εκπαιδευτικοφ υλικοφ των ΠΑΚΕ διατίκενται ωσ εργαλείο ςτο Πλθροφοριακό Σφςτθμα (MIS) για τθ δθμιουργία

Διαβάστε περισσότερα

ΑΛΕΞΑΝΔΡΕΙΟ ΣΕΙ ΘΕΑΛΟΝΙΚΗ ΣΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗ Σ.Ε. ΜΑΘΗΜΑ : ΑΛΓΟΡΙΘΜΙΚΗ ΚΑΙ ΠΡΟΓΡΑΜΜΑΣΙΜΟ ΔΙΔΑΚΩΝ : ΓΟΤΛΙΑΝΑ ΚΩΣΑ

ΑΛΕΞΑΝΔΡΕΙΟ ΣΕΙ ΘΕΑΛΟΝΙΚΗ ΣΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗ Σ.Ε. ΜΑΘΗΜΑ : ΑΛΓΟΡΙΘΜΙΚΗ ΚΑΙ ΠΡΟΓΡΑΜΜΑΣΙΜΟ ΔΙΔΑΚΩΝ : ΓΟΤΛΙΑΝΑ ΚΩΣΑ ΑΛΕΞΑΝΔΡΕΙΟ ΣΕΙ ΘΕΑΛΟΝΙΚΗ ΣΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗ Σ.Ε. ΜΑΘΗΜΑ : ΑΛΓΟΡΙΘΜΙΚΗ ΚΑΙ ΠΡΟΓΡΑΜΜΑΣΙΜΟ ΔΙΔΑΚΩΝ : ΓΟΤΛΙΑΝΑ ΚΩΣΑ υνοπτικόσ Οδθγόσ για Γράψιμο Εκτζλεςθ Προγραμμάτων Java ςε Περιβάλλον DOS και NetBeans

Διαβάστε περισσότερα

Οδηγίεσ για την Τποβολή Καταςτάςεων υμφωνητικών μζςω xml αρχείου

Οδηγίεσ για την Τποβολή Καταςτάςεων υμφωνητικών μζςω xml αρχείου Οδηγίεσ για την Τποβολή Καταςτάςεων υμφωνητικών μζςω xml αρχείου Περιεχόμενα Ρυθμίςεισ αςφάλειασ κατά την εγκατάςταςη τησ εφαρμογήσ TAXISnet offline ςε JAVA 1.6... 2 Χρήςη Εφαρμογήσ-υνοπτικά Βήματα...

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ

ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ Δίκτυα Επικοινωνιών ΙΙ Διδάςκων: Απόςτολοσ Γκάμασ (Διδάςκων ΠΔ 407/80) Βοθκόσ Εργαςτθρίου: Δθμιτριοσ Μακρισ Ενδεικτική Λύση 3

Διαβάστε περισσότερα

Electronics μαηί με τα ςυνοδευτικά καλϊδια και το αιςκθτιριο κερμοκραςίασ LM335 που περιζχονται

Electronics μαηί με τα ςυνοδευτικά καλϊδια και το αιςκθτιριο κερμοκραςίασ LM335 που περιζχονται Σομζασ: Ηλεκτρονικόσ Εκπαιδευτικόσ: Μπουλταδάκθσ τζλιοσ Μάθημα: υλλογι και μεταφορά δεδομζνων μζςω Η/Τ, Αιςκθτιρεσ-Ενεργοποιθτζσ Αντικείμενο: α) Μζτρθςθ κερμοκραςίασ με το αιςκθτιριο LM335 και μεταφορά

Διαβάστε περισσότερα

ΡΥΘΜΙΕΙ για περιοριςμένο χρήςτη (limited user)

ΡΥΘΜΙΕΙ για περιοριςμένο χρήςτη (limited user) 1 Ρυκμίςεισ testware ΡΥΘΜΙΕΙ για περιοριςμένο χρήςτη (limited user) Η εγκατάςταςθ του testware πρζπει να γίνει για όλουσ τουσ χριςτθσ (All users) και το αρχείο εγκατάςταςθσ (setup.exe και *.msi) από το

Διαβάστε περισσότερα

Εγχειρίδιο Χρήςησ Προςωποποιημζνων Υπηρεςιών Γ.Ε.ΜΗ. (Περιφέρειες)

Εγχειρίδιο Χρήςησ Προςωποποιημζνων Υπηρεςιών Γ.Ε.ΜΗ. (Περιφέρειες) Εγχειρίδιο Χρήςησ Προςωποποιημζνων Υπηρεςιών Γ.Ε.ΜΗ. (Περιφέρειες) Ιούνιοσ 2013 Περιεχόμενα: Ειςαγωγή... 3 1. Περιφζρεια... 3 1.1 Διαχειριςτήσ Αιτήςεων Περιφζρειασ... 3 1.1.1. Είςοδοσ... 3 1.1.2. Αρχική

Διαβάστε περισσότερα

Ειδικζσ Ναυπηγικζσ Καταςκευζσ και Ιςτιοφόρα κάφη (Ε)

Ειδικζσ Ναυπηγικζσ Καταςκευζσ και Ιςτιοφόρα κάφη (Ε) Ανοικτά Ακαδημαϊκά Μαθήματα Σεχνολογικό Εκπαιδευτικό Ίδρυμα Αθήνασ Ειδικζσ Ναυπηγικζσ Καταςκευζσ και Ιςτιοφόρα κάφη (Ε) Ενδεικτική επίλυςη άςκηςησ 1 Δρ. Θωμάσ Π. Μαηαράκοσ Τμιμα Ναυπθγϊν Μθχανικϊν ΤΕ Το

Διαβάστε περισσότερα

Ακολουκιακά Λογικά Κυκλώματα

Ακολουκιακά Λογικά Κυκλώματα Ακολουκιακά Λογικά Κυκλώματα Τα ψθφιακά λογικά κυκλϊματα που μελετιςαμε μζχρι τϊρα ιταν ςυνδυαςτικά κυκλϊματα. Στα ςυνδυαςτικά κυκλϊματα οι ζξοδοι ςε κάκε χρονικι ςτιγμι εξαρτϊνται αποκλειςτικά και μόνο

Διαβάστε περισσότερα

Interactive Physics Σύ ντομος Οδηγο ς

Interactive Physics Σύ ντομος Οδηγο ς Νίκοσ Αναςταςάκθσ Σύ ντομος Οδηγο ς Εγκατάσταση Από τον φάκελο του προγράμματοσ IP2005, τρζχουμε το αρχείο «IPInstaller.exe», επιλζγουμε τθν εγκατάςταςθ που κζλουμε (1 θ 2 θ επιλογι) και ακολουκοφμε τισ

Διαβάστε περισσότερα

3 θ διάλεξθ Επανάλθψθ, Επιςκόπθςθ των βαςικϊν γνϊςεων τθσ Ψθφιακισ Σχεδίαςθσ

3 θ διάλεξθ Επανάλθψθ, Επιςκόπθςθ των βαςικϊν γνϊςεων τθσ Ψθφιακισ Σχεδίαςθσ 3 θ διάλεξθ Επανάλθψθ, Επιςκόπθςθ των βαςικϊν γνϊςεων τθσ Ψθφιακισ Σχεδίαςθσ 1 2 3 4 5 6 7 Παραπάνω φαίνεται θ χαρακτθριςτικι καμπφλθ μετάβαςθσ δυναμικοφ (voltage transfer characteristic) για ζναν αντιςτροφζα,

Διαβάστε περισσότερα

Epsilon Cloud Services

Epsilon Cloud Services 1 Περίλθψθ Το ςυγκεκριμζνο εγχειρίδιο δημιουργήθηκε για να βοηθήςει την κατανόηςη τησ λειτουργίασ και παραμετροποίηςησ του Epsilon Cloud Services ςτην εφαρμογή extra Λογιςτική Διαχείριςη. 2 2 Περιεχόμενα

Διαβάστε περισσότερα

SingularLogic Application. Παραμετροποίηση Galaxy Application Server

SingularLogic Application. Παραμετροποίηση Galaxy Application Server Παραμετροποίηση Galaxy Application Server 1 Παραμετροποίηςη Galaxy Application Server Για τθν γριγορθ παραμετροποίθςθ του application server του Galaxy υπάρχει το Glx.Config.exe. Άλλο ςθμείο όπου μπορείτε

Διαβάστε περισσότερα

Πολυπλέκτες. 0 x 0 F = S x 0 + Sx 1 1 x 1

Πολυπλέκτες. 0 x 0 F = S x 0 + Sx 1 1 x 1 Πολυπλέκτες Ο πολυπλζκτθσ (multipleer - ) είναι ζνα ςυνδυαςτικό κφκλωμα που επιλζγει δυαδικι πλθροφορία μιασ από πολλζσ γραμμζσ ειςόδου και τθν κατευκφνει ςε μια και μοναδικι γραμμι εξόδου. Η επιλογι μιασ

Διαβάστε περισσότερα

Διαχείριςη Κοςτολόγηςησ

Διαχείριςη Κοςτολόγηςησ Διαχείριςη Κοςτολόγηςησ 1 Περίληψη Το ςυγκεκριμζνο εγχειρίδιο δημιουργήθηκε για να βοηθήςει την κατανόηςη τησ διαδικαςίασ Κοςτολόγηςησ ςτην εφαρμογή Λογιςτική Διαχείριςη τησ Business. Παρακάτω προτείνεται

Διαβάστε περισσότερα

Εισαγωγή Νέου Παγίου

Εισαγωγή Νέου Παγίου Εισαγωγή Νέου Παγίου 1 Περίληψη Το ςυγκεκριμζνο εγχειρίδιο δημιουργήθηκε για να βοηθήςει την κατανόηςη τησ διαδικαςίασ ειςαγωγήσ νζου παγίου ςτην εφαρμογή τησ ςειράσ Hyper Axion. Παρακάτω προτείνεται μια

Διαβάστε περισσότερα

Καλϊσ Θλκατε ςτο νζο μασ site & e-shop Livardas.gr.

Καλϊσ Θλκατε ςτο νζο μασ site & e-shop Livardas.gr. Καλϊσ Θλκατε ςτο νζο μασ site & e-shop Livardas.gr. Εικόνα 1: Είςοδοσ ςτο e-shop Για να καταχωριςετε παραγγελία ι να βλζπετε τιμζσ & διακεςιμότθτα προϊόντων το πρϊτο βιμα που πρζπει να κάνετε είναι να

Διαβάστε περισσότερα

Οδηγόσ εγκατάςταςησ και ενεργοποίηςησ

Οδηγόσ εγκατάςταςησ και ενεργοποίηςησ Οδηγόσ εγκατάςταςησ και ενεργοποίηςησ Ευχαριςτοφμε που επιλζξατε το memoq 4.5, το πρωτοκλαςάτο περιβάλλον μετάφραςθσ για ελεφκερουσ επαγγελματίεσ μεταφραςτζσ, μεταφραςτικά γραφεία και επιχειριςεισ. Αυτό

Διαβάστε περισσότερα

Διαχείριση Επιλογών Διαμόρφωσης

Διαχείριση Επιλογών Διαμόρφωσης Διαχείριση Επιλογών Διαμόρφωσης 1 Περίλθψθ Το ςυγκεκριμζνο εγχειρίδιο δημιουργήθηκε για να βοηθήςει την κατανόηςη τησ διαδικαςίασ διαχείριςησ επιλογών διαμόρφωςησ εγγραφών Εςόδων Εξόδων & Άρθρων Γενικήσ

Διαβάστε περισσότερα

1 Εγκατϊςταςη λογαριαςμού email

1 Εγκατϊςταςη λογαριαςμού email 1 Εγκατϊςταςη λογαριαςμού email 1.1 Εγκατϊςταςη λογαριαςμού ςε Microsoft Office Outlook 2003 1.1.1 Αν δεν χρηςιμοποιεύτε όδη το Outlook. ε περίπτωςθ που δεν ζχετε εγκαταςτιςει άλλο λογαριαςμό ςτο Microsoft

Διαβάστε περισσότερα

Visual C Express - Οδηγός Χρήσης

Visual C Express - Οδηγός Χρήσης Visual C++ 2008 Express - Οδηγός Χρήσης Ζερβός Μιχάλης, Πρίντεζης Νίκος Σκοπόσ του οδθγοφ αυτοφ είναι να παρουςιάςει τισ βαςικζσ δυνατότθτεσ του Visual C++ 2008 Express Edition και πωσ μπορεί να χρθςιμοποιθκεί

Διαβάστε περισσότερα

ΑΞΙΟΛΟΓΗΣΗ ΕΚΠΑΙΔΕΥΤΙΚΟΥ

ΑΞΙΟΛΟΓΗΣΗ ΕΚΠΑΙΔΕΥΤΙΚΟΥ ΑΞΙΟΛΟΓΗΣΗ ΕΚΠΑΙΔΕΥΤΙΚΟΥ Ειςαγωγή Τπάρχουν τρία επίπεδα ςτα οποία καλείςτε να αξιολογιςετε το εργαςτιριο D-ID: Νζα κζματα Σεχνολογία Διδακτικι Νέα θέματα Σο εργαςτιριο κα ειςαγάγουν τουσ ςυμμετζχοντεσ

Διαβάστε περισσότερα

Στα προθγοφμενα δφο εργαςτιρια είδαμε τθ δομι απόφαςθσ (ι επιλογισ ι ελζγχου ροισ). Ασ κυμθκοφμε:

Στα προθγοφμενα δφο εργαςτιρια είδαμε τθ δομι απόφαςθσ (ι επιλογισ ι ελζγχου ροισ). Ασ κυμθκοφμε: ΔΟΜΗ ΑΠΟΦΑΗ Στα προθγοφμενα δφο εργαςτιρια είδαμε τθ δομι απόφαςθσ (ι επιλογισ ι ελζγχου ροισ). Ασ κυμθκοφμε: Όταν το if που χρθςιμοποιοφμε παρζχει μόνο μία εναλλακτικι διαδρομι εκτζλεςθ, ο τφποσ δομισ

Διαβάστε περισσότερα

Εργαςτιριο Βάςεων Δεδομζνων

Εργαςτιριο Βάςεων Δεδομζνων Εργαςτιριο Βάςεων Δεδομζνων 2010-2011 Μάθημα 1 ο 1 Ε. Σςαμούρα Σμήμα Πληροφορικήσ ΑΠΘ Σκοπόσ του 1 ου εργαςτθριακοφ μακιματοσ Σκοπόσ του πρϊτου εργαςτθριακοφ μακιματοσ είναι να μελετιςουμε ερωτιματα επιλογισ

Διαβάστε περισσότερα

ΠΑΙΔΑΓΩΓΙΚΟ ΙΝΣΙΣΟΤΣΟ ΚΤΠΡΟΤ Πρόγραμμα Επιμόρυωσης Τποψηυίων Καθηγητών Σεχνολογίας. Ηλεκτρονικά ΙΙ

ΠΑΙΔΑΓΩΓΙΚΟ ΙΝΣΙΣΟΤΣΟ ΚΤΠΡΟΤ Πρόγραμμα Επιμόρυωσης Τποψηυίων Καθηγητών Σεχνολογίας. Ηλεκτρονικά ΙΙ ΠΑΙΔΑΓΩΓΙΚΟ ΙΝΣΙΣΟΤΣΟ ΚΤΠΡΟΤ Πρόγραμμα Επιμόρυωσης Τποψηυίων Καθηγητών Σεχνολογίας Ηλεκτρονικά ΙΙ Πέμπτη 3/3/2011 Διδάζκων: Γιώργος Χαηζηιωάννοσ Τηλέθωνο: 99653828 Ε-mail: georghios.h@cytanet.com.cy Ώρες

Διαβάστε περισσότερα

Σημειώσεις Εργαστηρίου - Παρουσίαση Εργαλείων

Σημειώσεις Εργαστηρίου - Παρουσίαση Εργαλείων Εργαςτόριο χεδιαςμού Ολοκληρωμϋνων Κυκλωμϊτων Σημειώσεις Εργαστηρίου - Παρουσίαση Εργαλείων χεδιαςμόσ Ολοκληρωμϋνων υςτημϊτων με Σεχνικϋσ VLSI (VLSI-III) [ΔΕΤΣΕΡΟ ΜΕΡΟ] υγγραφι - Επιμζλεια: Γιώργος Σ.

Διαβάστε περισσότερα

Ηλεκτρονικι Υπθρεςία Ολοκλθρωμζνθσ Διαχείριςθσ Συγγραμμάτων και Λοιπϊν Βοθκθμάτων

Ηλεκτρονικι Υπθρεςία Ολοκλθρωμζνθσ Διαχείριςθσ Συγγραμμάτων και Λοιπϊν Βοθκθμάτων Ηλεκτρονικι Υπθρεςία Ολοκλθρωμζνθσ Διαχείριςθσ Συγγραμμάτων και Λοιπϊν Βοθκθμάτων ΟΔΗΓΟΣ ΕΦΑΡΜΟΓΗΣ ΒΙΒΛΙΟΘΗΚΩΝ ΙΔΡΥΜΑΤΩΝ 1/13 2/13 Οδθγίεσ Χριςθσ Εφαρμογισ Βιβλιοκθκϊν Ιδρυμάτων 1. Είςοδοσ ςτθν Εφαρμογι

Διαβάστε περισσότερα

Παράςταςη ακεραίων ςτο ςυςτημα ςυμπλήρωμα ωσ προσ 2

Παράςταςη ακεραίων ςτο ςυςτημα ςυμπλήρωμα ωσ προσ 2 Παράςταςη ακεραίων ςτο ςυςτημα ςυμπλήρωμα ωσ προσ 2 Δρ. Χρήζηος Ηλιούδης Μθ Προςθμαςμζνοι Ακζραιοι Εφαρμογζσ (ςε οποιαδιποτε περίπτωςθ δεν χρειάηονται αρνθτικοί αρικμοί) Καταμζτρθςθ. Διευκυνςιοδότθςθ.

Διαβάστε περισσότερα

Πόςο εκτατό μπορεί να είναι ζνα μη εκτατό νήμα και πόςο φυςικό. μπορεί να είναι ζνα μηχανικό ςτερεό. Συνιςταμζνη δφναμη versus «κατανεμημζνησ» δφναμησ

Πόςο εκτατό μπορεί να είναι ζνα μη εκτατό νήμα και πόςο φυςικό. μπορεί να είναι ζνα μηχανικό ςτερεό. Συνιςταμζνη δφναμη versus «κατανεμημζνησ» δφναμησ Πόςο εκτατό μπορεί να είναι ζνα μη εκτατό νήμα και πόςο φυςικό μπορεί να είναι ζνα μηχανικό ςτερεό. Συνιςταμζνη δφναμη versus «κατανεμημζνησ» δφναμησ Για τθν ανάδειξθ του κζματοσ κα λφνουμε κάποια προβλιματα

Διαβάστε περισσότερα

Εφαρμογή. «Βελτιώνω την πόλη μου» Αιτήματα Ρολιτών. Εγχειρίδιο χρήςησ για τον πολίτη

Εφαρμογή. «Βελτιώνω την πόλη μου» Αιτήματα Ρολιτών. Εγχειρίδιο χρήςησ για τον πολίτη Εφαρμογή «Βελτιώνω την πόλη μου» Αιτήματα Ρολιτών Εγχειρίδιο χρήςησ για τον πολίτη 1 Περιεχόμενα 1. Δθμιουργία λογαριαςμοφ... 3 2. Ειςαγωγι ςτο ςφςτθμα... 5 3. Υπενκφμιςθ κωδικοφ πρόςβαςθσ και Ονόματοσ

Διαβάστε περισσότερα

ΡΟΓΑΜΜΑΤΙΣΤΙΚΟ ΡΕΙΒΑΛΛΟΝ MICRO WORLDS PRO

ΡΟΓΑΜΜΑΤΙΣΤΙΚΟ ΡΕΙΒΑΛΛΟΝ MICRO WORLDS PRO ΡΟΓΑΜΜΑΤΙΣΤΙΚΟ ΡΕΙΒΑΛΛΟΝ MICRO WORLDS PRO Το Micro Worlds Pro είναι ζνα ολοκλθρωμζνο περιβάλλον προγραμματιςμοφ. Χρθςιμοποιεί τθ γλϊςςα προγραμματιςμοφ Logo (εξελλθνιςμζνθ) Το Micro Worlds Pro περιλαμβάνει

Διαβάστε περισσότερα

Εγχειρίδιο Χρήςησ Αυτοματοποίηςησ Κυκλώματοσ Πληρωμών ΟΛΠ μζςω e-banking VERSION <Final>

Εγχειρίδιο Χρήςησ Αυτοματοποίηςησ Κυκλώματοσ Πληρωμών ΟΛΠ μζςω e-banking VERSION <Final> Εγχειρίδιο Χρήςησ Αυτοματοποίηςησ Κυκλώματοσ Πληρωμών ΟΛΠ μζςω e-banking VERSION Document Control File Name Εγχειρίδιο Χρήςτη Ebanking ΟΛΠ V2.Doc Prepared By Σωκράτησ καλαματιανόσ (skalamatianos@eurobank.gr)

Διαβάστε περισσότερα

Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 9 : Διαδικαςία φνκεςθσ Φϊτιοσ Βαρτηιϊτθσ

Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 9 : Διαδικαςία φνκεςθσ Φϊτιοσ Βαρτηιϊτθσ Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Ψθφιακά Ηλεκτρονικά Ενότθτα 9 : Διαδικαςία φνκεςθσ Φϊτιοσ Βαρτηιϊτθσ 1 Ανοιχτά Σμιμα Ψθφιακά Ηλεκτρονικά Ενότητα 9: Διαδικαςία φνκεςθσ Φϊτιοσ

Διαβάστε περισσότερα

Ψθφιακά Ηλεκτρονικά. Ενότθτα 5 : Ανάλυςθ κυκλώματοσ με D και JK FLIP- FLOP Φώτιοσ Βαρτηιώτθσ

Ψθφιακά Ηλεκτρονικά. Ενότθτα 5 : Ανάλυςθ κυκλώματοσ με D και JK FLIP- FLOP Φώτιοσ Βαρτηιώτθσ Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Ψθφιακά Ηλεκτρονικά Ενότθτα 5 : Ανάλυςθ κυκλώματοσ με D και JK FLIP- FLOP Φώτιοσ Βαρτηιώτθσ 1 Ανοιχτά Ακαδημαϊκά Μαθήματα ςτο ΤΕΙ Ηπείρου Σμιμα

Διαβάστε περισσότερα

Πνομα Ομάδασ: Προγραμματιςμόσ ενόσ κινοφμενου ρομπότ

Πνομα Ομάδασ: Προγραμματιςμόσ ενόσ κινοφμενου ρομπότ Φφλλο Εργαςίασ : Ακολοφθηςε τισ εντολζσ μου! Τάξθ: Β Γυμναςίου Ενότθτα: Λφνω προβλιματα με υπολογιςτικά φφλλα Εμπλεκόμενεσ ζννοιεσ: ρομποτικι, Lego Mindstorms, υπολογιςτικά φφλλα, ςυναρτιςεισ, γραφιματα

Διαβάστε περισσότερα

Εγχειρίδιο Χριςθσ τθσ διαδικτυακισ εφαρμογισ «Υποβολι και παρακολοφκθςθ τθσ ζγκριςθσ Εκπαιδευτικών Πακζτων»

Εγχειρίδιο Χριςθσ τθσ διαδικτυακισ εφαρμογισ «Υποβολι και παρακολοφκθςθ τθσ ζγκριςθσ Εκπαιδευτικών Πακζτων» Εγχειρίδιο Χριςθσ τθσ διαδικτυακισ εφαρμογισ «Υποβολι και παρακολοφκθςθ τθσ ζγκριςθσ Εκπαιδευτικών Πακζτων» Το Πλθροφοριακό Σφςτθμα τθσ δράςθσ «e-κπαιδευτείτε» ζχει ςτόχο να αυτοματοποιιςει τισ ακόλουκεσ

Διαβάστε περισσότερα

Ψθφιακά Ηλεκτρονικά. Ενότθτα 7 : Ελαχιςτοποίθςθ και κωδικοποίθςθ καταςτάςεων Φϊτιοσ Βαρτηιϊτθσ

Ψθφιακά Ηλεκτρονικά. Ενότθτα 7 : Ελαχιςτοποίθςθ και κωδικοποίθςθ καταςτάςεων Φϊτιοσ Βαρτηιϊτθσ Ελλθνικι Δθμοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Ψθφιακά Ηλεκτρονικά Ενότθτα 7 : Ελαχιςτοποίθςθ και κωδικοποίθςθ καταςτάςεων Φϊτιοσ Βαρτηιϊτθσ 1 Ανοιχτά Ακαδημαϊκά Μαθήματα ςτο ΤΕΙ Ηπείρου Τμιμα

Διαβάστε περισσότερα

Εγχειρίδιο Χριςθσ: Εφαρμογι Αιτιςεων για τα Εκπαιδευτικά Προγράμματα του Προςωπικοφ των Επιχειριςεων Τροφίμων

Εγχειρίδιο Χριςθσ: Εφαρμογι Αιτιςεων για τα Εκπαιδευτικά Προγράμματα του Προςωπικοφ των Επιχειριςεων Τροφίμων Εγχειρίδιο Χριςθσ: Εφαρμογι Αιτιςεων για τα Εκπαιδευτικά Προγράμματα του Προςωπικοφ των Επιχειριςεων ΕΚΔΟΣΗ 1.0 Περιεχόμενα Εφαρμογι Αιτιςεων για τα Εκπαιδευτικά Προγράμματα του Προςωπικοφ των Επιχειριςεων...

Διαβάστε περισσότερα

Δίκτυα Υπολογιςτϊν 2-Rooftop Networking Project

Δίκτυα Υπολογιςτϊν 2-Rooftop Networking Project Ονοματεπώνυμα και Α.Μ. μελών ομάδασ Κοφινάσ Νίκοσ ΑΜ:2007030111 Πζρροσ Ιωακείμ ΑΜ:2007030085 Site survey Τα κτιρια τθσ επιλογισ μασ αποτελοφν το κτιριο επιςτθμϊν και το κτιριο ςτο οποίο ςτεγάηεται θ λζςχθ

Διαβάστε περισσότερα

Δείκτεσ Διαχείριςθ Μνιμθσ. Βαγγζλθσ Οικονόμου Διάλεξθ 8

Δείκτεσ Διαχείριςθ Μνιμθσ. Βαγγζλθσ Οικονόμου Διάλεξθ 8 Δείκτεσ Διαχείριςθ Μνιμθσ Βαγγζλθσ Οικονόμου Διάλεξθ 8 Δείκτεσ Κάκε μεταβλθτι ςχετίηεται με μία κζςθ ςτθν κφρια μνιμθ του υπολογιςτι. Κάκε κζςθ ςτθ μνιμθ ζχει τθ δικι τθσ ξεχωριςτι διεφκυνςθ. Με άμεςθ

Διαβάστε περισσότερα

Διαδικασία Δημιοσργίας Ειδικών Λογαριασμών. (v.1.0.7)

Διαδικασία Δημιοσργίας Ειδικών Λογαριασμών. (v.1.0.7) Διαδικασία Δημιοσργίας Ειδικών Λογαριασμών (v.1.0.7) 1 Περίληψη Το ςυγκεκριμζνο εγχειρίδιο δημιουργήθηκε για να βοηθήςει την κατανόηςη τησ διαδικαςίασ δημιουργίασ ειδικών λογαριαςμών. Παρακάτω προτείνεται

Διαβάστε περισσότερα

Παράρτημα Η Ζκδοση 2010 (Το παρόν διατίκεται μόνο ςε χριςτεσ λογιςμικοφ τθσ C.C.S. Α.Ε.)

Παράρτημα Η Ζκδοση 2010 (Το παρόν διατίκεται μόνο ςε χριςτεσ λογιςμικοφ τθσ C.C.S. Α.Ε.) Παράρτημα Η Ζκδοση 2010 (Το παρόν διατίκεται μόνο ςε χριςτεσ λογιςμικοφ τθσ C.C.S. Α.Ε.) Το ςυγκεκριμζνο βιβλιάριο ζχει δθμιουργθκεί και διατίκεται από τθν CCS ΑΕ μόνο για τουσ χριςτεσ τθσ Ελλάδασ και

Διαβάστε περισσότερα

ΕΝΟΣΗΣΑ 1: ΓΝΩΡIΖΩ ΣΟΝ ΤΠΟΛΟΓΙΣΗ Ω ΕΝΙΑΙΟ ΤΣΗΜΑ. ΚΕΦΑΛΑΙΟ 2: Σο Εςωτερικό του Τπολογιςτι

ΕΝΟΣΗΣΑ 1: ΓΝΩΡIΖΩ ΣΟΝ ΤΠΟΛΟΓΙΣΗ Ω ΕΝΙΑΙΟ ΤΣΗΜΑ. ΚΕΦΑΛΑΙΟ 2: Σο Εςωτερικό του Τπολογιςτι ΕΝΟΣΗΣΑ 1: ΓΝΩΡIΖΩ ΣΟΝ ΤΠΟΛΟΓΙΣΗ ΚΕΦΑΛΑΙΟ 2: Σο Εςωτερικό του Τπολογιςτι 2.1 Ο Προςωπικόσ Υπολογιςτήσ εςωτερικά Σροφοδοτικό, Μθτρικι πλακζτα (Motherboard), Κεντρικι Μονάδα Επεξεργαςίασ (CPU), Κφρια Μνιμθ

Διαβάστε περισσότερα

Βάςεισ Δεδομζνων Ι. Ενότητα 12: Κανονικοποίηςη. Δρ. Τςιμπίρθσ Αλκιβιάδθσ Τμιμα Μθχανικών Πλθροφορικισ ΤΕ

Βάςεισ Δεδομζνων Ι. Ενότητα 12: Κανονικοποίηςη. Δρ. Τςιμπίρθσ Αλκιβιάδθσ Τμιμα Μθχανικών Πλθροφορικισ ΤΕ Βάςεισ Δεδομζνων Ι Ενότητα 12: Κανονικοποίηςη Δρ. Τςιμπίρθσ Αλκιβιάδθσ Τμιμα Μθχανικών Πλθροφορικισ ΤΕ Άδειεσ Χρήςησ Το παρόν εκπαιδευτικό υλικό υπόκειται ςε άδειεσ χριςθσ Creative Commons. Για εκπαιδευτικό

Διαβάστε περισσότερα

Ιδιότθτεσ πεδίων Γενικζσ.

Ιδιότθτεσ πεδίων Γενικζσ. Οι ιδιότθτεσ των πεδίων διαφζρουν ανάλογα με τον τφπο δεδομζνων που επιλζγουμε. Ορίηονται ςτο κάτω μζροσ του παρακφρου ςχεδίαςθσ του πίνακα, ςτθν καρτζλα Γενικζσ. Ιδιότθτα: Μζγεκοσ πεδίου (Field size)

Διαβάστε περισσότερα

Κατά τθν ενεργοποίθςθ τθσ ιδιότθτασ αυτισ ενδζχεται να εμφανιςτεί ζνα μινυμα ςαν αυτό τθσ παρακάτω εικόνασ. Απλά επιβεβαιϊςτε πατϊντασ ΟΚ.

Κατά τθν ενεργοποίθςθ τθσ ιδιότθτασ αυτισ ενδζχεται να εμφανιςτεί ζνα μινυμα ςαν αυτό τθσ παρακάτω εικόνασ. Απλά επιβεβαιϊςτε πατϊντασ ΟΚ. Δημιουργία Πινάκων Για τθ δθμιουργία πινάκων ςτο περιβάλλον phpmyadmin μποροφμε είτε να χρθςιμοποιιςουμε τθ φόρμα δθμιουργίασ πίνακα, είτε να εκτελζςουμε ζνα ερϊτθμα SQL Στθ παρακάτω εικόνα φαίνεται μια

Διαβάστε περισσότερα