Ειςαγωγι ςτθ ςχεδιαςτικι ροι τθσ Xilinx. ISE Design Suite University Of Thessaly Department of Electrical and Computer Engineering CE430

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Ειςαγωγι ςτθ ςχεδιαςτικι ροι τθσ Xilinx. ISE Design Suite University Of Thessaly Department of Electrical and Computer Engineering CE430"

Transcript

1 Πανεπιςτιμιο Θεςςαλίασ, Τμιμα Ηλεκτρολόγων Μθχανικϊν και Μθχανικϊν Υπολογιςτϊν HY430 Εργαςτιριο Ψθφιακϊν Κυκλωμάτων Χειμερινό Εξάμθνο Ειςαγωγι ςτθ ςχεδιαςτικι ροι τθσ Xilinx ISE Design Suite 14.1 University Of Thessaly Department of Electrical and Computer Engineering CE430 Στόχοι.. Δημιοσργία project Δημιοσργία\Ειζαγφγή μονάδφν Ανηιζηοίτηζη ζημάηφν με pins ηης FPGA RTL Στημαηικό RTL Προζομοίφζη ζε RTL επίπεδο Σύνθεζη, Τοποθέηηζη και διαζύνδεζη Κάηουη LUTs και διαζσνδέζεφν Προζομοίφζη ζε PnR (place & route) επίπεδο Δημιοσργία bit file 1

2 Δθμιουργία project Π.χ. Ζνασ απλόσ 8 bit μετρθτισ με ςφγχρονο reset: Ανοίγουμε το ISE Design Suite 14.1 τθσ Xilinx 2. Κλικ New Project.. 3. Ορίηουμε όνομα project και path directory όπου κα αποκθκευτοφν τα αρχεία του project Δθμιουργία project 4. Μετά Next.. 2

3 Δθμιουργία project A. Ορίηουμε: 1. Τφπο FPGA (οικογζνεια, ςυςκευι και package) 2. Simulator, εδϊ ISim για RTL και post-pnr προςομοίωςθ. Για modelsim επιλζξτε Modelsim-SE Verilog 3. Πατάμε Next.. B. Στο επ. παράκυρο εμφανίηονται περιλθπτικά οι επιλογζσ που κάναμε, αν είναι όλα ςωςτά κλικ Finish. Δθμ.\Ειςαγωγι μονάδων Όταν ζχουμε ζτοιμα τα.v αρχεία κάνουμε Add Source αλλιϊσ New Source.. 1. Ακροιςτισ (adder8.v), 2. 8bit register (reg8.v), 3. Τθ μονάδα που εμφανίηει τισ 2 προθγοφμενεσ και τισ διαςφνδεει (system.v), 4. τo πλαίςιο ελζγχου ι testbench (tb.v), 5. τζλοσ το.ucf αρχείο που αντιςτοιχεί τα ςιματα ειςόδου/εξόδου του κυκλϊματοσ με τα pins τθσ FPGA. Το.ucf αρχείο μποροφμε είτε να το βάλουμε εξαρχισ ςτο ςχζδιο μασ ι να το δθμιουργιςουμε αργότερα μζςω του PlanAhead. 3

4 Δθμ.\Ειςαγωγι μονάδων 1. Στο παράκυρο που εμφανίηεται, 2. διαλζγουμε το Association: Για το πλαίςιο ελζγχου (tb.v) να είναι simulation Για το αρχείο.ucf να είναι Implementation Για υπόλοιπα all 3. Τζλοσ, ΟΚ και ςυνεχίηουμε Αντιςτοίχθςθ ςθμάτων με pins τθσ FPGA Αν δεν είχαμε το αρχείο.ucf ζτοιμο κα το δθμιουργιςουμε ωσ εξισ: 1. Κλικ ϊςτε το view να ναι ςτο implementation. 2. πατάμε πάνω ςτο top module που είναι το system. 3. διπλό-κλικ το I/O Pin Planning (PlanAhead Pre-Synthesis). 4

5 Αντιςτοίχθςθ ςθμάτων με pins τθσ FPGA A. Στο κάτω παράκυρο βλζπουμε όλα τα I/O ports. Επιλζγουμε το ςιμα, π.χ. εδϊ επιλζξαμε το system_clock, και πάμε ςτο πάνω παρακυράκι όπου βάηουμε ςτο Site το Τ9. Το Τ9 είναι θ ζξοδοσ του ρολογιοφ τθσ FPGA το οποίο το ξζρουμε από το datasheet (ςελ. 35 του S3BOARD_RM.pdf datasheet) Tο count[7:0] ςυνδζεται με τα LEDs και το reset με ζνα button τθσ FPGA. (βλ. ςελίδα 19 του datasheet). B. Μόλισ τελειϊςουμε κάνουμε File.. Save Design.. Και μετα exit.οπότε το αρχείο ucf δθμιουργικθκε. RTL Εφόςον δεν ζχει ςυντακτικά λάκθ ο κϊδικασ μασ μποροφμε να: 1. Δοφμε το ςχθματικό του κυκλϊματοσ ςε block μορφι 2. Να επαλθκεφςουμε τθν ςυμπεριφορά του κυκλϊματοσ με προςομοίωςθ. Εδώ μποροφμε να δοφμε μονάχα αν το κφκλωμα δουλεφει ςε επίπεδο ςυμπεριφοράσ, δθλαδι αν το κφκλωμα ςυμπεριφζρεται όπωσ κζλουμε πριν γίνει PnR. Πριν πάμε ςτθν επόμενθ φάςθ (PnR) πρζπει οπωςδιποτε να ςυμπεριφζρεται το κφκλωμα μασ όπωσ κα κζλαμε ςε RTL επίπεδο. 5

6 Σχθματικό RTL 1. Κρατάμε το view ςε implementation, 2. Κάνουμε 2πλό κλικ ςτο View RTL Schematic, 3. τα υπόλοιπα όπωσ φαίνονται δίπλα. 4. Ζτςι μασ εμφανίηει το κφκλωμα από το πιο top module. Με control +Y κατεβαίνουμε ζνα επίπεδο κάτω, Με control +Z ανεβαίνουμε ζνα επίπεδο πάνω. Προς. ςε RTL επίπεδο Ζχοντασ διαλζξει ISim : 1. Αλλάηουμε το view ςε Simulation, Behavioral. 2. Στο Hierarchy κάνουμε highlight το πλαίςιο ελζγχου (tb) 3. Στο Processes 2πλο-κλικ το Simulate Behavioral Model. Ζχοντασ διαλζξει Modelsim: τα ίδια με τα παραπάνω βιματα απλά χρειάηεται λίγο πριν να κάνετε τα βιματα του επόμενου slide: 6

7 Προς. ςε RTL επίπεδο Modelsim: A. Αν είχατε διαλζξει κατά τθ δθμιουργία project simulator τον modelsim βεβαιωκείτε ότι το path του modelsim.exe είναι OK: 1. Edit Preferences 2. Στο Category κάντε highlight το Integrated tools 3. Βεβαιωκείτε ότι το path είναι ςωςτό, π.χ. F:\modeltech_6.4e\win32\modelsim.exe B. Ζπειτα κάντε compile τθ βιβλιοκικθ μζςω του ISE ωσ εξισ (αυτό το βιμα αρκεί τθ 1θ φορά που δθμιουργιςατε το project ) Στο Hierarchy, highlight τθ ςυςκευι (όχι το πλαίςιο ελζγχου). Μετά ςτο κάτω παράκυρο,2πλο-κλικ το Compile HDL.. C. Επίςθσ ίςωσ χρειαςτεί να κάντε compile τθ βιβλιοκικθ και χειροκίνθτα ανοίγοντασ το modelsim και μετά Compile τα αρχεία που βρίςκονται ςτθ διαδρομι : <xilinx_dir>\ise_ds\ise\verilog\src\simprims\* Παρόλο που είμαςτε ςε RTL level, το ISE 14.1 κζλει να κάνει compile τα libraries, ςε άλλεσ εκδόςεισ (π.χ του εργαςτθρίου) δε κζλει,αλλά πετάει warning, με κλικ ΝΟ είμαςτε ΟΚ. Όταν όμωσ είμαςτε ςε PnR τα βιματα B,C χρειάηονται οπωςδιποτε. Σφνκεςθ, Τοποκζτθςθ και διαςφνδεςθ A. Για να δθμιουργθκεί το netlist, δλδ το αρχείο.v που περιζχει εμφανίςεισ και διαςυνδζςεισ των δομικϊν modules του κυκλϊματοσ (LUTs, ffs κ.α) (που επιλζχτθκαν κατά τθ PnR διαδικαςία) χρειαηόμαςτε: 1. Τθ βιβλιοκικθ (.v αρχεία) που περιγράφεται θ λογικι για κάκε module. 2. Τισ κακυςτεριςεισ για τθ κάκε μονάδα και τθσ κάκε διαςφνδεςθσ. B. Ώςτε, όταν πάμε να κάνουμε προςομοίωςθ να ζχουμε τα αρχεία: 1. netlist ( <project_dir>\netgen\par\system_timesim.v ) 2. Τθ βιβλιοκικθ ( <xilinx_dir>\ise_ds\ise\verilog\src\simprims\* ) 3. Τισ κακυςτεριςεισ ( <proj_dir>\netgen\par\system_timesim.sdf ) Παρατθριςτε ότι το.sdf αρχείο διαβάηεται μζςα από τθν εντολι $sdf_annotate( <your_path\file.sdf>"); και βρίςκεται μζςα ςτο netlist γενικά πάντωσ μπαίνει μζςα ςε πλαίςιο ελζγχου για να διατθρείται το netlist ςε κακαρθ ςυνκζςιμθ μορφι.. 4. Το Πλαίςιο ελζγχου (διατθροφμε το ίδιο πλαίςιο ελζγχου με αυτό που είχαμε κατά τo RTL επίπεδο, το tb.v) 7

8 Σφνκεςθ, Τοποκζτθςθ και διαςφνδεςθ A. Οπότε, για το PnR χρειαηόμαςτε να: 1. Επανζλκουμε ςτο implementation view, 2. Κάνουμε highlight το top module (system) 3. Διπλό κλικ το Generate Post-P&E Sim. Model B. Και, αφοφ τελειϊςει αυτι θ διαδικαςία μποροφμε ζπειτα να: 1. Δοφμε τθ κάτοψθ του FPGA με τισ διαςυνδζςεισ των LUT μεταξφ τουσ, 2. Δοφμε το slack τθσ κάκε κρίςιμθσ διαδρομισ ( slack = Tclk - Dcritical) 3. Κάνουμε προςομοίωςθ του κυκλϊματοσ μετά το PnR όπου κα φαίνονται οι κακυςτεριςεισ των ςθμάτων λόγω των καλωδίων και τθσ κακυςτζρθςθσ τθσ κάκε πφλθσ που ζγινε mapped ςτο υλικό (configured LUT). Κάτοψθ LUTs & διαςυνδζςεων 1. Διπλό κλικ ςτο Analyze Timing / Floorplan Design και αφοφ ανοίξει ο PlanAhead: Στο κάτω παράκυρο βλζπουμε τα 8 κριςιμότερα μονοπάτια, με το πρϊτο το κριςιμότερο. 2. Κάνοντασ το πρϊτο μονοπάτι highlight εμφανίηεται εςωτερικά τθσ FPGA ολόκλθρθ θ διαδρομι. 8

9 Κάτοψθ LUTs & διαςυνδζςεων post-pnr simulation Isim: 1. Αλλάηουμε το view ςε simulation, Post- Route 2. Highlight το πλαίςιο ελζγχου 3. 2πλό κλικ το Simulate Behavioral Model Modelsim: τα ίδια βιματα με τον Isim, αρκεί να χουν γίνει πρώτα τα βιματα εδώ. 9

10 Δθμιουργία bit file Αφοφ πλζον πιςτεφουμε ότι το κφκλωμα μασ κα δουλεφει ςτθ ςυςκευι μποροφμε να παράγουμε το bit file το οποίο κα γίνει download ςτθ πλακζτα ωσ εξισ: A. Αλλαγι ςε implementation view B. Highlight to το top module (εδϊ system) C. 2πλό κλικ ςτο Generate Programming File D. To bit file πλζον βρίςκεται ςτο project directory μασ ωσ system.bit FPGA Κατεβάηουμε το bit file ςτθ πλακζτα και ελζγχουμε αν λειτουργεί το κφκλωμα όπωσ τα αρχικά specifications. Αν δεν ςυμφωνεί ςτα αρχικά specifications κα πρζπει να γυρίςουμε πίςω ςε οποιοδιποτε από τα προθγοφμενα ςτάδια ακλουκϊντασ ξανά τθ ροι. 10

Εισαγωγή στη σχεδιαστική ροή της Xilinx

Εισαγωγή στη σχεδιαστική ροή της Xilinx Πανεπιστήμιο Κρήτης, Τμήμα Επιστήμης Υπολογιστών HY220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2014-2015 Εισαγωγή στη σχεδιαστική ροή της Xilinx ISE Design Suite 14.7 Στόχοι.. 1. Δημιουργία project

Διαβάστε περισσότερα

Διαχείριςθ του φακζλου "public_html" ςτο ΠΣΔ

Διαχείριςθ του φακζλου public_html ςτο ΠΣΔ Διαχείριςθ του φακζλου "public_html" ςτο ΠΣΔ Οι παρακάτω οδθγίεσ αφοροφν το χριςτθ webdipe. Για διαφορετικό λογαριαςμό χρθςιμοποιιςτε κάκε φορά το αντίςτοιχο όνομα χριςτθ. = πατάμε αριςτερό κλικ ςτο Επιςκεφκείτε

Διαβάστε περισσότερα

Πωσ δθμιουργώ φακζλουσ;

Πωσ δθμιουργώ φακζλουσ; Πωσ δθμιουργώ φακζλουσ; Για να μπορζςετε να δθμιουργιςετε φακζλουσ ςτο χαρτοφυλάκιό ςασ ςτο Mahara κα πρζπει να μπείτε ςτο ςφςτθμα αφοφ πατιςετε πάνω ςτο ςφνδεςμο Mahara profiles από οποιοδιποτε ςελίδα

Διαβάστε περισσότερα

Ηλεκτρονικι Επιχειρθςιακι Δράςθ Εργαςτιριο 1

Ηλεκτρονικι Επιχειρθςιακι Δράςθ Εργαςτιριο 1 1. Εγκατάςταςη Xampp Προκειμζνου να γίνει θ εγκατάςταςθ κα πρζπει πρϊτα να κατεβάςετε και εγκαταςτιςετε το XAMPP ωσ ακολοφκωσ. 1.1. Πάμε ςτθν ακόλουκθ διεφκυνςθ https://www.apachefriends.org/download.html

Διαβάστε περισσότερα

Joomla! - User Guide

Joomla! - User Guide Joomla! - User Guide τελευταία ανανέωση: 10/10/2013 από την ICAP WEB Solutions 1 Η καταςκευι τθσ δυναμικισ ςασ ιςτοςελίδασ ζχει ολοκλθρωκεί και μπορείτε πλζον να προχωριςετε ςε αλλαγζσ ι προςκικεσ όςον

Διαβάστε περισσότερα

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 2

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 2 Τμήμα Μησανικών Πληποφοπικήρ, Τ.Ε.Ι. Ηπείπος Ακαδημαϊκό Έτορ 2016-2017, 6 ο Εξάμηνο Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 2 Διδάςκων Τςιακμάκθσ Κυριάκοσ, Phd MSc in Electronic Physics (Radioelectrology)

Διαβάστε περισσότερα

GNSS Solutions guide. 1. Create new Project

GNSS Solutions guide. 1. Create new Project GNSS Solutions guide 1. Create new Project 2. Import Raw Data Αναλόγωσ τον τφπο των δεδομζνων επιλζγουμε αντίςτοιχα το Files of type. παράδειγμα ζχουν επιλεγεί για ειςαγωγι αρχεία τφπου RINEX. το Με τθν

Διαβάστε περισσότερα

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 3

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 3 Τμήμα Μησανικών Πληποφοπικήρ, Τ.Ε.Ι. Ηπείπος Ακαδημαϊκό Έτορ 2016-2017, 6 ο Εξάμηνο Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 3 Διδάςκων Τςιακμάκθσ Κυριάκοσ, Phd MSc in Electronic Physics (Radioelectrology)

Διαβάστε περισσότερα

Οδηγίες Πρόζβαζης ζηο EndNote Web. Πρόζβαζη ζηο EndNote Web

Οδηγίες Πρόζβαζης ζηο EndNote Web. Πρόζβαζη ζηο EndNote Web Οδηγίες Πρόζβαζης ζηο EndNote Web Το EndNote Web είναι εργαλείο διαχείριςθσ βιβλιογραφικϊν αναφορϊν, ενςωματωμζνο ςτθ βάςθ Web of Science. Απαιτείται εγγραφι και δθμιουργία password (Sign in / Register)

Διαβάστε περισσότερα

Οδηγίες εγκατάστασης και χρήσης του Quartus

Οδηγίες εγκατάστασης και χρήσης του Quartus Εγκατάσταση του Quartus Οδηγίες εγκατάστασης και χρήσης του Quartus Δημήτρης Μαγγίρας, Γιώργος Δημητρακόπουλος 1. Κατεβάζουμε την έκδοση 13.0SP1 από εδώ ακολουθώντας τις οδηγίες που φαίνονται στην εικόνα.

Διαβάστε περισσότερα

Οδθγόσ εγκατάςταςθσ προγραμμάτων για ανάπτυξθ εφαρμογών ςε iphone

Οδθγόσ εγκατάςταςθσ προγραμμάτων για ανάπτυξθ εφαρμογών ςε iphone ΧΟΛΗ ΣΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΣΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗ & ΕΠΙΚΟΙΝΩΝΙΩΝ ΠΡΟΓΡΑΜΜΑΣΙΣΙΚΕ ΕΦΑΡΜΟΓΕ ΣΟ ΔΙΑΔΙΚΣΤΟ Οδθγόσ εγκατάςταςθσ προγραμμάτων για ανάπτυξθ εφαρμογών ςε iphone Ονοματεπώνυμο: Επιβλζπων: ιώπθσ πφροσ

Διαβάστε περισσότερα

Οδηγίεσ για τη ςφνδεςη του υπολογιςτή ςασ ςτουσ προβολείσ των αιθουςών Ι 1, Ι 2, Ι 3 και του 10 ου ορόφου

Οδηγίεσ για τη ςφνδεςη του υπολογιςτή ςασ ςτουσ προβολείσ των αιθουςών Ι 1, Ι 2, Ι 3 και του 10 ου ορόφου Οδηγίεσ για τη ςφνδεςη του υπολογιςτή ςασ ςτουσ προβολείσ των αιθουςών Ι 1, Ι 2, Ι 3 και του 10 ου ορόφου Για να χρθςιμοποιιςετε τουσ προβολείσ πρζπει να εγκαταςτιςετε το απαραίτθτο λογιςμικό, που κα ςασ

Διαβάστε περισσότερα

Εισαγωγή στη Verilog με το ISE

Εισαγωγή στη Verilog με το ISE Εισαγωγή στη Verilog με το ISE Πατάμε new project Δίνουμε όνομα και κατάλογο όπου θα αποθηκευτεί το project. Next όπου επιλέγουμε chip και preferred language βάζουμε Verilog Next και στο Create new source

Διαβάστε περισσότερα

assessment.gr USER S MANUAL (users)

assessment.gr USER S MANUAL (users) assessment.gr USER S MANUAL (users) Human Factor January 2010 Περιεχόμενα 1. Γενικζσ οδθγίεσ ςυςτιματοσ... 3 1.1 Αρχικι ςελίδα... 3 1.2 Ερωτθματολόγια... 6 1.2.1 Τεςτ Γνϊςεων Γενικοφ Ρεριεχομζνου... 6

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΘΜΙΟ ΔΤΣΙΚΘ ΜΑΚΕΔΟΝΙΑ ΣΜΘΜΑ ΜΘΧΑΝΙΚΩΝ ΠΛΘΡΟΦΟΡΙΚΘ ΚΑΙ ΣΘΛΕΠΙΚΟΙΝΩΝΙΩΝ. Λειτουργικά υςτιματα, 4 ο Εξάμθνο Ψθφιακι χεδίαςθ ΙΙ, 4 ο Εξάμθνο

ΠΑΝΕΠΙΣΘΜΙΟ ΔΤΣΙΚΘ ΜΑΚΕΔΟΝΙΑ ΣΜΘΜΑ ΜΘΧΑΝΙΚΩΝ ΠΛΘΡΟΦΟΡΙΚΘ ΚΑΙ ΣΘΛΕΠΙΚΟΙΝΩΝΙΩΝ. Λειτουργικά υςτιματα, 4 ο Εξάμθνο Ψθφιακι χεδίαςθ ΙΙ, 4 ο Εξάμθνο ΠΑΝΕΠΙΣΘΜΙΟ ΔΤΣΙΚΘ ΜΑΚΕΔΟΝΙΑ ΣΜΘΜΑ ΜΘΧΑΝΙΚΩΝ ΠΛΘΡΟΦΟΡΙΚΘ ΚΑΙ ΣΘΛΕΠΙΚΟΙΝΩΝΙΩΝ Λειτουργικά υςτιματα, 4 ο Εξάμθνο Ψθφιακι χεδίαςθ ΙΙ, 4 ο Εξάμθνο Νικόλασ Κυπαριςςάσ, 414 Τπεφκυνοι Κακθγθτζσ: Δρ. Μθνάσ Δαςυγζνθσ,

Διαβάστε περισσότερα

ΑΛΕΞΑΝΔΡΕΙΟ ΣΕΙ ΘΕΑΛΟΝΙΚΗ ΣΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗ Σ.Ε. ΜΑΘΗΜΑ : ΑΛΓΟΡΙΘΜΙΚΗ ΚΑΙ ΠΡΟΓΡΑΜΜΑΣΙΜΟ ΔΙΔΑΚΩΝ : ΓΟΤΛΙΑΝΑ ΚΩΣΑ

ΑΛΕΞΑΝΔΡΕΙΟ ΣΕΙ ΘΕΑΛΟΝΙΚΗ ΣΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗ Σ.Ε. ΜΑΘΗΜΑ : ΑΛΓΟΡΙΘΜΙΚΗ ΚΑΙ ΠΡΟΓΡΑΜΜΑΣΙΜΟ ΔΙΔΑΚΩΝ : ΓΟΤΛΙΑΝΑ ΚΩΣΑ ΑΛΕΞΑΝΔΡΕΙΟ ΣΕΙ ΘΕΑΛΟΝΙΚΗ ΣΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗ Σ.Ε. ΜΑΘΗΜΑ : ΑΛΓΟΡΙΘΜΙΚΗ ΚΑΙ ΠΡΟΓΡΑΜΜΑΣΙΜΟ ΔΙΔΑΚΩΝ : ΓΟΤΛΙΑΝΑ ΚΩΣΑ υνοπτικόσ Οδθγόσ για Γράψιμο Εκτζλεςθ Προγραμμάτων Java ςε Περιβάλλον DOS και NetBeans

Διαβάστε περισσότερα

Πωσ δημιουργώ μάθημα ςτο e-class του ΠΣΔ [επίπεδο 1]

Πωσ δημιουργώ μάθημα ςτο e-class του ΠΣΔ [επίπεδο 1] Το e-class του Πανελλινιου Σχολικοφ Δίκτυου [ΠΣΔ/sch.gr] είναι μια πολφ αξιόλογθ και δοκιμαςμζνθ πλατφόρμα για αςφγχρονο e-learning. Ανικει ςτθν κατθγορία του ελεφκερου λογιςμικοφ. Αρχίηουμε από τθ διεφκυνςθ

Διαβάστε περισσότερα

Διαδικασία με βήματα. 1. Αλλάηω το χρϊμα ςκθνικοφ ςε γκρι(#3333).

Διαδικασία με βήματα. 1. Αλλάηω το χρϊμα ςκθνικοφ ςε γκρι(#3333). Διαδικασία με βήματα 1. Αλλάηω το χρϊμα ςκθνικοφ ςε γκρι(#3333). 2. Διαλζγω το Polystar Tool. Από τα Options κάνω το Polygon ςε Star και τα υπόλοιπα όπωσ είναι. Ζωγραφίηω ζνα αςτζρι πάνω αριςτερά. Fill

Διαβάστε περισσότερα

Κάνουμε κλικ ςτθν επιλογι του οριηόντιου μενοφ «Get Skype»για να κατεβάςουμε ςτον υπολογιςτι μασ το πρόγραμμα του Skype.

Κάνουμε κλικ ςτθν επιλογι του οριηόντιου μενοφ «Get Skype»για να κατεβάςουμε ςτον υπολογιςτι μασ το πρόγραμμα του Skype. ΟΔΗΓΙΕ ΔΗΜΙΟΤΡΓΙΑ ΛΟΓΑΡΙΑΜΟΤ ΣΟ SKYPE Ανοίγουμε το πρόγραμμα περιιγθςθσ ιςτοςελίδων (εδϊ Internet Explorer). Κάνουμε κλικ ςτθ γραμμι διεφκυνςθσ του προγράμματοσ και πλθκτρολογοφμε: www.skype.com Κάνουμε

Διαβάστε περισσότερα

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 4

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 4 Τμήμα Μησανικών Πληποφοπικήρ, Τ.Ε.Ι. Ηπείπος Ακαδημαϊκό Έτορ 2016-2017, 6 ο Εξάμηνο Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 4 Διδάςκων Τςιακμάκθσ Κυριάκοσ, Phd MSc in Electronic Physics (Radioelectrology)

Διαβάστε περισσότερα

ΟΔΗΓΙΕΣ ΔΗΜΙΟΥΡΓΙΑΣ ΚΑΙ ΡΥΘΜΙΣΗΣ ΔΩΡΕΑΝ ΗΛΕΚΤΡΟΝΙΚΟΥ ΤΑΧΥΔΡΟΜΕΙΟΥ ΣΤΟ YAHOO

ΟΔΗΓΙΕΣ ΔΗΜΙΟΥΡΓΙΑΣ ΚΑΙ ΡΥΘΜΙΣΗΣ ΔΩΡΕΑΝ ΗΛΕΚΤΡΟΝΙΚΟΥ ΤΑΧΥΔΡΟΜΕΙΟΥ ΣΤΟ YAHOO ΟΔΗΓΙΕΣ ΔΗΜΙΟΥΡΓΙΑΣ ΚΑΙ ΡΥΘΜΙΣΗΣ ΔΩΡΕΑΝ ΗΛΕΚΤΡΟΝΙΚΟΥ ΤΑΧΥΔΡΟΜΕΙΟΥ ΣΤΟ YAHOO Ανοίγουμε το πρόγραμμα περιιγθςθσ ιςτοςελίδων (εδώ Internet Explorer). Κάνουμε κλικ ςτθ γραμμι διεφκυνςθσ του προγράμματοσ και

Διαβάστε περισσότερα

ΜΕΣΑΥΗΜΑΣΙΜΟ ΠΑΡΑΓΓΕΛΙΑ(ΩΝ) Ε ΠΑΡΑΣΑΣΙΚΟ ΑΓΟΡΑ

ΜΕΣΑΥΗΜΑΣΙΜΟ ΠΑΡΑΓΓΕΛΙΑ(ΩΝ) Ε ΠΑΡΑΣΑΣΙΚΟ ΑΓΟΡΑ ΜΕΣΑΥΗΜΑΣΙΜΟ ΠΑΡΑΓΓΕΛΙΑ(ΩΝ) Ε ΠΑΡΑΣΑΣΙΚΟ ΑΓΟΡΑ Για τθν ζκδοςθ Dioscourides Xpress, όταν παραλάβετε κάποια παραγγελία: 1 2 3 1. Μεταφερκείτε ςτο μενοφ 2. Επιλζξτε τθ

Διαβάστε περισσότερα

Modellus 4.01 Συ ντομοσ Οδηγο σ

Modellus 4.01 Συ ντομοσ Οδηγο σ Νίκοσ Αναςταςάκθσ 4.01 Συ ντομοσ Οδηγο σ Περιγραφή Σο είναι λογιςμικό προςομοιϊςεων που ςτθρίηει τθν λειτουργία του ςε μακθματικά μοντζλα. ε αντίκεςθ με άλλα λογιςμικά (π.χ. Interactive Physics, Crocodile

Διαβάστε περισσότερα

ΦΤΛΛΟ ΕΡΓΑΙΑ ΤΠΗΡΕΙΑ ΗΛΕΚΣΡΟΝΙΚΟΤ ΣΑΥΤΔΡΟΜΕΙΟΤ

ΦΤΛΛΟ ΕΡΓΑΙΑ ΤΠΗΡΕΙΑ ΗΛΕΚΣΡΟΝΙΚΟΤ ΣΑΥΤΔΡΟΜΕΙΟΤ ΦΤΛΛΟ ΕΡΓΑΙΑ ΤΠΗΡΕΙΑ ΗΛΕΚΣΡΟΝΙΚΟΤ ΣΑΥΤΔΡΟΜΕΙΟΤ E-MAIL Ε ΣΟΠΙΚΟ ΔΙΚΣΤΟ LAN ( ΠΡΟΟΜΕΙΩΗ ΜΕ ΣΟ ΛΟΓΙΜΙΚΟ FILIUS ) ΔΗΜΙΟΤΡΓΟ: ΑΡΑΜΠΑΣΖΗ ΠΑΝΑΓΙΩΣΗ ΒΗΜΑ 1. Ανοίξτε τθ εφαρμογι προςομοίωςθσ δικτφων Filius ςτον

Διαβάστε περισσότερα

ΟΔΗΓΙΕ ΔΗΜΙΟΤΡΓΙΑ ΚΑΙ ΡΤΘΜΙΗ ΔΩΡΕΑΝ ΗΛΕΚΣΡΟΝΙΚΟΤ ΣΑΧΤΔΡΟΜΕΙΟΤ ΣΟ GOOGLE (G-MAIL)

ΟΔΗΓΙΕ ΔΗΜΙΟΤΡΓΙΑ ΚΑΙ ΡΤΘΜΙΗ ΔΩΡΕΑΝ ΗΛΕΚΣΡΟΝΙΚΟΤ ΣΑΧΤΔΡΟΜΕΙΟΤ ΣΟ GOOGLE (G-MAIL) ΟΔΗΓΙΕ ΔΗΜΙΟΤΡΓΙΑ ΚΑΙ ΡΤΘΜΙΗ ΔΩΡΕΑΝ ΗΛΕΚΣΡΟΝΙΚΟΤ ΣΑΧΤΔΡΟΜΕΙΟΤ ΣΟ GOOGLE (G-MAIL) Ανοίγουμε το πρόγραμμα περιιγθςθσ ιςτοςελίδων (εδϊ Internet Explorer). Αν θ αρχικι ςελίδα του προγράμματοσ δεν είναι θ ςελίδα

Διαβάστε περισσότερα

Διαδικαςία Διαχείριςθσ Στθλϊν Βιβλίου Εςόδων - Εξόδων. (v.1.0.7)

Διαδικαςία Διαχείριςθσ Στθλϊν Βιβλίου Εςόδων - Εξόδων. (v.1.0.7) Διαδικαςία Διαχείριςθσ Στθλϊν Βιβλίου Εςόδων - Εξόδων (v.1.0.7) 1 Περίληψη Το ςυγκεκριμζνο εγχειρίδιο δθμιουργικθκε για να βοθκιςει τθν κατανόθςθ τθσ διαδικαςίασ διαχείριςθσ ςτθλών βιβλίου Εςόδων - Εξόδων.

Διαβάστε περισσότερα

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 2 ο Εργαςτιριο Διαχείριςθ Διεργαςιϊν

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 2 ο Εργαςτιριο Διαχείριςθ Διεργαςιϊν ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ 2 ο Εργαςτιριο Διαχείριςθ Διεργαςιϊν Τπόβακρο (1/3) τουσ παλαιότερουσ υπολογιςτζσ θ Κεντρικι Μονάδα Επεξεργαςίασ (Κ.Μ.Ε.) μποροφςε κάκε ςτιγμι να εκτελεί μόνο ζνα πρόγραμμα τουσ ςφγχρονουσ

Διαβάστε περισσότερα

Είςοδοσ/Εγγραφή ςτη διαχειριςτική ςελίδα του Σχολείου

Είςοδοσ/Εγγραφή ςτη διαχειριςτική ςελίδα του Σχολείου Είςοδοσ/Εγγραφή ςτη διαχειριςτική ςελίδα του Σχολείου Ανοίγουμε τον Browser μασ και πλθκτρολογοφμε τθν ςελίδα http://bontime.gr/ Από τθν αρχικι ςελίδα και κάνοντασ scroll down βλζπουμε τισ επιλογζσ εγγραφισ

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ

ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ Δίκτυα Επικοινωνιϊν ΙΙ Διδάςκων: Απόςτολοσ Γκάμασ (Διδάςκων ΠΔ 407/80) Βοθκόσ Εργαςτθρίου: Δθμιτριοσ Μακρισ Ενδεικτική Λύση 2

Διαβάστε περισσότερα

Πρόςβαςη και δήλωςη μαθημάτων ςτον Εφδοξο

Πρόςβαςη και δήλωςη μαθημάτων ςτον Εφδοξο Πρόςβαςη και δήλωςη μαθημάτων ςτον Εφδοξο Τι πρζπει να γνωρίηω πριν ξεκινιςω τθν διαδικαςία 1. Να ζχω κωδικοφσ από τον Κζντρο Δικτφου του ΤΕΙ Ακινασ (είναι αυτοί με τουσ οποίουσ ζχω πρόςβαςθ ςτο αςφρματο

Διαβάστε περισσότερα

Οδηγίες αναβάθμισης χαρτών

Οδηγίες αναβάθμισης χαρτών Οδηγίες αναβάθμισης χαρτών Για να κάνετε τθν αναβάκμιςθ χαρτϊν Ελλάδοσ κα πρζπει να εγγραφείτε ωσ νζο μζλοσ ςτθν ιςτοςελίδα http://www.mls.gr. 1) Εγγραφή νέου μέλουσ ςτην ιςτοςελίδα αναβαθμίςεων Α) Αντιγράψτε

Διαβάστε περισσότερα

Σύνθεζη LRGB ζηο CCDstack2

Σύνθεζη LRGB ζηο CCDstack2 Σε αυηόν ηον οδηγό θα δούμε πώς κάνουμε LRGB ζύνθεζη ζηο CCDstack2 Ππιν ξεκινήζοςμε παπαθέηυ κάποιερ πληποθοπίερ για ηον εξοπλιζμό μος και ηιρ λήτειρ πος σπηζιμοποιηθήκαν ζηα παπακάηυ βήμαηα. Εξοπλιζμόρ:

Διαβάστε περισσότερα

Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο του Άβακα

Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο του Άβακα Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο του Άβακα Αυτζσ οι οδθγίεσ ζχουν ςτόχο λοιπόν να βοθκιςουν τουσ εκπαιδευτικοφσ να καταςκευάςουν τισ δικζσ τουσ δραςτθριότθτεσ με το μοντζλο του Άβακα. Παρουςίαςη

Διαβάστε περισσότερα

Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο τησ Αριθμογραμμήσ

Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο τησ Αριθμογραμμήσ Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο τησ Αριθμογραμμήσ Αυτζσ οι οδθγίεσ ζχουν ςτόχο να βοθκιςουν τουσ εκπαιδευτικοφσ να καταςκευάςουν τισ δικζσ τουσ δραςτθριότθτεσ με το μοντζλο τθσ Αρικμογραμμισ.

Διαβάστε περισσότερα

Σχεδίαςη Σφγχρονων Ακολουθιακών Κυκλωμάτων

Σχεδίαςη Σφγχρονων Ακολουθιακών Κυκλωμάτων Σχεδίαςη Σφγχρονων Ακολουθιακών Κυκλωμάτων Πίνακεσ Διζγερςησ των FF Όπωσ είδαμε κατά τθ μελζτθ των FF, οι χαρακτθριςτικοί πίνακεσ δίνουν τθν τιμι τθσ επόμενθσ κατάςταςθσ κάκε FF ωσ ςυνάρτθςθ τθσ παροφςασ

Διαβάστε περισσότερα

Electronics μαηί με τα ςυνοδευτικά καλϊδια και το αιςκθτιριο κερμοκραςίασ LM335 που περιζχονται

Electronics μαηί με τα ςυνοδευτικά καλϊδια και το αιςκθτιριο κερμοκραςίασ LM335 που περιζχονται Σομζασ: Ηλεκτρονικόσ Εκπαιδευτικόσ: Μπουλταδάκθσ τζλιοσ Μάθημα: υλλογι και μεταφορά δεδομζνων μζςω Η/Τ, Αιςκθτιρεσ-Ενεργοποιθτζσ Αντικείμενο: α) Μζτρθςθ κερμοκραςίασ με το αιςκθτιριο LM335 και μεταφορά

Διαβάστε περισσότερα

1 Εγκατϊςταςη λογαριαςμού email

1 Εγκατϊςταςη λογαριαςμού email 1 Εγκατϊςταςη λογαριαςμού email 1.1 Εγκατϊςταςη λογαριαςμού ςε Microsoft Office Outlook 2003 1.1.1 Αν δεν χρηςιμοποιεύτε όδη το Outlook. ε περίπτωςθ που δεν ζχετε εγκαταςτιςει άλλο λογαριαςμό ςτο Microsoft

Διαβάστε περισσότερα

Πολυπλέκτες. 0 x 0 F = S x 0 + Sx 1 1 x 1

Πολυπλέκτες. 0 x 0 F = S x 0 + Sx 1 1 x 1 Πολυπλέκτες Ο πολυπλζκτθσ (multipleer - ) είναι ζνα ςυνδυαςτικό κφκλωμα που επιλζγει δυαδικι πλθροφορία μιασ από πολλζσ γραμμζσ ειςόδου και τθν κατευκφνει ςε μια και μοναδικι γραμμι εξόδου. Η επιλογι μιασ

Διαβάστε περισσότερα

SingularLogic Application. Παραμετροποίηση Galaxy Application Server

SingularLogic Application. Παραμετροποίηση Galaxy Application Server Παραμετροποίηση Galaxy Application Server 1 Παραμετροποίηςη Galaxy Application Server Για τθν γριγορθ παραμετροποίθςθ του application server του Galaxy υπάρχει το Glx.Config.exe. Άλλο ςθμείο όπου μπορείτε

Διαβάστε περισσότερα

1. Κατέβαςμα του VirtueMart

1. Κατέβαςμα του VirtueMart 1. Κατέβαςμα του VirtueMart Αρχικό βήμα (προαιρετικό). Κατζβαςμα και αποςυμπίεςη αρχείων VirtueMart ΠΡΟΟΧΗ. Αυτό το βήμα να παρακαμφθεί ςτο εργαςτήριο. Τα αρχεία θα ςασ δοθοφν από τουσ καθηγητζσ ςασ. Οι

Διαβάστε περισσότερα

EUROPEAN TRADESMAN PROJECT

EUROPEAN TRADESMAN PROJECT EUROPEAN TRADESMAN PROJECT NOTES ON ELECTRICAL TESTS OF ELECTRICAL INSTALLATIONS ΚΥΚΛΩΜΑΤΑ ΦΩΤΙΣΜΟΥ Εγκατάςταςη κυκλωμάτων φωτιςμοφ 2 Μια λάμπα που λειτουργεί με ζναν διακόπτη Αυτό είναι το ευκολότερο

Διαβάστε περισσότερα

1. Εγκατάςταςη κειμενογράφου JCE

1. Εγκατάςταςη κειμενογράφου JCE 1. Εγκατάςταςη κειμενογράφου JCE 1.1. Πθγαίνουμε ςτθν ακόλουκθ διεφκυνςθ https://www.joomlacontenteditor.net/downloads/editor/joomla-3 και κατεβάηουμε τον JCE Editor 2.5.8. Εναλλακτικά βρίςκουμε το αρχείο

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ

ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ Δίκτυα Επικοινωνιών ΙΙ Διδάςκων: Απόςτολοσ Γκάμασ (Διδάςκων ΠΔ 407/80) Βοθκόσ Εργαςτθρίου: Δθμιτριοσ Μακρισ Ενδεικτική Λύση 3

Διαβάστε περισσότερα

3 θ διάλεξθ Επανάλθψθ, Επιςκόπθςθ των βαςικϊν γνϊςεων τθσ Ψθφιακισ Σχεδίαςθσ

3 θ διάλεξθ Επανάλθψθ, Επιςκόπθςθ των βαςικϊν γνϊςεων τθσ Ψθφιακισ Σχεδίαςθσ 3 θ διάλεξθ Επανάλθψθ, Επιςκόπθςθ των βαςικϊν γνϊςεων τθσ Ψθφιακισ Σχεδίαςθσ 1 2 3 4 5 6 7 Παραπάνω φαίνεται θ χαρακτθριςτικι καμπφλθ μετάβαςθσ δυναμικοφ (voltage transfer characteristic) για ζναν αντιςτροφζα,

Διαβάστε περισσότερα

ΕΓΧΕΙΡΙΔΙΟ ΕΓΚΑΣΑΣΑΗ ΠΛΑΣΦΟΡΜΑ TUBE

ΕΓΧΕΙΡΙΔΙΟ ΕΓΚΑΣΑΣΑΗ ΠΛΑΣΦΟΡΜΑ TUBE ΕΓΧΕΙΡΙΔΙΟ ΕΓΚΑΣΑΣΑΗ ΠΛΑΣΦΟΡΜΑ TUBE Ζκδοςη 1.2 1 Ειςαγωγή ςτο ςφςτημα Μπαίνουμε ςτο www.datalabs.edu.gr και με κλικ ςτθν καρτζλα tube μεταφερόμαςτε ςτθ ςελίδα του. Κάτω δεξιά μασ ηθτάει να ειςάγουμε το

Διαβάστε περισσότερα

Virtualization. Στο ςυγκεκριμζνο οδηγό, θα παρουςιαςτεί η ικανότητα δοκιμήσ τησ διανομήσ Ubuntu 9.04, χωρίσ την ανάγκη του format.

Virtualization. Στο ςυγκεκριμζνο οδηγό, θα παρουςιαςτεί η ικανότητα δοκιμήσ τησ διανομήσ Ubuntu 9.04, χωρίσ την ανάγκη του format. Virtualization Στο ςυγκεκριμζνο οδηγό, θα παρουςιαςτεί η ικανότητα δοκιμήσ τησ διανομήσ Ubuntu 9.04, χωρίσ την ανάγκη του format. Το virtualization πρόκειται για μια τεχνολογία, θ οποία επιτρζπει το διαχωριςμό

Διαβάστε περισσότερα

1. Διαχείριςη ενθεμάτων

1. Διαχείριςη ενθεμάτων 1. Διαχείριςη ενθεμάτων Άσκηση 1. Μεταφζρετε το Κφριο Μενοφ ςασ ςτα αριςτερά τθσ ιςτοςελίδασ, τα ενκζματα Popular Tags και Login Form ςτα δεξιά τθσ ιςτοςελίδασ και αποκρφψτε το ζνκεμα Latest Articles Για

Διαβάστε περισσότερα

Εγχειρίδιο Χρήςησ Προςωποποιημζνων Υπηρεςιών Γ.Ε.ΜΗ. (Εθνικό Τυπογραφείο)

Εγχειρίδιο Χρήςησ Προςωποποιημζνων Υπηρεςιών Γ.Ε.ΜΗ. (Εθνικό Τυπογραφείο) Εγχειρίδιο Χρήςησ Προςωποποιημζνων Υπηρεςιών Γ.Ε.ΜΗ. (Εθνικό Τυπογραφείο) Ιοφνιοσ 2013 Περιεχόμενα: Ειςαγωγή... 3 1.Εθνικό Τυπογραφείο... 3 1.1. Είςοδοσ... 3 1.2. Αρχική Οθόνη... 4 1.3. Διεκπεραίωςη αίτηςησ...

Διαβάστε περισσότερα

Lab 2 Manual - Introduction to Xilinx

Lab 2 Manual - Introduction to Xilinx Lab 2 Manual - Introduction to Xilinx Εισαγωγή Σε αυτό το εργαστήριο θα κάνουµε εισαγωγή στην γλωσσά προγραµµατισµού VHDL και εργαλείο Xilinx ISE. ISE είναι το εργαλείο που παρέχεται από Xilinx για να

Διαβάστε περισσότερα

Δείκτεσ Διαχείριςθ Μνιμθσ. Βαγγζλθσ Οικονόμου Διάλεξθ 8

Δείκτεσ Διαχείριςθ Μνιμθσ. Βαγγζλθσ Οικονόμου Διάλεξθ 8 Δείκτεσ Διαχείριςθ Μνιμθσ Βαγγζλθσ Οικονόμου Διάλεξθ 8 Δείκτεσ Κάκε μεταβλθτι ςχετίηεται με μία κζςθ ςτθν κφρια μνιμθ του υπολογιςτι. Κάκε κζςθ ςτθ μνιμθ ζχει τθ δικι τθσ ξεχωριςτι διεφκυνςθ. Με άμεςθ

Διαβάστε περισσότερα

ΛΕΙΤΟΥΓΙΚΆ ΣΥΣΤΉΜΑΤΑ. 5 ο Εργαςτιριο Ειςαγωγι ςτθ Γραμμι Εντολϊν

ΛΕΙΤΟΥΓΙΚΆ ΣΥΣΤΉΜΑΤΑ. 5 ο Εργαςτιριο Ειςαγωγι ςτθ Γραμμι Εντολϊν ΛΕΙΤΟΥΓΙΚΆ ΣΥΣΤΉΜΑΤΑ 5 ο Εργαςτιριο Ειςαγωγι ςτθ Γραμμι Εντολϊν Τι είναι θ Γραμμι Εντολϊν (1/6) Στουσ πρϊτουσ υπολογιςτζσ, και κυρίωσ από τθ δεκαετία του 60 και μετά, θ αλλθλεπίδραςθ του χριςτθ με τουσ

Διαβάστε περισσότερα

Εγχειρίδιο Χρήςησ Support

Εγχειρίδιο Χρήςησ Support Εγχειρίδιο Χρήςησ Support Περιεχόμενα 1) Αρχικι Σελίδα...2 2) Φόρμα Σφνδεςθσ...2 3) Μετά τθ ςφνδεςθ...2 4) Λίςτα Υποκζςεων...3 5) Δθμιουργία Νζασ Υπόκεςθσ...4 6) Σελίδα Υπόκεςθσ...7 7) Αλλαγι Κωδικοφ...9

Διαβάστε περισσότερα

ΟΝΟΜΑΣΟΛΟΓΙΑ ΠΑΡΑΜΕΣΡΩΝ ΓΙΑ ΠΡΟΑΡΜΟΜΕΝΕ ΑΝΑΦΟΡΕ. @XXX@_<όνομα παραμζτρου> (Εμφανίηεται ςαν Caption ςτθν φόρμα των φίλτρων).

ΟΝΟΜΑΣΟΛΟΓΙΑ ΠΑΡΑΜΕΣΡΩΝ ΓΙΑ ΠΡΟΑΡΜΟΜΕΝΕ ΑΝΑΦΟΡΕ. @XXX@_<όνομα παραμζτρου> (Εμφανίηεται ςαν Caption ςτθν φόρμα των φίλτρων). ΟΝΟΜΑΣΟΛΟΓΙΑ ΠΑΡΑΜΕΣΡΩΝ ΓΙΑ ΠΡΟΑΡΜΟΜΕΝΕ ΑΝΑΦΟΡΕ. @XXX@_ (Εμφανίηεται ςαν Caption ςτθν φόρμα των φίλτρων). Βαςικοί παράμετροι @EDT@_ @CHK@_ @CXD@_ @CXDC@_ @CMB@_ @CHKLB@_ Παράμετροσ που

Διαβάστε περισσότερα

ΗΛΕΚΣΡΟΝΙΚΗ ΤΠΗΡΕΙΑ ΑΠΟΚΣΗΗ ΑΚΑΔΗΜΑΪΚΗ ΣΑΤΣΟΣΗΣΑ

ΗΛΕΚΣΡΟΝΙΚΗ ΤΠΗΡΕΙΑ ΑΠΟΚΣΗΗ ΑΚΑΔΗΜΑΪΚΗ ΣΑΤΣΟΣΗΣΑ ΗΛΕΚΣΡΟΝΙΚΗ ΤΠΗΡΕΙΑ ΑΠΟΚΣΗΗ ΑΚΑΔΗΜΑΪΚΗ ΣΑΤΣΟΣΗΣΑ Οδηγός Χρήσης Εφαρμογής Ελέγχου Προσφορών Αφοφ πιςτοποιθκεί ο λογαριαςμόσ που δθμιουργιςατε ςτο πρόγραμμα ωσ Πάροχοσ Προςφορϊν, κα λάβετε ζνα e-mail με

Διαβάστε περισσότερα

Εγχειρίδιο Χρήςησ Προςωποποιημζνων Υπηρεςιών Γ.Ε.ΜΗ. (Εθνικό Τυπογραφείο)

Εγχειρίδιο Χρήςησ Προςωποποιημζνων Υπηρεςιών Γ.Ε.ΜΗ. (Εθνικό Τυπογραφείο) Εγχειρίδιο Χρήςησ Προςωποποιημζνων Υπηρεςιών Γ.Ε.ΜΗ. (Εθνικό Τυπογραφείο) Πάτρα, 2013 Περιεχόμενα: Ειςαγωγή... 4 1. Επιμελητήριο... Error! Bookmark not defined. 1.1 Διαχειριςτήσ Αιτήςεων Επιμελητηρίου...

Διαβάστε περισσότερα

Οδηγίες εγκατάστασης. Εκπαιδευτικού Λογισμικού του Γυμνασίου

Οδηγίες εγκατάστασης. Εκπαιδευτικού Λογισμικού του Γυμνασίου Οδηγίες εγκατάστασης Εκπαιδευτικού Λογισμικού του Γυμνασίου Το λογισμικό που αναφέρεται είναι αυτό που συνοδεύει τα netbook καθηγητών μαθητών του Γυμνασίου. Αν δεν υπάρχει ήδη στους υπολογιστές μπορούμε

Διαβάστε περισσότερα

Εφαρμογές Ψηφιακών Ηλεκτρονικών

Εφαρμογές Ψηφιακών Ηλεκτρονικών ΑΣΚΗΣΗ 1 Εφαρμογές Ψηφιακών Ηλεκτρονικών Εργαστήριο Ηλεκτρονικής Τηλεπικοινωνιών και Εφαρμογών, Τμήμα Φυσικής, Πανεπιστήμιο Ιωαννίνων Βασίλης Χριστοφιλάκης 1 ΑΣΚΗΣΗ 1: ΕΙΣΑΓΩΓΗ ΣΤ Η ΨΗΦΙΑΚΗ ΣΧΕΔΙΑΣΗ ΜΕΣΩ

Διαβάστε περισσότερα

DIOSCOURIDES VERSION

DIOSCOURIDES VERSION DIOSCOURIDES VERSION 2.15.29 ΑΛΛΑΓΗ ΥΠΑ ΚΑΙ & ΕΠΑΝΤΠΟΛΟΓΙΜΟ ΛΙΑΝΙΚΗ ΣΙΜΗ ΠΑΡΑΥΑΡΜΑΚΩΝ Για τθν τροποποίθςθ των παραπάνω ςτοιχείων ςτθ νζα ζκδοςθ ςασ δίνουμε τθ δυνατότθτα να αλλάξετε το ΦΠΑ και τθ λιανικι

Διαβάστε περισσότερα

ηµιουργία Αρχείου Πρότζεκτ (.qpf)

ηµιουργία Αρχείου Πρότζεκτ (.qpf) Εργαστήριο Ψηφιακών Συστηµάτων ΗΜΥ211 Εισαγωγή στο λογισµικό Quartus II v13 web edition 1 ηµιουργία Αρχείου Πρότζεκτ (.qpf) Με την εκκίνηση της εφαρµογής Quartus II v13.0 SP1 web edition, επιλέξτε File

Διαβάστε περισσότερα

ΡΟΓΑΜΜΑΤΙΣΤΙΚΟ ΡΕΙΒΑΛΛΟΝ MICRO WORLDS PRO

ΡΟΓΑΜΜΑΤΙΣΤΙΚΟ ΡΕΙΒΑΛΛΟΝ MICRO WORLDS PRO ΡΟΓΑΜΜΑΤΙΣΤΙΚΟ ΡΕΙΒΑΛΛΟΝ MICRO WORLDS PRO Το Micro Worlds Pro είναι ζνα ολοκλθρωμζνο περιβάλλον προγραμματιςμοφ. Χρθςιμοποιεί τθ γλϊςςα προγραμματιςμοφ Logo (εξελλθνιςμζνθ) Το Micro Worlds Pro περιλαμβάνει

Διαβάστε περισσότερα

ΔΙΑΔΙΚΑΙΑ ΑΛΛΑΓΗ ΦΟΡΩΝ

ΔΙΑΔΙΚΑΙΑ ΑΛΛΑΓΗ ΦΟΡΩΝ ΔΙΑΔΙΚΑΙΑ ΑΛΛΑΓΗ ΦΟΡΩΝ Αγαπθτοί ςυνεργάτεσ, τισ 01/09/2011 το φαγθτό ςτα επιςιτιςτικά προϊόντα αλλάηει ςυντελεςτι ΦΠΑ από 13% ςε 23% και για τα νθςιά από 9% ςε 16% αντίςτοιχα. Εφ όςον δεν γίνεται προςκικθ

Διαβάστε περισσότερα

Διαδικασία Δημιοσργίας Ειδικών Λογαριασμών. (v.1.0.7)

Διαδικασία Δημιοσργίας Ειδικών Λογαριασμών. (v.1.0.7) Διαδικασία Δημιοσργίας Ειδικών Λογαριασμών (v.1.0.7) 1 Περίληψη Το ςυγκεκριμζνο εγχειρίδιο δημιουργήθηκε για να βοηθήςει την κατανόηςη τησ διαδικαςίασ δημιουργίασ ειδικών λογαριαςμών. Παρακάτω προτείνεται

Διαβάστε περισσότερα

Διαχείριςη Εκπαιδευτικού Υλικού (ΠΑΚΕ) Πληροφοριακό Σύςτημα (MIS)

Διαχείριςη Εκπαιδευτικού Υλικού (ΠΑΚΕ) Πληροφοριακό Σύςτημα (MIS) Διαχείριςη Εκπαιδευτικού Υλικού (ΠΑΚΕ) Πληροφοριακό Σύςτημα (MIS) Ειςαγωγή Οι λειτουργίεσ διαχείριςθσ εκπαιδευτικοφ υλικοφ των ΠΑΚΕ διατίκενται ωσ εργαλείο ςτο Πλθροφοριακό Σφςτθμα (MIS) για τθ δθμιουργία

Διαβάστε περισσότερα

ΕΦΑΡΜΟΓΕ ΒΑΕΩΝ ΔΕΔΟΜΕΝΩΝ ΣΗ ΝΟΗΛΕΤΣΙΚΗ. Φιλιοποφλου Ειρινθ

ΕΦΑΡΜΟΓΕ ΒΑΕΩΝ ΔΕΔΟΜΕΝΩΝ ΣΗ ΝΟΗΛΕΤΣΙΚΗ. Φιλιοποφλου Ειρινθ ΕΦΑΡΜΟΓΕ ΒΑΕΩΝ ΔΕΔΟΜΕΝΩΝ ΣΗ ΝΟΗΛΕΤΣΙΚΗ Φιλιοποφλου Ειρινθ Προςθήκη νζων πεδίων Ασ υποκζςουμε ότι μετά τθ δθμιουργία του πίνακα αντιλαμβανόμαςτε ότι ζχουμε ξεχάςει κάποια πεδία. Είναι ζνα πρόβλθμα το οποίο

Διαβάστε περισσότερα

Σύ ντομος Οδηγο ς χρη σης wikidot για τα projects

Σύ ντομος Οδηγο ς χρη σης wikidot για τα projects Σύ ντομος Οδηγο ς χρη σης wikidot για τα projects Ειςαγωγή κοπόσ αυτοφ του κειμζνου είναι να δϊςει ςφντομεσ οδθγίεσ για τθν επεξεργαςία των ςελίδων του wiki τθσ ερευνθτικισ εργαςίασ. Πλιρθσ οδθγόσ για

Διαβάστε περισσότερα

ASSOCIATE PLATINUM V7+ USER MANUAL

ASSOCIATE PLATINUM V7+ USER MANUAL 1 1. Καταγραφι γενικϊν παραμζτρων του ςυςτιματοσ 2. Εξζταςθ αςκενι και διαχείριςθ αρχείου αςκενϊν 3. Εκτφπωςθ κλινικισ εξζταςθσ 4. Κατάλογοσ και επιλογι ορκωτικοφ 5. Διαχείριςθ και Αποςτολι παραγγελιϊν

Διαβάστε περισσότερα

Αυτόνομοι Πράκτορες. Αναφορά Εργασίας Εξαμήνου. Το αστέρι του Aibo και τα κόκαλα του

Αυτόνομοι Πράκτορες. Αναφορά Εργασίας Εξαμήνου. Το αστέρι του Aibo και τα κόκαλα του Αυτόνομοι Πράκτορες Αναφορά Εργασίας Εξαμήνου Το αστέρι του Aibo και τα κόκαλα του Jaohar Osman Η πρόταςθ εργαςίασ που ζκανα είναι το παρακάτω κείμενο : - ξ Aibo αγαπάει πάρα πξλύ ρα κόκαλα και πάμρα ρα

Διαβάστε περισσότερα

2

2 1 2 3 Η βαςικι λειτουργία του τρανηίςτορ είναι να διακόπτει ι να επιτρζπει τθν παροχι ρεφματοσ μεταξφ των δυο του άκρων, βάςθ του δυναμικοφ ςτθν πφλθ του, είναι δθλαδι ζνασ θλεκτρικόσ διακόπτθσ ελεγχόμενοσ

Διαβάστε περισσότερα

Σμιμα Marketing & Sales

Σμιμα Marketing & Sales Σμιμα Marketing & Sales Ζλενα Μουρτηοφκου εςωτ. 322 Ιωάννα ουλαχάκθ εςωτ. 324 Σύντομες Οδηγίες Χρήσης VIDEO Συσκευής AddPac VP-280 Για το Λζκκα 23-25, 105 62, Ακινα Σθλ: +30 211 800 3638 Fax: +30 210 322

Διαβάστε περισσότερα

Εγχειρίδιο Χριςθσ τθσ διαδικτυακισ εφαρμογισ «Υποβολι και παρακολοφκθςθ τθσ ζγκριςθσ Εκπαιδευτικών Πακζτων»

Εγχειρίδιο Χριςθσ τθσ διαδικτυακισ εφαρμογισ «Υποβολι και παρακολοφκθςθ τθσ ζγκριςθσ Εκπαιδευτικών Πακζτων» Εγχειρίδιο Χριςθσ τθσ διαδικτυακισ εφαρμογισ «Υποβολι και παρακολοφκθςθ τθσ ζγκριςθσ Εκπαιδευτικών Πακζτων» Το Πλθροφοριακό Σφςτθμα τθσ δράςθσ «e-κπαιδευτείτε» ζχει ςτόχο να αυτοματοποιιςει τισ ακόλουκεσ

Διαβάστε περισσότερα

ΔΙΑΔΙΚΑΙΑ ΚΑΙ ΡΤΘΜΙΕΙ ΓΙΑ ΤΝΔΕΗ ΣΟ INTRANET ΣΟΤ ΕΚΕΣΑ-ΙΣΧΗΔ

ΔΙΑΔΙΚΑΙΑ ΚΑΙ ΡΤΘΜΙΕΙ ΓΙΑ ΤΝΔΕΗ ΣΟ INTRANET ΣΟΤ ΕΚΕΣΑ-ΙΣΧΗΔ ΔΙΑΔΙΚΑΙΑ ΚΑΙ ΡΤΘΜΙΕΙ ΓΙΑ ΤΝΔΕΗ ΣΟ INTRANET ΣΟΤ ΕΚΕΣΑ-ΙΣΧΗΔ Πρόλογος Τα ςθμεία αςφρματθσ δικτυακισ ςφνδεςθσ του ΕΚΕΤΑ (WiFi access points) και κατά ςυνζπεια και του ΙΤΧΗΔ, δθμοςιεφουν δφο δίκτυα. 1. Το

Διαβάστε περισσότερα

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 1

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 1 Τμήμα Μησανικών Πληποφοπικήρ, Τ.Ε.Ι. Ηπείπος Ακαδημαϊκό Έτορ 2016-2017, 6 ο Εξάμηνο Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 1 Διδάςκων Τςιακμάκθσ Κυριάκοσ, Phd MSc in Electronic Physics (Radioelectrology)

Διαβάστε περισσότερα

ΤΙΤΛΟΣ: "SWITCH-ΠΩ ΝΑ ΚΑΣΑΦΕΡΕΙ ΣΗΝ ΑΛΛΑΓΗ ΟΣΑΝ Η ΑΛΛΑΓΗ ΕΙΝΑΙ ΔΤΚΟΛΗ" Σσγγραφείς: Chip Heath & Dan Heath. Εκδόζεις: Κσριάκος Παπαδόποσλος/ΕΕΔΕ

ΤΙΤΛΟΣ: SWITCH-ΠΩ ΝΑ ΚΑΣΑΦΕΡΕΙ ΣΗΝ ΑΛΛΑΓΗ ΟΣΑΝ Η ΑΛΛΑΓΗ ΕΙΝΑΙ ΔΤΚΟΛΗ Σσγγραφείς: Chip Heath & Dan Heath. Εκδόζεις: Κσριάκος Παπαδόποσλος/ΕΕΔΕ ΤΙΤΛΟΣ: "SWITCH-ΠΩ ΝΑ ΚΑΣΑΦΕΡΕΙ ΣΗΝ ΑΛΛΑΓΗ ΟΣΑΝ Η ΑΛΛΑΓΗ ΕΙΝΑΙ ΔΤΚΟΛΗ" Σσγγραφείς: Chip Heath & Dan Heath Εκδόζεις: Κσριάκος Παπαδόποσλος/ΕΕΔΕ www.dimitrazervaki.com Περιεχόμενα ΣΡΕΙ ΑΝΑΠΑΝΣΕΧΕ ΔΙΑΠΙΣΩΕΙ

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ

ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΕΛΟΠΟΝΝΗΣΟΥ ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΤΗΛΕΠΙΚΟΙΝΩΝΙΩΝ Δίκτυα Επικοινωνιών ΙΙ Διδάςκων: Απόςτολοσ Γκάμασ (Διδάςκων ΠΔ 407/80) Βοθκόσ Εργαςτθρίου: Δθμιτριοσ Μακρισ Ενδεικτική Λύση 1

Διαβάστε περισσότερα

ΟΔΗΓΙΕ ΕΓΚΑΣΑΣΑΗ ΣΗ ΕΦΑΡΜΟΓΗ RCFORB (CLIENT)

ΟΔΗΓΙΕ ΕΓΚΑΣΑΣΑΗ ΣΗ ΕΦΑΡΜΟΓΗ RCFORB (CLIENT) ΒΗΜΑ 1 Πθγαίνουμε αρχικά ςτθν ιςτοςελίδα http://www.remotehams.com και πατάμε το κουμπί SIGN UP για να κάνουμε εγγραφι. ΒΗΜΑ 2 Επιλζγουμε το κουμπί που δείχνει το κόκκινο βελάκι για να δθλώςουμε ότι είμαςτε

Διαβάστε περισσότερα

Ιδιότθτεσ πεδίων Γενικζσ.

Ιδιότθτεσ πεδίων Γενικζσ. Οι ιδιότθτεσ των πεδίων διαφζρουν ανάλογα με τον τφπο δεδομζνων που επιλζγουμε. Ορίηονται ςτο κάτω μζροσ του παρακφρου ςχεδίαςθσ του πίνακα, ςτθν καρτζλα Γενικζσ. Ιδιότθτα: Μζγεκοσ πεδίου (Field size)

Διαβάστε περισσότερα

ςυςτιματα γραμμικϊν εξιςϊςεων

ςυςτιματα γραμμικϊν εξιςϊςεων κεφάλαιο 7 Α ςυςτιματα γραμμικϊν εξιςϊςεων αςικζσ ζννοιεσ Γραμμικά, λζγονται τα ςυςτιματα εξιςϊςεων ςτα οποία οι άγνωςτοι εμφανίηονται ςτθν πρϊτθ δφναμθ. Σα γραμμικά ςυςτιματα με δφο εξιςϊςεισ και δφο

Διαβάστε περισσότερα

Σημειώσεις Εργαστηρίου - Παρουσίαση Εργαλείων

Σημειώσεις Εργαστηρίου - Παρουσίαση Εργαλείων Εργαςτήριο Σχεδιαςμού Ολοκληρωμένων Κυκλωμάτων Σημειώσεις Εργαστηρίου - Παρουσίαση Εργαλείων Σχεδιαςμόσ Ολοκληρωμένων Συςτημάτων με Τεχνικέσ VLSI (VLSI-III) [ΠΡΩΣΟ ΜΕΡΟ] υγγραφή - Επιμέλεια: Γιώργος Σ.

Διαβάστε περισσότερα

Διαδικαςία Προγράμματοσ Ωρομζτρθςθσ. (v.1.0.7)

Διαδικαςία Προγράμματοσ Ωρομζτρθςθσ. (v.1.0.7) (v.1.0.7) 1 Περίλθψθ Σο ςυγκεκριμζνο εγχειρίδιο δθμιουργικθκε για να βοθκιςει τθν κατανόθςθ τθσ Διαδικαςίασ Προγράμματοσ Ωρομζτρθςθσ. Παρακάτω προτείνεται μια αλλθλουχία ενεργειϊν τθν οποία ο χριςτθσ πρζπει

Διαβάστε περισσότερα

Οδθγίεσ εγκατάςταςθσ και ρυκμίςεισ του ηυγοφ DIGI SM100

Οδθγίεσ εγκατάςταςθσ και ρυκμίςεισ του ηυγοφ DIGI SM100 Οδθγίεσ εγκατάςταςθσ και ρυκμίςεισ του ηυγοφ DIGI SM100 ΠΕΡΙΕΧΟΜΕΝΑ Γενικά Είςοδοσ ςτο πρόγραμμα Ρυιμίςεισ ζυγοφ Αλλαγι IP διεφκυνςθσ ηυγοφ Ρυκμίςεισ επικοινωνίασ Αποκικευςθ Ρυιμίςεισ εφαρμογθσ DIGICOM

Διαβάστε περισσότερα

Γίνετε μζλοσ τθσ ομάδασ Panoramio του

Γίνετε μζλοσ τθσ ομάδασ Panoramio του Γίνετε μζλοσ τθσ ομάδασ Panoramio του generations@school Ρροςκζςτε τισ φωτογραφίεσ ςασ ςτο generations@school Επειδι κζλουμε να μπορζςουν όλοι να δουν τα όςα ςπουδαία ςυνζβθςαν κατά τθ διάρκεια τθσ εκδιλωςθσ

Διαβάστε περισσότερα

Visual C Express - Οδηγός Χρήσης

Visual C Express - Οδηγός Χρήσης Visual C++ 2008 Express - Οδηγός Χρήσης Ζερβός Μιχάλης, Πρίντεζης Νίκος Σκοπόσ του οδθγοφ αυτοφ είναι να παρουςιάςει τισ βαςικζσ δυνατότθτεσ του Visual C++ 2008 Express Edition και πωσ μπορεί να χρθςιμοποιθκεί

Διαβάστε περισσότερα

Megatron ERP Βάςη δεδομζνων Π/Φ - κατηγοριοποίηςη Databox

Megatron ERP Βάςη δεδομζνων Π/Φ - κατηγοριοποίηςη Databox Megatron ERP Βάςη δεδομζνων Π/Φ - κατηγοριοποίηςη Databox 03 05 ΙΛΤΔΑ ΠΛΗΡΟΦΟΡΙΚΗ Α.Ε. αρμά Ιηαμπζλλα Βαρλάμθσ Νίκοσ Ειςαγωγι... 1 Σι είναι το Databox...... 1 Πότε ανανεϊνεται...... 1 Μπορεί να εφαρμοςτεί

Διαβάστε περισσότερα

Ακολουκιακά Λογικά Κυκλώματα

Ακολουκιακά Λογικά Κυκλώματα Ακολουκιακά Λογικά Κυκλώματα Τα ψθφιακά λογικά κυκλϊματα που μελετιςαμε μζχρι τϊρα ιταν ςυνδυαςτικά κυκλϊματα. Στα ςυνδυαςτικά κυκλϊματα οι ζξοδοι ςε κάκε χρονικι ςτιγμι εξαρτϊνται αποκλειςτικά και μόνο

Διαβάστε περισσότερα

Εφδοξοσ+ Συνδεκείτε ςτθν Εφαρμογι Φοιτθτϊν και μεταβείτε ςτθ ςελίδα «Ανταλλαγι Βιβλίων (Εφδοξοσ+)».

Εφδοξοσ+ Συνδεκείτε ςτθν Εφαρμογι Φοιτθτϊν και μεταβείτε ςτθ ςελίδα «Ανταλλαγι Βιβλίων (Εφδοξοσ+)». Εφδοξοσ+ Διαθζτοντασ βιβλία μζςω του «Εφδοξοσ+» Συνδεκείτε ςτθν Εφαρμογι Φοιτθτϊν και μεταβείτε ςτθ ςελίδα «Ανταλλαγι Βιβλίων (Εφδοξοσ+)». Εμφανίηεται θ λίςτα με όλα ςασ τα βιβλία. Από εδϊ μπορείτε: -

Διαβάστε περισσότερα

Ελλθνικι Δθμοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 13 : Άλλοι Μετρθτζσ Φϊτιοσ Βαρτηιϊτθσ

Ελλθνικι Δθμοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 13 : Άλλοι Μετρθτζσ Φϊτιοσ Βαρτηιϊτθσ Ελλθνικι Δθμοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Ψθφιακά Ηλεκτρονικά Ενότθτα 13 : Άλλοι Μετρθτζσ Φϊτιοσ Βαρτηιϊτθσ 1 Ανοιχτά Τμιμα Ψθφιακά Ηλεκτρονικά Ενότητα 13: Άλλοι Μετρθτζσ Φϊτιοσ Βαρτηιϊτθσ

Διαβάστε περισσότερα

ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΠΟΛΟΓΙΣΤΗ. ΚΕΦΑΛΑΙΟ 6: Το γραφικό περιβάλλον Επικοινωνίασ (Γ.Π.Ε)

ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΠΟΛΟΓΙΣΤΗ. ΚΕΦΑΛΑΙΟ 6: Το γραφικό περιβάλλον Επικοινωνίασ (Γ.Π.Ε) ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΠΟΛΟΓΙΣΤΗ ΚΕΦΑΛΑΙΟ 6: Το γραφικό περιβάλλον Επικοινωνίασ (Γ.Π.Ε) Γραφικό Περιβάλλον Επικοινωνίασ Περιβάλλον Εντολϊν Γραμμισ (Graphical User Interface/GUI), (Command Line Interface),

Διαβάστε περισσότερα

1 Περίληψη Η εργασία έγινε στα πλαίσια του μαθήματος των Ψηφιακών Ηλεκτρονικών Συστημάτων με σκοπό αρχικά την εκμάθηση της γλώσσας VHDL (Very High Spe

1 Περίληψη Η εργασία έγινε στα πλαίσια του μαθήματος των Ψηφιακών Ηλεκτρονικών Συστημάτων με σκοπό αρχικά την εκμάθηση της γλώσσας VHDL (Very High Spe Εργασία στα Ψηφιακά Ηλεκτρονικά Συστήματα 2013-2014 Θέμα: Κατασκευή και Ανάλυση Μετρητή 4-bit και Πλήρους Αθροιστή σε περιβάλλον VHDL Ονοματεπώνυμο: Αλέξανδρος Γεώργιος Μουντογιαννάκης Σχολή: Τμήμα Επιστήμης

Διαβάστε περισσότερα

ΤΕΛ ΚΘΤΘΣ - ΤΜΘΜΑ ΜΘΧΑΝΛΚΩΝ ΡΛΘΟΦΟΛΚΘΣ ΑΝΑΡΤΥΞΘ ΣΥΣΤΘΜΑΤΟΣ ΚΑΤΑΝΕΜΘΜΕΝΘΣ ΜΝΘΜΘΣ ΣΕ ΡΟΛΥΡΥΘΝΑ ΕΝΣΩΜΑΤΩΜΕΝΑ ΣΥΣΤΘΜΑΤΑ.

ΤΕΛ ΚΘΤΘΣ - ΤΜΘΜΑ ΜΘΧΑΝΛΚΩΝ ΡΛΘΟΦΟΛΚΘΣ ΑΝΑΡΤΥΞΘ ΣΥΣΤΘΜΑΤΟΣ ΚΑΤΑΝΕΜΘΜΕΝΘΣ ΜΝΘΜΘΣ ΣΕ ΡΟΛΥΡΥΘΝΑ ΕΝΣΩΜΑΤΩΜΕΝΑ ΣΥΣΤΘΜΑΤΑ. Θράκλειο - 2015 ΤΕΛ ΚΘΤΘΣ - ΤΜΘΜΑ ΜΘΧΑΝΛΚΩΝ ΡΛΘΟΦΟΛΚΘΣ ΑΝΑΡΤΥΞΘ ΣΥΣΤΘΜΑΤΟΣ ΚΑΤΑΝΕΜΘΜΕΝΘΣ ΜΝΘΜΘΣ ΣΕ ΡΟΛΥΡΥΘΝΑ ΕΝΣΩΜΑΤΩΜΕΝΑ ΣΥΣΤΘΜΑΤΑ Δθμιτριοσ Βουρβουλάκθσ Α.Μ: 2418, Ραναγιϊτθσ Χριςτοδοφλου Α.Μ: 2639 Επιβλζπων

Διαβάστε περισσότερα

Οδηγός χρήσης Blackboard Learning System για φοιτητές

Οδηγός χρήσης Blackboard Learning System για φοιτητές Οδηγός χρήσης Blackboard Learning System για φοιτητές Ειςαγωγή Το Blackboard Learning System είναι ζνα ολοκλθρωμζνο ςφςτθμα διαχείριςθσ μακθμάτων (Course Management System). Στισ δυνατότθτεσ του Blackboard

Διαβάστε περισσότερα

ΟΔΗΓΙΕ ΓΙΑ ΣΗ ΔΗΜΙΟΤΡΓΙΑ ΚΑΙ ΣΗΝ ΤΠΟΒΟΛΗ ΑΙΣΗΗ ΓΙΑ «ΚΟΤΠΟΝΙ ΚΑΙΝΟΣΟΜΙΑ»

ΟΔΗΓΙΕ ΓΙΑ ΣΗ ΔΗΜΙΟΤΡΓΙΑ ΚΑΙ ΣΗΝ ΤΠΟΒΟΛΗ ΑΙΣΗΗ ΓΙΑ «ΚΟΤΠΟΝΙ ΚΑΙΝΟΣΟΜΙΑ» ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΣΙΑ ΤΠΟΤΡΓΕΙΟ ΑΝΑΠΣΤΞΗ ΓΕΝΙΚΗ ΓΡΑΜΜΑΣΕΙΑ ΕΡΕΤΝΑ ΚΑΙ ΣΕΧΝΟΛΟΓΙΑ ΕΠΙΧΕΙΡΗΙΑΚΑ ΠΡΟΓΡΑΜΜΑΣΑ: ΑΝΣΑΓΩΝΙΣΙΚΟΣΗΣΑ & ΕΠΙΧΕΙΡΗΜΑΣΙΚΟΣΗΣΑ & ΠΕΡΙΦΕΡΕΙΩΝ Ε ΜΕΣΑΒΑΗ ΔΡΑΗ ΕΘΝΙΚΗ ΕΜΒΕΛΕΙΑ «ΚΟΤΠΟΝΙΑ ΚΑΙΝΟΣΟΜΙΑ

Διαβάστε περισσότερα

Εγκατάσταση «Μισθός 2005»

Εγκατάσταση «Μισθός 2005» Εγκατάσταση «Μισθός 2005» Έκδοση 8.5 ΟΔΗΓΙΕΣ ΕΓΚΑΤΑΣΤΑΣΗΣ Βιμα 1 ο. Κάνουμε φφλαξθ των αρχείων από τθν προθγοφμενθ ζκδοςθ του προγράμματοσ. Εργαλεία Φφλαξθ c:\msteuro\20111001 *Εντάξει+ Όποσ: 20111001

Διαβάστε περισσότερα

Οδηγόσ εγκατάςταςησ και ενεργοποίηςησ

Οδηγόσ εγκατάςταςησ και ενεργοποίηςησ Οδηγόσ εγκατάςταςησ και ενεργοποίηςησ Ευχαριςτοφμε που επιλζξατε το memoq 4.5, το πρωτοκλαςάτο περιβάλλον μετάφραςθσ για ελεφκερουσ επαγγελματίεσ μεταφραςτζσ, μεταφραςτικά γραφεία και επιχειριςεισ. Αυτό

Διαβάστε περισσότερα

Σφςτημα Κεντρικήσ Υποςτήριξησ τησ Πρακτικήσ Άςκηςησ Φοιτητών ΑΕΙ

Σφςτημα Κεντρικήσ Υποςτήριξησ τησ Πρακτικήσ Άςκηςησ Φοιτητών ΑΕΙ Σφςτημα Κεντρικήσ Υποςτήριξησ τησ Πρακτικήσ Άςκηςησ Φοιτητών ΑΕΙ Οδηγόσ Χρήςησ Εφαρμογήσ Φορζων Υποδοχήσ Πρακτικήσ Άςκηςησ Αφοφ πιςτοποιθκεί ο λογαριαςμόσ που δθμιουργιςατε ςτο πρόγραμμα «Άτλασ» ωσ Φορζασ

Διαβάστε περισσότερα

My Tax Friend 3 Manual. Οδθγίεσ Χριςθσ My Tax Friend 3. Περιγραφι τθσ βαςικισ οκόνθσ και των κυριότερων λειτουργιϊν τθσ εφαρμογισ My Tax Friend 3.

My Tax Friend 3 Manual. Οδθγίεσ Χριςθσ My Tax Friend 3. Περιγραφι τθσ βαςικισ οκόνθσ και των κυριότερων λειτουργιϊν τθσ εφαρμογισ My Tax Friend 3. My Tax Friend 3 Manual Οδθγίεσ Χριςθσ My Tax Friend 3 Περιγραφι τθσ βαςικισ οκόνθσ και των κυριότερων λειτουργιϊν τθσ εφαρμογισ My Tax Friend 3. Λογιστικό γραφείο Βλαδίμηρου Ι. Ίτσιου copyright 2011-2014

Διαβάστε περισσότερα

ΟΔΗΓΙΕ ΓΙΑ ΣΗΝ ΕΙΑΓΩΓΗ ΕΚΔΡΟΜΩΝ & ΝΕΩΝ - ΑΝΑΚΟΙΝΩΕΩΝ ΣΗΝ ΙΣΟΕΛΙΔΑ ΣΗ Δ.Δ.Ε. ΘΕΠΡΩΣΙΑ

ΟΔΗΓΙΕ ΓΙΑ ΣΗΝ ΕΙΑΓΩΓΗ ΕΚΔΡΟΜΩΝ & ΝΕΩΝ - ΑΝΑΚΟΙΝΩΕΩΝ ΣΗΝ ΙΣΟΕΛΙΔΑ ΣΗ Δ.Δ.Ε. ΘΕΠΡΩΣΙΑ ΟΔΗΓΙΕ ΓΙΑ ΣΗΝ ΕΙΑΓΩΓΗ ΕΚΔΡΟΜΩΝ & ΝΕΩΝ - ΑΝΑΚΟΙΝΩΕΩΝ ΣΗΝ ΙΣΟΕΛΙΔΑ ΣΗ Δ.Δ.Ε. ΘΕΠΡΩΣΙΑ ΕΙΑΓΩΓΗ Ο νζοσ δικτυακόσ τόποσ τθσ Δ.Δ.Ε. Θεςπρωτίασ παρζχει πλζον τθ δυνατότθτα τθσ καταχϊρθςθσ νζων, ειδιςεων και

Διαβάστε περισσότερα

Ενσωματωμένα Συστήματα

Ενσωματωμένα Συστήματα Ενσωματωμένα Συστήματα Ενότητα: ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ Νο 9 Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

Διαβάστε περισσότερα

Παράςταςη ακεραίων ςτο ςυςτημα ςυμπλήρωμα ωσ προσ 2

Παράςταςη ακεραίων ςτο ςυςτημα ςυμπλήρωμα ωσ προσ 2 Παράςταςη ακεραίων ςτο ςυςτημα ςυμπλήρωμα ωσ προσ 2 Δρ. Χρήζηος Ηλιούδης Μθ Προςθμαςμζνοι Ακζραιοι Εφαρμογζσ (ςε οποιαδιποτε περίπτωςθ δεν χρειάηονται αρνθτικοί αρικμοί) Καταμζτρθςθ. Διευκυνςιοδότθςθ.

Διαβάστε περισσότερα

Ψθφιακά Ηλεκτρονικά. Ενότθτα 7 : Ελαχιςτοποίθςθ και κωδικοποίθςθ καταςτάςεων Φϊτιοσ Βαρτηιϊτθσ

Ψθφιακά Ηλεκτρονικά. Ενότθτα 7 : Ελαχιςτοποίθςθ και κωδικοποίθςθ καταςτάςεων Φϊτιοσ Βαρτηιϊτθσ Ελλθνικι Δθμοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Ψθφιακά Ηλεκτρονικά Ενότθτα 7 : Ελαχιςτοποίθςθ και κωδικοποίθςθ καταςτάςεων Φϊτιοσ Βαρτηιϊτθσ 1 Ανοιχτά Ακαδημαϊκά Μαθήματα ςτο ΤΕΙ Ηπείρου Τμιμα

Διαβάστε περισσότερα

Οδηγίεσ για την Τποβολή Καταςτάςεων υμφωνητικών μζςω xml αρχείου

Οδηγίεσ για την Τποβολή Καταςτάςεων υμφωνητικών μζςω xml αρχείου Οδηγίεσ για την Τποβολή Καταςτάςεων υμφωνητικών μζςω xml αρχείου Περιεχόμενα Ρυθμίςεισ αςφάλειασ κατά την εγκατάςταςη τησ εφαρμογήσ TAXISnet offline ςε JAVA 1.6... 2 Χρήςη Εφαρμογήσ-υνοπτικά Βήματα...

Διαβάστε περισσότερα