HY430 Εργαςτήριο Ψηφιακών Κυκλωμάτων. 1 ΗΥ430 - Διάλεξθ 10θ - Μθχανζσ Ρεπεραςμζνων Κατάςταςεων

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "HY430 Εργαςτήριο Ψηφιακών Κυκλωμάτων. 1 ΗΥ430 - Διάλεξθ 10θ - Μθχανζσ Ρεπεραςμζνων Κατάςταςεων"

Transcript

1 HY430 Εργαςτήριο Ψηφιακών Κυκλωμάτων Διδάςκων: Χ. ωτηρίου, Βοηθόσ: (θα ανακοινωθεί) 1 Περιεχόμενα Τι είναι ΜΡΚ; Ταξινόμθςθ Ακολουκιακϊν Μοντζλων Υπολογιςμοφ Ακολουκιακά, Υπερ-ακολουκιακά Μοντζλα Οριςμόσ ΜΡΚ Διάγραμμα οισ Ρίνακασ Κφβων οι Σχεδίαςθσ/Υλοποίθςθσ ΜΡΚ Κωδικοποίθςθ Καταςτάςεων Δομι Mealy/Moore ΜΡΚ Υλοποίθςθ ΜΡΚ Ραράδειγμα Ρεριγραφι ΜΡΚ ςτθν Verilog 1, 2 ι 3 always τμιματα Ραραδείγματα Υλοποίθςθσ ΜΡΚ ςε Verilog Ρεριγραφζσ Moore/Mealy Αρχικοποίθςθ, Ντετερμινιςμόσ Αδιάφορεσ Τιμζσ Διαδραςτικζσ ΜΡΚ Σφνκεςθ ΜΡΚ Ιςοδφναμεσ Καταςτάςεισ Ελαχιςτοποίθςθ Καταςτάςεων Αλγόρικμοσ Διαμεριςμάτων Ρλιρωσ Οριςμζνεσ ι Μερικϊσ Οριςμζνεσ ΜΡΚ 2 1

2 Περιεχόμενα Τι είναι ΜΡΚ; Ρεριγραφι ΜΡΚ ςτθν Verilog Ταξινόμθςθ Ακολουκιακϊν Μοντζλων Υπολογιςμοφ Ακολουκιακά, Υπερ-ακολουκιακά Μοντζλα Οριςμόσ ΜΡΚ Διάγραμμα οισ Ρίνακασ Κφβων οι Σχεδίαςθσ/Υλοποίθςθσ ΜΡΚ Κωδικοποίθςθ Καταςτάςεων Δομι Mealy/Moore ΜΡΚ Υλοποίθςθ ΜΡΚ Ραράδειγμα 1, 2 ι 3 always τμιματα Ραραδείγματα Υλοποίθςθσ ΜΡΚ ςε Verilog Ρεριγραφζσ Moore/Mealy Αρχικοποίθςθ, Ντετερμινιςμόσ Αδιάφορεσ Τιμζσ Διαδραςτικζσ ΜΡΚ Σφνκεςθ ΜΡΚ Ιςοδφναμεσ Καταςτάςεισ Ελαχιςτοποίθςθ Καταςτάςεων Αλγόρικμοσ Διαμεριςμάτων Ρλιρωσ Οριςμζνεσ ι Μερικϊσ Οριςμζνεσ ΜΡΚ 3 Σι είναι οι FSMs? Αναψυκτικό Αναψυκτικό Ρζςτα 10c Σιμή: 30c 4 2

3 Σι είναι οι FSMs? 5 Περιεχόμενα Τι είναι ΜΡΚ; Ταξινόμθςθ Ακολουκιακϊν Μοντζλων Υπολογιςμοφ Ακολουκιακά, Υπερ-ακολουκιακά Μοντζλα Οριςμόσ ΜΡΚ Διάγραμμα οισ Ρίνακασ Κφβων οι Σχεδίαςθσ/Υλοποίθςθσ ΜΡΚ Κωδικοποίθςθ Καταςτάςεων Δομι Mealy/Moore ΜΡΚ Υλοποίθςθ ΜΡΚ Ραράδειγμα Ρεριγραφι ΜΡΚ ςτθν Verilog 1, 2 ι 3 always τμιματα Ραραδείγματα Υλοποίθςθσ ΜΡΚ ςε Verilog Ρεριγραφζσ Moore/Mealy Αρχικοποίθςθ, Ντετερμινιςμόσ Αδιάφορεσ Τιμζσ Διαδραςτικζσ ΜΡΚ Σφνκεςθ ΜΡΚ Ιςοδφναμεσ Καταςτάςεισ Ελαχιςτοποίθςθ Καταςτάςεων Αλγόρικμοσ Διαμεριςμάτων Ρλιρωσ Οριςμζνεσ ι Μερικϊσ Οριςμζνεσ ΜΡΚ 6 3

4 Σαξινόμηςη Ακολουθιακών Μοντέλων Τπολογιςμού Μνιμθ, Ρολλαπλζσ ενεργζσ καταςτάςεισ, όχι απαραίτθτθ φπαρξθ υλοποίθςθσ ςε κφκλωμα Δίκτυα Θζςεων- Μεταβάςεων, Άλγεβρεσ Διαδικαςιϊν Μνιμθ, Μία μοναδικι ενεργι κατάςταςθ, Κωδικοποίθςθ Καταςτάςεων, Δυαδικζσ Εξιςϊςεισ Μθχανι Ρεπεραςμζνων Καταςτάςεων (ΜΡΚ) Συνδυαςτικι Λογικι, Αλγεβρικοί/Δυαδικοί Μεταςχθματιςμοί, Διεπίπεδθ/Ρολφ-επίπεδθ Υλοποίθςθ Δυαδικι Άλγεβρα B 7 Τπερ-ακολουθιακά, Ακολουθιακά Μοντέλα Τπολογιςμού Επιλογή ςε ΜΠΚ Παραλληλιςμόσ ςε ΔΘΜ A A f(ab) f(aγ) B Γ B Γ Σφγχυςθ ωσ προσ το πότε 8 δειγματολθψία Ραραλλθλιςμόσ των Β, Γ 4

5 Τπερ-ακολουθιακά, Ακολουθιακά Μοντέλα Τπολογιςμού ΔΘΜ (Δίκτυο Θζςεων- Μεταβάςεων Place Transition Net/Petri-Net) δυο τφποι κόμβων Μετάβαςη Κατάςταςη Ρολλαπλζσ ενεργζσ κζςεισ (καταςτάςεισ) Κατάςταςθ Μετάβαςθ = Επιλογή Μετάβαςθ Κατάςταςθ = Παραλληλιςμόσ t1 s1 s2 s3 s4 s5 s6 s7 s8 t2 t3 t4 t5 t6 t7 9 Περιεχόμενα Τι είναι ΜΡΚ; Ταξινόμθςθ Ακολουκιακϊν Μοντζλων Υπολογιςμοφ Ακολουκιακά, Υπερ-ακολουκιακά Μοντζλα Οριςμόσ ΜΡΚ Διάγραμμα οισ Ρίνακασ Κφβων οι Σχεδίαςθσ/Υλοποίθςθσ ΜΡΚ Κωδικοποίθςθ Καταςτάςεων Δομι Mealy/Moore ΜΡΚ Υλοποίθςθ ΜΡΚ Ραράδειγμα Ρεριγραφι ΜΡΚ ςτθν Verilog 1, 2 ι 3 always τμιματα Ραραδείγματα Υλοποίθςθσ ΜΡΚ ςε Verilog Ρεριγραφζσ Moore/Mealy Αρχικοποίθςθ, Ντετερμινιςμόσ Αδιάφορεσ Τιμζσ Διαδραςτικζσ ΜΡΚ Σφνκεςθ ΜΡΚ Ιςοδφναμεσ Καταςτάςεισ Ελαχιςτοποίθςθ Καταςτάςεων Αλγόρικμοσ Διαμεριςμάτων Ρλιρωσ Οριςμζνεσ ι Μερικϊσ Οριςμζνεσ ΜΡΚ 10 5

6 Μηχανέσ Πεπεραςμένων Καταςτάςεων Μια ΜΠΚ ορίηεται ωσ μια πεντάδα (I, O, S, d, l), όπου I είναι ζνα πεπεραςμζνο, μθ μθδενικό ςφνολο ειςόδων Ο είναι ζνα πεπεραςμζνο, μθ μθδενικό ςφνολο εξόδων S είναι ζνα πεπεραςμζνο, μθ μθδενικό ςφνολο καταςτάςεων δ είναι θ ςυνάρτθςθ επόμενθσ κατάςταςθσ, δ : I x S S λ είναι θ ςυνάρτθςθ εξόδων και αντιςτοιχεί λ : I x S O (Mealy) λ : S O (Moore) Μια ΜΡΚ αναπαρίςταται ωσ γράφοσ όπου οι καταςτάςεισ είναι κόμβοι, οι μεταβάςεισ (δ) ακμζσ ωσ πίνακασ ροισ ωσ πίνακασ κφβων 11 Μηχανέσ Πεπεραςμένων Καταςτάςεων Ακολουκιακό υπολογιςτικό πρότυπο, υλοποιιςιμο απευκείασ ςτο υλικό Χαρακτθριςτικά υλοποίθςθσ ΜΡΚ: Είςοδοι, Ζξοδοι, Τρζχουςα κατάςταςθ, Επόμενθ κατάςταςθ Σε κάκε ακμι του ρολογιοφ, ςυνδυαςτικι λογικι παράγει εξόδουσ, επόμενθ κατάςταςθ, ωσ προσ τισ ειςόδουσ και τθν τρζχουςα κατάςταςθ. 12 6

7 Μηχανέσ Πεπεραςμένων Καταςτάςεων Συνολικι Κατάςταςθ Πλοι οι πικανοί ςυνδυαςμοί τιμϊν κατάςταςθσ ςτα ακολουκιακά ςτοιχεία μνιμθσ Μετάβαςθ Αλλαγι από τθν κατάςταςθ Χ ςτθν Ψ - ςτθν ακμι του ρολογιοφ Το ρολόι ελζγχει τα ακολουκιακά ςτοιχεία μνιμθσ Ακολουκιακι Λογικι Ακολουκία βάςθ των καταςτάςεων, ανάλογα με τισ τιμζσ ειςόδων Είςοδοσ Επόμενθ Κατάςταςθ Ζξοδοσ Οι καταςτάςεισ τθσ ΜΡΚ τελικά παράγουν μια αλληλουχία ειςόδων-εξόδων Η αλλθλουχία είναι ιδιότθτα του οριςμοφ τθσ ΜΡΚ (διατθρείται πάντα) Οι ςυγκεκριμζνεσ καταςτάςεισ τθσ όχι (δεν διατθροφνται) 13 Περιεχόμενα Τι είναι ΜΡΚ; Ταξινόμθςθ Ακολουκιακϊν Μοντζλων Υπολογιςμοφ Ακολουκιακά, Υπερ-ακολουκιακά Μοντζλα Οριςμόσ ΜΡΚ Διάγραμμα οισ Ρίνακασ Κφβων οι Σχεδίαςθσ/Υλοποίθςθσ ΜΡΚ Κωδικοποίθςθ Καταςτάςεων Δομι Mealy/Moore ΜΡΚ Υλοποίθςθ ΜΡΚ Ραράδειγμα Ρεριγραφι ΜΡΚ ςτθν Verilog 1, 2 ι 3 always τμιματα Ραραδείγματα Υλοποίθςθσ ΜΡΚ ςε Verilog Ρεριγραφζσ Moore/Mealy Αρχικοποίθςθ, Ντετερμινιςμόσ Αδιάφορεσ Τιμζσ Διαδραςτικζσ ΜΡΚ Σφνκεςθ ΜΡΚ Ιςοδφναμεσ Καταςτάςεισ Ελαχιςτοποίθςθ Καταςτάςεων Αλγόρικμοσ Διαμεριςμάτων Ρλιρωσ Οριςμζνεσ ι Μερικϊσ Οριςμζνεσ ΜΡΚ 14 7

8 Μηχανέσ Πεπεραςμένων Καταςτάςεων x /z x /z A B x/z x/z x/z x/z C x /z D x /z Πίνακασ Ροήσ ΜΠΚ Μ x x A A, z D, z B A, z C, z C C,z B,z D C, z A, z Πίνακασ Κφβων x PS NS z 0 A A 0 1 A D 1 0 B A 0 1 B C 0 0 C C 0 1 C B 0 0 D C 0 1 D A 1 15 Περιεχόμενα Τι είναι ΜΡΚ; Ταξινόμθςθ Ακολουκιακϊν Μοντζλων Υπολογιςμοφ Ακολουκιακά, Υπερ-ακολουκιακά Μοντζλα Οριςμόσ ΜΡΚ Διάγραμμα οισ Ρίνακασ Κφβων οι Σχεδίαςθσ/Υλοποίθςθσ ΜΡΚ Κωδικοποίθςθ Καταςτάςεων Δομι Mealy/Moore ΜΡΚ Υλοποίθςθ ΜΡΚ Ραράδειγμα Ρεριγραφι ΜΡΚ ςτθν Verilog 1, 2 ι 3 always τμιματα Ραραδείγματα Υλοποίθςθσ ΜΡΚ ςε Verilog Ρεριγραφζσ Moore/Mealy Αρχικοποίθςθ, Ντετερμινιςμόσ Αδιάφορεσ Τιμζσ Διαδραςτικζσ ΜΡΚ Σφνκεςθ ΜΡΚ Ιςοδφναμεσ Καταςτάςεισ Ελαχιςτοποίθςθ Καταςτάςεων Αλγόρικμοσ Διαμεριςμάτων Ρλιρωσ Οριςμζνεσ ι Μερικϊσ Οριςμζνεσ ΜΡΚ 16 8

9 Ροή χεδίαςησ, Τλοποίηςησ ΜΠΚ Οριςμόσ Λειτουργίασ Σε ανκρϊπινθ γλϊςςα Από απαιτιςεισ υψθλοτζρου επιπζδου Χρονοδιάγραμμα κφκλο προσ κφκλο Δόκιμοσ Οριςμόσ ΜΡΚ Συμβολικζσ Καταςτάςεισ Ρίνακασ οισ Ελαχιςτοποίθςθ Καταςτάςεων Εφρεςθ Ιςοδφναμων Καταςτάςεων Απλοποίθςθ Ρίνακα Κωδικοποίθςθ Καταςτάςεων Επιλογι Κωδικοποίθςθσ One-hot, Gray, Johnson ι επιλογι για απλότθτα του κυκλϊματοσ Εξαγωγι/Βελτιςτοποίθςθ Συνδυαςτικισ Λογικισ Επεξεργαςία ςτο B Σχθματικό Κυκλϊματοσ 17 Περιεχόμενα Τι είναι ΜΡΚ; Ταξινόμθςθ Ακολουκιακϊν Μοντζλων Υπολογιςμοφ Ακολουκιακά, Υπερ-ακολουκιακά Μοντζλα Οριςμόσ ΜΡΚ Διάγραμμα οισ Ρίνακασ Κφβων οι Σχεδίαςθσ/Υλοποίθςθσ ΜΡΚ Κωδικοποίθςθ Καταςτάςεων Δομι Mealy/Moore ΜΡΚ Υλοποίθςθ ΜΡΚ Ραράδειγμα Ρεριγραφι ΜΡΚ ςτθν Verilog 1, 2 ι 3 always τμιματα Ραραδείγματα Υλοποίθςθσ ΜΡΚ ςε Verilog Ρεριγραφζσ Moore/Mealy Αρχικοποίθςθ, Ντετερμινιςμόσ Αδιάφορεσ Τιμζσ Διαδραςτικζσ ΜΡΚ Σφνκεςθ ΜΡΚ Ιςοδφναμεσ Καταςτάςεισ Ελαχιςτοποίθςθ Καταςτάςεων Αλγόρικμοσ Διαμεριςμάτων Ρλιρωσ Οριςμζνεσ ι Μερικϊσ Οριςμζνεσ ΜΡΚ 18 9

10 Κωδικοποίηςη Καταςτάςεων Αριθμόσ Κατάςταςησ Ακολουθιακή Κωδ. Gray Johnson One-hot Κωδικοποίηςη Καταςτάςεων Ακολουκιακι Αντιςτοίχθςθ του αρικμοφ τθσ κατάςταςθσ ςε δυαδικό Gray/Johnson Εναλλαγι από τθν κατάςταςθ ν ςτθν κατάςταςθ ν+1 ςτον πίνακα ροισ κατά 1 ψθφίο One-hot/One-cold Εναλλαγι από οποιαδιποτε κατάςταςθ ςε μια άλλθ κατά 2 ψθφία (10, 01) Ανάλογθ τθσ ΜΡΚ Υπάρχουν αλγόρικμοι κωδικοποίθςθσ καταςτάςεων που ςτοχεφουν ςε εμβαδό, κατανάλωςθ, ταχφτθτα Στθν βιομθχανία χρθςιμοποιείται κατά κόρον το one-hot 20 10

11 Περιεχόμενα Τι είναι ΜΡΚ; Ταξινόμθςθ Ακολουκιακϊν Μοντζλων Υπολογιςμοφ Ακολουκιακά, Υπερ-ακολουκιακά Μοντζλα Οριςμόσ ΜΡΚ Διάγραμμα οισ Ρίνακασ Κφβων οι Σχεδίαςθσ/Υλοποίθςθσ ΜΡΚ Κωδικοποίθςθ Καταςτάςεων Δομι Mealy/Moore ΜΡΚ Υλοποίθςθ ΜΡΚ Ραράδειγμα Ρεριγραφι ΜΡΚ ςτθν Verilog 1, 2 ι 3 always τμιματα Ραραδείγματα Υλοποίθςθσ ΜΡΚ ςε Verilog Ρεριγραφζσ Moore/Mealy Αρχικοποίθςθ, Ντετερμινιςμόσ Αδιάφορεσ Τιμζσ Διαδραςτικζσ ΜΡΚ Σφνκεςθ ΜΡΚ Ιςοδφναμεσ Καταςτάςεισ Ελαχιςτοποίθςθ Καταςτάςεων Αλγόρικμοσ Διαμεριςμάτων Ρλιρωσ Οριςμζνεσ ι Μερικϊσ Οριςμζνεσ ΜΡΚ 21 Δομή Moore, Mealy ΜΠΚ Είσοδοι Λογικι Επόμενθσ Κατάςταςθσ Καταχωρθτισ Τρζχουςασ Κατάςταςθσ Λογικι Εξόδων (Συνδυαςτικι) Έξοδοι Ασύγχπονη Απχικοποίηση Είσοδοι Λογικι Επόμενθσ Κατάςταςθσ Καταχωρθτισ Τρζχουςασ Κατάςταςθσ Λογικι Εξόδων (Συνδυαςτικι) Έξοδοι Ασύγχπονη Απχικοποίηση 22 11

12 Περιεχόμενα Τι είναι ΜΡΚ; Ρεριγραφι ΜΡΚ ςτθν Verilog Ταξινόμθςθ Ακολουκιακϊν Μοντζλων Υπολογιςμοφ Ακολουκιακά, Υπερ-ακολουκιακά Μοντζλα Οριςμόσ ΜΡΚ Διάγραμμα οισ Ρίνακασ Κφβων οι Σχεδίαςθσ/Υλοποίθςθσ ΜΡΚ Κωδικοποίθςθ Καταςτάςεων Δομι Mealy/Moore ΜΡΚ Υλοποίθςθ ΜΡΚ Ραράδειγμα 1, 2 ι 3 always τμιματα Ραραδείγματα Υλοποίθςθσ ΜΡΚ ςε Verilog Ρεριγραφζσ Moore/Mealy Αρχικοποίθςθ, Ντετερμινιςμόσ Αδιάφορεσ Τιμζσ Διαδραςτικζσ ΜΡΚ Σφνκεςθ ΜΡΚ Ιςοδφναμεσ Καταςτάςεισ Ελαχιςτοποίθςθ Καταςτάςεων Αλγόρικμοσ Διαμεριςμάτων Ρλιρωσ Οριςμζνεσ ι Μερικϊσ Οριςμζνεσ ΜΡΚ 23 Παράδειγμα Τλοποίηςησ ΜΠΚ Ανακζτουμε κϊδικεσ ςτισ ςυμβολικζσ καταςτάςεισ: A, B, C, D Ανάθεςη 1 η : A (00), B (01), C (11), D (10) Πίνακασ Ροήσ ΜΠΚ Μ, Ανθ1 Y1Y2 x x x x A B C D z Πίνακασ Κφβων x PS NS z

13 Παράδειγμα Τλοποίηςησ ΜΠΚ Ανακζτουμε κϊδικεσ ςτισ ςυμβολικζσ καταςτάςεισ: A, B, C, D Ανάθεςη 2 η : A (00), B (01), C (10), D (11) Πίνακασ Ροήσ ΜΠΚ Μ, Ανθ2 Y1Y2 x x x x A B C D z Πίνακασ Κφβων x PS NS z Παράδειγμα ΜΠΚ Ανάθεςη 1 η : A-00, B-01, C-11, D-10 Ανάθεςη 2 η : A-00, B-01, C-10, D-11 Y1 = xy1 + x y1 Y2 = xy2 + x y1 Y1 = xy1 + x y1 Y2 = xy2 z = xy2 z = x(y1y2 + y1 y2 ) x y2 x y2 y1 y1 z z 26 13

14 Περιεχόμενα Τι είναι ΜΡΚ; Ρεριγραφι ΜΡΚ ςτθν Verilog Ταξινόμθςθ Ακολουκιακϊν Μοντζλων Υπολογιςμοφ Ακολουκιακά, Υπερ-ακολουκιακά Μοντζλα Οριςμόσ ΜΡΚ Διάγραμμα οισ Ρίνακασ Κφβων οι Σχεδίαςθσ/Υλοποίθςθσ ΜΡΚ Κωδικοποίθςθ Καταςτάςεων Δομι Mealy/Moore ΜΡΚ Υλοποίθςθ ΜΡΚ Ραράδειγμα 1, 2 ι 3 always τμιματα Ραραδείγματα Υλοποίθςθσ ΜΡΚ ςε Verilog Ρεριγραφζσ Moore/Mealy Αρχικοποίθςθ, Ντετερμινιςμόσ Αδιάφορεσ Τιμζσ Διαδραςτικζσ ΜΡΚ Σφνκεςθ ΜΡΚ Ιςοδφναμεσ Καταςτάςεισ Ελαχιςτοποίθςθ Καταςτάςεων Αλγόρικμοσ Διαμεριςμάτων Ρλιρωσ Οριςμζνεσ ι Μερικϊσ Οριςμζνεσ ΜΡΚ 27 Περιγραφή ΜΠΚ ςτην Verilog Καταςτάςεισ Ραράμετροι 1 always τμήμα 2 always τμήματα 3 always τμήματα 1 ακολουκιακό τμιμα ) περιγράφει όλθ τθν ΜΡΚ Επόμενθ κατάςταςθ και εξόδουσ βάςθ τθσ τρζχουςασ και ειςόδων 28 case, if--else Ζξοδοι είναι καταχωρητζσ Κωδικοποίθςθ ΜΡΚ Τιμζσ των παραμζτρων Η ΜΡΚ αποτελεί ακολουκιακι λογικι Τρζχουςα, επόμενθ κατάςταςθ διαχωρίηονται από το ρολόι ) Κακυςτζρθςθ από τθν ανάκεςθ τθσ κατάςταςθσ 1 ςυνδυαςτικό τμιμα always περιγράφει τθν επόμενθ κατάςταςθ, και τισ εξόδουσ, βάςθ τθσ τρζχουςασ κατάςταςθσ 1 ακολουκιακό τμιμα ) περιγράφει τθν ανάκεςθ τθσ τρζχουςασ κατάςταςθσ Ζξοδοι είναι ςυνδυαςτικζσ 1 ςυνδυαςτικό τμιμα always περιγράφει τθν επόμενθ κατάςταςθ, βάςθ τθσ τρζχουςασ κατάςταςθσ 1 άλλο ςυνδυαςτικό τμιμα always περιγράφει τισ εξόδουσ 1 ακολουκιακό τμιμα ) περιγράφει τθν ανάκεςθ τθσ τρζχουςασ κατάςταςθσ Ζξοδοι είναι ςυνδυαςτικζσ 14

15 Περιεχόμενα Τι είναι ΜΡΚ; Ρεριγραφι ΜΡΚ ςτθν Verilog Ταξινόμθςθ Ακολουκιακϊν Μοντζλων Υπολογιςμοφ Ακολουκιακά, Υπερ-ακολουκιακά Μοντζλα Οριςμόσ ΜΡΚ Διάγραμμα οισ Ρίνακασ Κφβων οι Σχεδίαςθσ/Υλοποίθςθσ ΜΡΚ Κωδικοποίθςθ Καταςτάςεων Δομι Mealy/Moore ΜΡΚ Υλοποίθςθ ΜΡΚ Ραράδειγμα 1, 2 ι 3 always τμιματα Ραραδείγματα Υλοποίθςθσ ΜΡΚ ςε Verilog Ρεριγραφζσ Moore/Mealy Αρχικοποίθςθ, Ντετερμινιςμόσ Αδιάφορεσ Τιμζσ Διαδραςτικζσ ΜΡΚ Σφνκεςθ ΜΡΚ Ιςοδφναμεσ Καταςτάςεισ Ελαχιςτοποίθςθ Καταςτάςεων Αλγόρικμοσ Διαμεριςμάτων Ρλιρωσ Οριςμζνεσ ι Μερικϊσ Οριςμζνεσ ΜΡΚ 29 Παράδειγμα Τλοποίηςησ ΜΠΚ ςε Verilog Για μια ςειρά 0, 1, θ μια ζξοδοσ τθσ ΜΡΚ ανακζτεται ςε 1, όταν ςτθν είςοδο περάςουν δυο 1 Μοντζλο Moore Μοντζλο Mealy zero [0] one1 [0] /0 zero 1/0 0/0 1/1 one1 two1s [1] 30 15

16 Παράδειγμα Τλοποίηςησ ΜΠΚ ςε Verilog Υλοποίθςθ με δυο τμιματα always: 1 ςυνδυαςτικό τμιμα always περιγράφει τθν επόμενθ κατάςταςθ, και τισ εξόδουσ, βάςθ τθσ τρζχουςασ κατάςταςθσ 1 ακολουκιακό τμιμα ) περιγράφει τθν ανάκεςθ τθσ τρζχουςασ κατάςταςθσ Μοντζλο Moore 0 0 zero [0] 1 one1 [0] 1 two1s [1] 1 0 PI NS C.L. NS CS PO C.L. PO 31 Παράδειγμα Τλοποίηςησ ΜΠΚ ςε Verilog Μοντζλο Moore Δφο always Σμήματα ελίδα 1 η 0 0 zero [0] 1 one1 [0] module moore_two1s(out, Clock, Reset, In); output Out; input Clock, Reset, In; reg Out; reg [1:0] CurrentState; // state register // reg [1:0] NextState; // State Encoding // parameter STATE_zero = 2 b00, STATE_one1 = 2 b01, STATE_two1s = 2 b10, STATE_X = 2 bxx; two1s [1] // State Registers Sequential // Clock) begin if (Reset) CurrentState <= STATE_Zero; else CurrentState <= NextState; 32 16

17 Παράδειγμα Τλοποίηςησ ΜΠΚ ςε Verilog Μοντζλο Moore zero [0] 1 one1 [0] 1 two1s [1] 1 0 Δφο always Σμήματα ελίδα 2 η or CurrentState) begin NextState = CurrentState; Out = 1 b0; case (CurrentState) STATE_zero: begin // last input was 0 if (In) NextState = STATE_one1; STATE_one1: begin // last input was 1 if (In) NextState = STATE_two1s; else NextState = STATE_zero; STATE_two1s: begin // seen 2 1 s Out = 1 b1; if (In) NextState = STATE_one1; else NextState = STATE_zero default: begin // in case we reach a bad state Out = 1 bx; NextState = STATE_zero; case Παράδειγμα Τλοποίηςησ ΜΠΚ ςε Verilog Υλοποίθςθ με δυο τμιματα always: 1 ςυνδυαςτικό τμιμα always περιγράφει τθν επόμενθ κατάςταςθ, και τισ εξόδουσ, βάςθ τθσ τρζχουςασ κατάςταςθσ 1 ακολουκιακό τμιμα ) περιγράφει τθν ανάκεςθ τθσ τρζχουςασ κατάςταςθσ Μοντζλο Mealy 0/0 zero one1 1/0 0/0 1/1 Είσοδορ Έξοδορ PI NS C.L. NS CS PO C.L. PO 34 17

18 Παράδειγμα Τλοποίηςησ ΜΠΚ ςε Verilog Μοντζλο Mealy 0/0 zero one1 1/0 0/0 1/1 Δφο always Σμήματα ελίδα 1 η module mealy_two1s(out, Clock, Reset, In); output Out; input Clock, Reset, In; reg Out; reg CurrentState; // state register // reg NextState; // State Encoding // parameter STATE_zero = 1 b0, STATE_one1 = 1 b1; // State Registers Sequential // Clock) begin if (Reset) CurrentState <= STATE_Zero; else CurrentState <= NextState; 35 Παράδειγμα Τλοποίηςησ ΜΠΚ ςε Verilog Μοντζλο Mealy Δφο always Σμήματα ελίδα 2 η (In or CurrentState) begin NextState = CurrentState; Out = 1 b0; 0/0 zero 1/0 one1 0/0 1/1 case (CurrentState) STATE_zero: begin if (In) NextState = STATE_One1; STATE_one1: begin // last input was 1 NextState = STATE_Zero; if (In) Out = 1 b1; case module 36 18

19 ύγκριςη Moore/Mealy Moore διαφορετικι κατάςταςθ για κάκε διαφορετικι ζξοδο ευκολότερθ υλοποίθςθ Mealy οικονομικότερθ ωσ προσ τον αρικμό καταςτάςεων μζροσ τθσ κατάςταςθσ είναι ιδιότθτα των ειςόδων πιο πολφπλοκθ υλοποίθςθ κακυςτζρθςθ ειςόδων Πταν οι είςοδοι ζρχονται από το ίδιο ρολόι και καταχωρθτζσ υπάρχει πλήρησ ιςοδυναμία ειςόδωνεξόδων ανά κφκλο μεταξφ Moore, Mealy. Για κάκε Mealy υπάρχει αντίςτοιχθ Moore. 37 ύγκριςη Moore και Mealy - Χρονoδιάγραμμα CLK In STATE_moore Out_moore STATE_mealy Out_mealy zero zero zero zero one1 two1s zero zero zero one1 two1s one zero zero zero zero one1 zero zero zero zero one1 zero one

20 Παράδειγμα Τλοποίηςησ ΜΠΚ ςε Verilog Μοντζλο Moore zero [0] Ζνα τμήμα always ελίδα 1 η module moore_two1s_1a(out, Clock, Reset, In); output Out; input Clock, Reset, In; reg Out; reg [1:0] State; // state register // one1 [0] 1 two1s [1] 1 0 // State Encoding // parameter STATE_zero = 2 b00, STATE_one1 = 2 b01, STATE_two1s = 2 b10, STATE_X = 2 bxx; clk) case (State) STATE_zero: begin out <= 1 b0; if (in) State <= STATE_one1; else State <= STATE_zero; 39 Παράδειγμα Τλοποίηςησ ΜΠΚ ςε Verilog Μοντζλο Moore Ζνα τμήμα always ελίδα 2 η 0 0 zero [0] 1 one1 [0] 1 two1s [1] 1 0 one1: out <= 1 b0; if (in) begin state <= STATE_two1s; else begin state <= STATE_zero; two1s: out <= 1 b1; if (in) begin state <= STATE_two1s; else begin state <= STATE_zero; default: begin state <= STATE_zero; out <= 1 b0; case module 40 20

21 Προτεινόμενη Τλοποίηςη FSM Είσοδοι Λογικι Επόμενθσ Κατάςταςθσ Καταχωρθτισ Τρζχουςασ Κατάςταςθσ Λογικι Εξόδων (Συνδυαςτικι) Έξοδοι always #1 always #2 Ασύγχπονη Απχικοποίηση always #1 Δυο (ι τρία) τμιματα always 1 ςυνδυαςτικό always με τθν Λογικι Επόμενθσ Κατάςταςθσ και τισ εξόδουσ (Mealy ι Moore) 1 ακολουκιακό always που ανακζτει τον Καταχωρθτι Καταςτάςεων Αςφγχρονθ αρχικοποίθςθ 41 Παράδειγμα Τλοποίηςησ ΜΠΚ ςε Verilog - 2 Οριςμόσ 3 καταςτάςεισ 1 Ζξοδοσ Receive, όταν θ ΜΡΚ είναι ςε κατάςταςθ που λαμβάνει δεδομζνα 42 21

22 Παράδειγμα Τλοποίηςησ ΜΠΚ ςε Verilog - 2 Περιγραφή Verilog 1 η ελίδα module fsm( Receive, Start, Stop, Error, Clk, Reset_); input Start, Stop, Error, Clk, Reset_; output Receive; parameter [1:0] IdleState = 2 b00, ReceiveState = 2 b01, ErrorState = 2 b10; reg [1:0] FSMstate, nxtfsmstate; Clk) begin if (~Reset_) FSMstate <= IdleState; else FSMstate <= nxtfsmstate; or Start or Stop or Error) begin case(fsmstate) 43 Παράδειγμα Τλοποίηςησ ΜΠΚ ςε Verilog - 2 Περιγραφή Verilog 2 η ελίδα IdleState: begin if(error) nxtfsmstate <= ErrorState; else begin if(start) nxtfsmstate <= ReceiveState; else nxtfsmstate <= IdleState; ReceiveState: begin if(error) nxtfsmstate <= ErrorState; else begin if(stop) nxtfsmstate <= IdleState; else nxtfsmstate <= ReceiveState; ErrorState : nxtfsmstate <= IdleState; default case : nxtfsmstate <= IdleState; 44 22

23 Παράδειγμα Τλοποίηςησ ΜΠΚ ςε Verilog - 2 Ζξοδοι Ζξοδοσ Moore wire Receive = FSMstate[0]; Ζξοδοσ Mealy wire Receive = ((FSMstate == IdleState & Start) (FSMstate == ReceiveState & ~Error & ~Stop)) 45 Παράδειγμα Τλοποίηςησ ΜΠΚ ςε Verilog - 3 Αυτόματοσ Ρωλθτισ Βγάηει προϊόν τιμισ 15 λεπτϊν Υποςτθρίηει νομίςματα 5, 10 λεπτϊν Δεν δίνει ρζςτα in5 Κερματοδζκτθσ in10 ΜΡΚ Αυτόματου Ρωλθτι release Μθχανιςμόσ Απελευκζρωςθσ 46 23

24 Παράδειγμα Τλοποίηςησ ΜΠΚ ςε Verilog - 3 Οριςμόσ 1 ο Διάγραμμα ΜΠΚ Είςοδοι in5, in10 Reset Είςοδοσ open Συνδυαςμοί που φτάνουν τθν τιμι αγοράσ 5, 5, 5 10, 5 S3 in5 S1 in5 in10 S4 [open] S0 in10 in5 S2 S5 [open] in10 S6 [open] 5, 10 in5 10, 10 S7 [open] 47 Παράδειγμα Τλοποίηςησ ΜΠΚ ςε Verilog ο Διάγραμμα ΜΠΚ Πίνακασ Κφβων in Reset in5 in5 15 [open] in10 in5 in10 in5 in10 PS NS open 0 0 0c 0c c 10c c 5c c 5c c 15c c 10c c 10c c 10c c 10c c 0c

25 Παράδειγμα Τλοποίηςησ ΜΠΚ ςε Verilog - 3 Κωδικοποίηςη One-hot in5 in10 PS NS open Δυαδική Κωδικοποίηςη in5 in10 PS NS open Παράδειγμα Τλοποίηςησ ΜΠΚ ςε Verilog - 3 Διάγραμμα Moore Διάγραμμα Mealy Reset Reset in5 0 [0] in5 in10 in10/0 0 in5 in10 /0 in10 in5 5 [0] in5 in10 in10/1 in5/0 5 in5 in10 /0 in10 10 [0] in5 in10 in5 in10 in5/0 10 in5 in10 /0 15 [1] (in5 in10)/

26 Παράδειγμα Τλοποίηςησ ΜΠΚ ςε Verilog - 3 Διάγραμμα Moore 51 in10 in10 in5 in5 0 [0] 5 [0] 10 [0] 15 [1] Reset in5 in10 in5 in10 in5 in10 in5 in10 Περιγραφή ςε Verilog module ving_moore (open, clk, Rst, in5, in10); input clk, Rst, in5, in10; output open; reg open; reg [1:0] state; // state register reg [1:0] next_state; parameter zero = 0, five = 1, ten = 2, fifteen = 3; or in10 or state) case (state) zero: begin if (in5) next_state = five; else if (in10) next_state = ten; else next_state = zero; open = 0; fifteen: begin next_state = zero; open = 1; default: begin next_state = zero; open = 0; case clk) if (Rst) state <= zero; else state <= next_state; module Παράδειγμα Τλοποίηςησ ΜΠΚ ςε Verilog - 3 Διάγραμμα Mealy Reset Περιγραφή ςε Verilog module ving_mealy (open, Clk, Rst, in5, in10); input Clk, Rst, in5, in10; output open; reg open; reg [1:0] state; // state register reg [1:0] next_state; parameter zero = 0, five = 1, ten = 2, fifteen = 3; in10/0 0 in5/0 in10/1 5 in5/0 10 in5 in10 /1 52 in5 in10 /0 in5 in10 /0 in5 in10 /0 or in10 or state) case (state) zero: begin open = 0; if (in10) next_state = ten; else if (in5) next_state = five; else next_state = zero; five: begin if (in5) begin next_state = ten; open = 0; else if (in10) begin next_state = zero; open = 1; else begin next_state = five; open = 0; case clk) if (Rst) state <= zero; else state <= next_state; module 26

27 Περιεχόμενα Τι είναι ΜΡΚ; Ρεριγραφι ΜΡΚ ςτθν Verilog Ταξινόμθςθ Ακολουκιακϊν Μοντζλων Υπολογιςμοφ Ακολουκιακά, Υπερ-ακολουκιακά Μοντζλα Οριςμόσ ΜΡΚ Διάγραμμα οισ Ρίνακασ Κφβων οι Σχεδίαςθσ/Υλοποίθςθσ ΜΡΚ Κωδικοποίθςθ Καταςτάςεων Δομι Mealy/Moore ΜΡΚ Υλοποίθςθ ΜΡΚ Ραράδειγμα 1, 2 ι 3 always τμιματα Ραραδείγματα Υλοποίθςθσ ΜΡΚ ςε Verilog Ρεριγραφζσ Moore/Mealy Αρχικοποίθςθ, Ντετερμινιςμόσ Αδιάφορεσ Τιμζσ Διαδραςτικζσ ΜΡΚ Σφνκεςθ ΜΡΚ Ιςοδφναμεσ Καταςτάςεισ Ελαχιςτοποίθςθ Καταςτάςεων Αλγόρικμοσ Διαμεριςμάτων Ρλιρωσ Οριςμζνεσ ι Μερικϊσ Οριςμζνεσ ΜΡΚ 53 Αρχικοποίηςη ΜΠΚ Έγκυρεσ και Μη Καταςτάςεισ Για 2 ν καταςτάςεισ, ν ψθφία δυαδικισ κωδικοποίθςθσ 2 ν πικανζσ τιμζσ Για ν καταςτάςεισ, ν ψθφία One-hot κωδικοποίθςθσ ν ζγκυρεσ One-hot τιμζσ 2 ν ν μθ ζγκυρεσ τιμζσ Για 2 ν καταςτάςεισ, 2ν ψθφία Johnson κωδικοποίθςθσ, 2ν ζγκυρεσ Johnson τιμζσ 2 ν -2ν μθ ζγκυρεσ τιμζσ Γενικά για μ καταςτάςεισ μπορεί να ζχουμε ν ψθφία κωδικοποίθςθσ, όπου ν log 2 μ Υπάρχουν μη ζγκυρεσ καταςτάςεισ 54 27

28 Αρχικοποίηςη ΜΠΚ Αςφγχρονη Αρχικοποίηςη Αςφαλζςτεροσ τρόποσ Πλεσ οι ΜΡΚ αρχικοποιοφνται άμεςα ςτθν αρχικι τουσ κατάςταςθ πριν δεχκοφν ειςόδουσ Δεν απαιτείται κωδικοποίθςθ των μθ ζγκυρων καταςτάςεων Ελαχιςτοποιείται θ λογικι Επόμενθσ Κατάςταςθσ Οι καταχωρθτζσ με αςφγχρονθ αρχικοποίθςθ είναι λίγο μεγαλφτεροι φγχρονη Αρχικοποίηςη Πλεσ οι πικανζσ τιμζσ πρζπει να αποκωδικοποιθκοφν ςε περίπτωςθ ςφγχρονθσ αρχικοποίθςθσ αν το ςιμα αρχικοποίθςθσ είναι ενεργό, είτε είμαςτε ςε ζγκυρθ, είτε άκυρθ κατάςταςθ πρζπει να μποφμε ςτθν αρχικι Ρολυπλοκότερθ λογικι Επόμενθσ Κατάςταςθσ Μικρότεροι καταχωρθτζσ Μεγαλφτερο εμβαδό ςτισ περιςςότερεσ των περιπτϊςεων 55 Ντετερμινιςμόσ Ο πίνακασ ροισ δεξιά κεωρεί ότι τα x, x, y, y είναι ανεξάρτθτα μεταξφ τουσ Δεν εξετάηει τουσ ςυνδυαςμοφσ: x y, x y, x y, xy Η περιγραφι του πίνακα δεν εξαςφαλίηει 1 ενεργι ςτιλθ, δθλ. 1 ενεργό ςυνδυαςμό ειςόδων Τα x, y κα είναι 0 ι 1, άρα 2 ςτιλεσ κα είναι ενεργζσ Η ΜΡΚ δεν είναι ντετερμινιςτικι Τα παραπάνω ιςχφουν και για περιγραφζσ ςε Verilog Και για τθν ενεργοποίθςθ των μεταβάςεων τθσ ΜΡΚ κατά τθν λειτουργία 56 ΜΠΚ Μ x x y y A A, z D, z C, z A, z B A, z C, z C,z B,z C C,z B,z A, z C, z D C, z A, z A, z D, z 28

29 Περιεχόμενα Τι είναι ΜΡΚ; Ταξινόμθςθ Ακολουκιακϊν Μοντζλων Υπολογιςμοφ Ακολουκιακά, Υπερ-ακολουκιακά Μοντζλα Οριςμόσ ΜΡΚ Διάγραμμα οισ Ρίνακασ Κφβων οι Σχεδίαςθσ/Υλοποίθςθσ ΜΡΚ Κωδικοποίθςθ Καταςτάςεων Δομι Mealy/Moore ΜΡΚ Υλοποίθςθ ΜΡΚ Ραράδειγμα Ρεριγραφι ΜΡΚ ςτθν Verilog 1, 2 ι 3 always τμιματα Ραραδείγματα Υλοποίθςθσ ΜΡΚ ςε Verilog Ρεριγραφζσ Moore/Mealy Αρχικοποίθςθ, Ντετερμινιςμόσ Αδιάφορεσ Τιμζσ Διαδραςτικζσ ΜΡΚ Σφνκεςθ ΜΡΚ Ιςοδφναμεσ Καταςτάςεισ Ελαχιςτοποίθςθ Καταςτάςεων Αλγόρικμοσ Διαμεριςμάτων Ρλιρωσ Οριςμζνεσ ι Μερικϊσ Οριςμζνεσ ΜΡΚ 57 Αδιάφορεσ τιμέσ ςε ΜΠΚ Αδιάφορεσ τιμζσ ςε ΜΡΚ μποροφν να υπάρχουν ςε δυο ςθμεία ςτθν επόμενθ κατάςταςθ ςτθν ζξοδο Αδιάφορθ τιμι ςυνεπάγεται αντίςτοιχα ότι θ επόμενθ κατάςταςθ μπορεί να είναι οποιαδιποτε θ ζξοδοσ μπορεί να είναι οποιαδιποτε Οι Αδιάφορεσ τιμζσ αντιςτοιχοφν ςε τιμζσ των ειςόδων και καταςτάςεισ που δεν μποροφν να ςυμβοφν τιμζσ των εξόδων ςε καταςτάςεισ που δεν ενδιαφζρουν Στθν Verilog αυτζσ εξάγονται ανάλογα με τθν περιγραφι τθσ επόμενθσ κατάςταςθσ και των εξόδων 58 29

30 Περιεχόμενα Τι είναι ΜΡΚ; Ταξινόμθςθ Ακολουκιακϊν Μοντζλων Υπολογιςμοφ Ακολουκιακά, Υπερ-ακολουκιακά Μοντζλα Οριςμόσ ΜΡΚ Διάγραμμα οισ Ρίνακασ Κφβων οι Σχεδίαςθσ/Υλοποίθςθσ ΜΡΚ Κωδικοποίθςθ Καταςτάςεων Δομι Mealy/Moore ΜΡΚ Υλοποίθςθ ΜΡΚ Ραράδειγμα Ρεριγραφι ΜΡΚ ςτθν Verilog 1, 2 ι 3 always τμιματα Ραραδείγματα Υλοποίθςθσ ΜΡΚ ςε Verilog Ρεριγραφζσ Moore/Mealy Αρχικοποίθςθ, Ντετερμινιςμόσ Αδιάφορεσ Τιμζσ Διαδραςτικζσ ΜΡΚ Σφνκεςθ ΜΡΚ Ιςοδφναμεσ Καταςτάςεισ Ελαχιςτοποίθςθ Καταςτάςεων Αλγόρικμοσ Διαμεριςμάτων Ρλιρωσ Οριςμζνεσ ι Μερικϊσ Οριςμζνεσ ΜΡΚ 59 Διαδραςτικέσ ΜΠΚ Τα πραγματικά ςυςτιματα ελζγχου αποτελοφνται από μια ι περιςςότερεσ ΜΡΚ Συνικωσ προκφπτουν κάποιεσ δομζσ Επικοινωνίασ Ιεραρχίασ Στισ περιςςότερεσ περιπτϊςεισ οι ΜΡΚ δεν αλλθλεπιδροφν άμεςα μζςω των καταςτάςεων, αλλά ζμμεςα μζςω εξόδων Οι καταςτάςεισ δεν είναι ζξοδοι αλλά τισ παράγουν 60 30

31 Διαδραςτικέσ ΜΠΚ Επικοινωνία Ιεραρχία ΜΡΚ #1 ΜΡΚ #2 Κεντρικι ΜΡΚ enable_fsm1 enable_fsm2 enable_fsm3 ΜΡΚ #1 ΜΡΚ #2 ΜΡΚ #1 ΜΡΚ #2 ΜΡΚ #3 Datapath Η αμφίδρομθ επικοινωνία χρθςιμοποιείται ςυνικωσ για ςυγχρονιςμό (χειραψία) Μια ι περιςςότερεσ κεντρικζσ ΜΡΚ ενεργοποιοφν και ελζγχουν τισ υπόλοιπεσ 61 Περιεχόμενα Τι είναι ΜΡΚ; Ταξινόμθςθ Ακολουκιακϊν Μοντζλων Υπολογιςμοφ Ακολουκιακά, Υπερ-ακολουκιακά Μοντζλα Οριςμόσ ΜΡΚ Διάγραμμα οισ Ρίνακασ Κφβων οι Σχεδίαςθσ/Υλοποίθςθσ ΜΡΚ Κωδικοποίθςθ Καταςτάςεων Δομι Mealy/Moore ΜΡΚ Υλοποίθςθ ΜΡΚ Ραράδειγμα Ρεριγραφι ΜΡΚ ςτθν Verilog 1, 2 ι 3 always τμιματα Ραραδείγματα Υλοποίθςθσ ΜΡΚ ςε Verilog Ρεριγραφζσ Moore/Mealy Αρχικοποίθςθ, Ντετερμινιςμόσ Αδιάφορεσ Τιμζσ Διαδραςτικζσ ΜΡΚ Σφνκεςθ ΜΡΚ Ιςοδφναμεσ Καταςτάςεισ Ελαχιςτοποίθςθ Καταςτάςεων Αλγόρικμοσ Διαμεριςμάτων Ρλιρωσ Οριςμζνεσ ι Μερικϊσ Οριςμζνεσ ΜΡΚ 62 31

32 ύνθεςη ΜΠΚ Το κάκε ςφςτθμα δυο θ περιςςότερων ΜΡΚ μπορεί να μετατραπεί ςε 1 ΜΡΚ με τθν ίδια ςυμπεριφορά Η νζα κατάςταςθ, συνολική κατάσταση της 1 ΜΠΚ, κα είναι όλοι οι δυνατοί ςυνδυαςμοί των επιμζρουσ καταςτάςεων Ραράδειγμα, ΜΡΚ R, S, αρχικζσ καταςτάςεισ R1, S1 Σε ποιεσ καταςτάςεισ μπορεί να είναι θ R ςε ςχζςθ με τθν S; ΜΠΚ R I1 I2 O 1 (R1) R1 R2 O1 1 R2 R2 R3 O2 1 R3 R3 R4 O3 1 R4 R4 R1 O4 1 ΜΠΚ S J1 J2 O 2 (S1) S3 S2 O1 2 S2 S4 S3 O2 2 S3 S1 S4 O3 2 S4 S2 S1 O ύνθεςη ΜΠΚ Η ςφνκεςθ των δυο προθγοφμενων ΜΡΚ μπορεί να πραγματοποιθκεί κεωρϊντασ τισ ςφνκετεσ καταςτάςεισ και μεταβάςεισ: 64 ΜΠΚ RS I1 J1 I1J2 I2J1 I2J2 O 1 (R1S1) R1S1 R1S2 R2S3 R2S2 O1 1 O1 2 R1S2 R1S4 R1S3 R2S4 R2S3 O1 1 O2 2 R1S3 R1S1 R1S4 R2S1 R2S4 O1 1 O3 2 R1S4 R1S2 R1S1 R2S2 R2S1 O1 1 O4 2 R2S1 R2S3 R2S2 R3S3 R3S2 O2 1 O1 2 R2S2 R2S4 R2S3 R3S4 R3S3 O2 1 O3 2 R2S3 R2S1 R2S4 R3S1 R3S4 O2 1 O3 2 R2S4 R2S2 R2S1 R3S2 R3S1 O2 1 O4 2 R3S1 R3S3 R3S2 R4S3 R4S2 O3 1 O1 2 R3S2 R3S4 R3S3 R4S4 R4S3 O3 1 O2 2 R3S3 R3S1 R3S4 R4S1 R4S4 O3 1 O3 2 R3S4 R3S2 R3S1 R4S2 R4S1 O3 1 O4 2 R4S1 R4S3 R4S2 R1S3 R1S2 O4 1 O1 2 R4S2 R4S4 R4S3 R1S4 R1S3 O4 1 O2 2 R4S3 R4S1 R4S4 R1S1 R1S4 O4 1 O3 2 R4S4 R4S2 R4S1 R1S2 R1S1 O4 1 O4 2 32

33 ύνθεςη ΜΠΚ Αν θ S είχε τθν ίδια ςτιριξθ με τθν R Σφνκεςθ RS 65 ΜΠΚ R I1 I2 O 1 (R1) R1 R2 O1 1 R2 R2 R3 O2 1 R3 R3 R4 O3 1 R4 R4 R1 O4 1 ΜΠΚ S I1 I2 O 2 (S1) S3 S2 O1 2 S2 S4 S3 O4 1 S3 S1 S4 O3 2 S4 S2 S1 O4 2 ΜΠΚ RS I1 I2 O 1 (R1S1) R1S3 R2S2 O1 1 O1 2 R1S3 R1S1 R2S4 O2 1 O3 2 R2S2 R2S4 R3S3 O2 1 O2 2 R2S4 R2S2 R3S1 O2 1 O4 2 R3S1 R3S3 R4S2 O3 1 O1 2 R3S3 R3S1 R4S4 O3 1 O3 2 R4S2 R4S4 R1S3 O4 1 O4 1 R4S4 R4S2 R1S1 O4 1 O4 2 ύνθεςη ΜΠΚ Αλγόριθμοσ φνθεςησ ΜΠΚ Για 2 μθχανζσ ΜΡΚ1, ΜΡΚ2: 1. Ξεκινϊντασ από τθν ςφνκετθ αρχικι κατάςταςθ (Μ1, Μ2) = (Μ1αρχ, Μ2αρχ), για κάκε είςοδο δθμιουργοφμε τουσ ςυνδυαςμοφσ: 2. (NS(Μ1), NS(Μ2)) για κάκε κοινι είςοδο ςτισ Μ1, Μ2 3. (NS(M1), Μ2) για κάκε είςοδο τθσ Μ1 που δεν υπάρχει ςτθν Μ2 4. (Μ1, NS(Μ2)) για κάκε είςοδο τθσ Μ2 που δεν υπάρχει ςτθν Μ1 5. Δθμιουργοφμε τισ νζεσ καταςτάςεισ, και τισ προςκζτουμε ςτον πίνακα ροισ 6. Συνεχίηουμε τθν διαδικαςία από το βιμα 2, ςυμπλθρϊνοντασ τον πίνακα ροισ για τισ νζεσ καταςτάςεισ, μζχρι να μθν παράγονται νζεσ καταςτάςεισ, δθλαδι να ζχουν όλεσ καλυφκεί

34 Περιεχόμενα Τι είναι ΜΡΚ; Ταξινόμθςθ Ακολουκιακϊν Μοντζλων Υπολογιςμοφ Ακολουκιακά, Υπερ-ακολουκιακά Μοντζλα Οριςμόσ ΜΡΚ Διάγραμμα οισ Ρίνακασ Κφβων οι Σχεδίαςθσ/Υλοποίθςθσ ΜΡΚ Κωδικοποίθςθ Καταςτάςεων Δομι Mealy/Moore ΜΡΚ Υλοποίθςθ ΜΡΚ Ραράδειγμα Ρεριγραφι ΜΡΚ ςτθν Verilog 1, 2 ι 3 always τμιματα Ραραδείγματα Υλοποίθςθσ ΜΡΚ ςε Verilog Ρεριγραφζσ Moore/Mealy Αρχικοποίθςθ, Ντετερμινιςμόσ Αδιάφορεσ Τιμζσ Διαδραςτικζσ ΜΡΚ Σφνκεςθ ΜΡΚ Ιςοδφναμεσ Καταςτάςεισ Ελαχιςτοποίθςθ Καταςτάςεων Αλγόρικμοσ Διαμεριςμάτων Ρλιρωσ Οριςμζνεσ ι Μερικϊσ Οριςμζνεσ ΜΡΚ 67 Ιςοδύναμεσ Καταςτάςεισ Ζνασ οριςμόσ ΜΡΚ μπορεί να εμπεριζχει περιττζσ καταςτάςεισ καταςτάςεισ θ λειτουργία των οποίων μπορεί να επιτευχκεί μζςω άλλων οι καταςτάςεισ των ΜΡΚ δεν διατθροφνται, θ αλλθλουχία ειςόδων, εξόδων είναι θ κεμελιϊδθσ ιδιότθτα τθσ ΜΡΚ Ο αρικμόσ των καταςτάςεων μιασ ΜΡΚ είναι ανάλογοσ των ακολουκιακϊν ςτοιχείων (FF) που απαιτοφνται για τθν υλοποίθςθ τθσ κίνθτρο για ελαχιςτοποίθςθ καταςτάςεων για ν καταςτάςεισ χρειαηόμαςτε log 2 ν ακολουκιακά ςτοιχεία Οι περιττζσ καταςτάςεισ επιβραδφνουν και τθν κακυςτζρθςθ τθσ λογικισ επόμενθσ κατάςταςθσ 68 34

35 Κ-διάκριςη/Κ-ιςοδυναμία καταςτάςεων ΜΠΚ Κ-Διακριτζσ Καταςτάςεισ Δυο καταςτάςεισ, S i, S j, μιασ ΜΡΚ είναι διακριτζσ όταν τουλάχιςτον μια πεπεραςμζνθ ακολουκία ειςόδων που προκαλεί διαφορετικζσ ακολουκίεσ εξόδων ανάλογα με το αν θ αρχικι κατάςταςθ είναι θ S i ι θ S j. Αυτι θ πεπεραςμζνθ ακολουκία ονομάηεται διακριτικι ακολουκία του ηεφγουσ (S i, S j ) Αν θ διακριτικι ακολουκία για το ηεφγοσ (S i, S j ) είναι μεγζκουσ Κ, τότε το ηεφγοσ ονομάηεται Κ-διακριτό Κ-Ιςοδφναμεσ Καταςτάςεισ Δυο καταςτάςεισ που δεν είναι Κ-διακριτζσ είναι Κ- ιςοδφναμεσ 69 Κ-διάκριςη/Κ-ιςοδυναμία καταςτάςεων ΜΠΚ Στθν ΜΡΚ Μ: (A, B) είναι 1-διακριτά με τθν ακολουκία x (1) (A, E) είναι 3-διακριτά με τθν ακολουκία x, x, x (111) Οι διαφορετικζσ ζξοδοι είναι A: 100 E: 101 Μπορεί να υπάρχει και άλλθ ακολουκία που να διακρίνει τα (A, E), λ.χ Καταςτάςεισ που είναι κ-ιςοδφναμεσ είναι και r-ιςοδφναμεσ, για κάκε r < κ ΜΠΚ Μ x x A E,0 D,1 B F,0 D,0 C E,0 B,1 D F,0 B,0 E C,0 F,1 F B,0 C,

36 Ιςοδύναμεσ Καταςτάςεισ Ιςοδφναμεσ Καταςτάςεισ Καταςτάςεισ S i, S j μιασ ΜΡΚ είναι ιςοδφναμεσ (S i = S j ) αν για κάκε δυνατι ακολουκία ειςόδων θ ίδια ακολουκία εξόδων παράγεται ανεξαρτιτωσ αν θ S i ι θ S j είναι θ αρχικι κατάςταςθ. Η ιςοδυναμία καταςτάςεων αποτελεί ςχζςθ ιςοδυναμίασ S i = S i, S j = S k => S i = S k Αναδρομικόσ Οριςμόσ Ιςοδυναμίασ Καταςτάςεισ S i, S j μιασ ΜΡΚ είναι ιςοδφναμεσ (S i = S j ) αν για κάκε είςοδο παράγουν ίδιεσ εξόδουσ και οι αντίςτοιχεσ επόμενεσ καταςτάςεισ είναι ιςοδφναμεσ Ο αλγόρικμοσ ελαχιςτοποίθςθσ διαμερίηει τισ καταςτάςεισ ςε κ-ιςοδφναμεσ ζωσ ότου τα διαμερίςματα που προκφπτουν να εμπεριζχουν μόνο ιςοδφναμεσ καταςτάςεισ 71 Ιςοδύναμεσ Καταςτάςεισ Οι καταςτάςεισ που παράγουν ίδιεσ εξόδουσ είναι 1- ιςοδφναμεσ Αν ομαδοποιιςουμε τισ καταςτάςεισ ανάλογα με τισ τιμζσ εξόδων δθμιουργοφμε ζνα διαμζριςμα 1-ιςοδφναμων καταςτάςεων (P 1 ) Ρϊσ παράγουμε 2-ιςοδφναμεσ ι ν+1-ιςοδφναμεσ καταςτάςεισ από το P 1 ι το P ν ; 2 ι περιςςότερεσ καταςτάςεισ ανικουν ςτο ίδιο διαμζριςμα του P ν+1 : αν άνθκαν ςτο ίδιο του P ν, για κάκε είςοδο οι διάδοχεσ τουσ ανικουν ςτο ίδιο αντίςτοιχο τμιμα (για τθν είςοδο) του P ν 72 36

37 Περιεχόμενα Τι είναι ΜΡΚ; Ταξινόμθςθ Ακολουκιακϊν Μοντζλων Υπολογιςμοφ Ακολουκιακά, Υπερ-ακολουκιακά Μοντζλα Οριςμόσ ΜΡΚ Διάγραμμα οισ Ρίνακασ Κφβων οι Σχεδίαςθσ/Υλοποίθςθσ ΜΡΚ Κωδικοποίθςθ Καταςτάςεων Δομι Mealy/Moore ΜΡΚ Υλοποίθςθ ΜΡΚ Ραράδειγμα Ρεριγραφι ΜΡΚ ςτθν Verilog 1, 2 ι 3 always τμιματα Ραραδείγματα Υλοποίθςθσ ΜΡΚ ςε Verilog Ρεριγραφζσ Moore/Mealy Αρχικοποίθςθ, Ντετερμινιςμόσ Αδιάφορεσ Τιμζσ Διαδραςτικζσ ΜΡΚ Σφνκεςθ ΜΡΚ Ιςοδφναμεσ Καταςτάςεισ Ελαχιςτοποίθςθ Καταςτάςεων Αλγόρικμοσ Διαμεριςμάτων Ρλιρωσ Οριςμζνεσ ι Μερικϊσ Οριςμζνεσ ΜΡΚ 73 Ελαχιςτοποίηςη Καταςτάςεων Αλγόριθμοσ Ελαχιςτοποίηςησ Βάςη Διαμεριςμάτων 1. Διαμερίηουμε τισ καταςτάςεισ ανάλογα με τισ εξόδουσ, P 1 Το διαμζριςμα P 1 περιζχει 1-ιςοδφναμεσ καταςτάςεισ 2. Διαμερίηουμε το P ν, παράγοντασ το P ν+1, ωσ εξισ: a) τμιματα των διαμεριςμάτων του Pν που οδθγοφν ςε ίδια διαμερίςματα του P ν για κάκε αντίςτοιχθ είςοδο, I i, μζνουν ςτο ίδιο διαμζριςμα του P ν+1 b) καταςτάςεισ διαμεριςμάτων του P ν που για κάποια είςοδο οδθγοφν ςε διαφορετικά διαμερίςματα του P ν, διαιροφνται ςτο P ν+1, ζτςι ϊςτε οι διάδοχοι τουσ να ανικουν ςτθν ίδιο διαμζριςμα του P ν Το P ν+1 περιζχει τισ ν+1 ιςοδφναμεσ καταςτάςεισ Αν (P ν+1!= P ν ) επιςτρζφουμε ςτο Βιμα 2 74 Πταν P ν+1 = P ν, το διαμζριςμα περιζχει τισ ιςοδφναμεσ καταςτάςεισ 37

38 Ελαχιςτοποίηςη Καταςτάςεων - Παράδειγμα P 1 = {ACE, BDF} Για το P 2 εξετάηουμε τουσ διαδόχουσ για x και x και τα τμιματα του διαμερίςματοσ που ανικουν Τμιμα ACE (1) Διάδοχοι ςτο x : EEC (111) Διάδοχοι ςτο x : DBF (222) Το ACE είναι 2-ιςοδφναμο Τμιμα BDF (2) 75 Διάδοχοι ςτο x : FFB (222) Διάδοχοι ςτο x : DBC (221) οι διάδοχεσ τθσ F δεν είναι ιςοδφναμεσ ςτο P 1 ΜΠΚ Μ x x A E,0 D,1 B F,0 D,0 C E,0 B,1 D F,0 B,0 E C,0 F,1 F B,0 C,0 P 2 = {ACE, BD, F} Τμιμα ACE (1) Διάδοχοι ςτο x : EEC (111) Διάδοχοι ςτο x : DBF (223) Τμιμα BD (2) Διάδοχοι ςτο x : FF (33) Διάδοχοι ςτο x : DB(22) Ελαχιςτοποίηςη Καταςτάςεων - Παράδειγμα P 3 = {AC, BD, E, F} Τμιμα AC (1) Διάδοχοι ςτο x : EE (33) Διάδοχοι ςτο x : DB (22) Τμιμα BD (2) Διάδοχοι ςτο x : EE (33) Διάδοχοι ςτο x : DB (22) ΜΠΚ Μ x x A E,0 D,1 B F,0 D,0 C E,0 B,1 D F,0 B,0 E C,0 F,1 F B,0 C,0 Άρα P 3 = P 2 Ιςοδφναμεσ καταςτάςεισ: A, C B, D ΜΠΚ Μ x x AC E,0 BD,1 BD F,0 BD,0 E AC,0 F,1 F BD,0 AC,

39 Ελαχιςτοποίηςη Καταςτάςεων - Παράδειγμα P 1 = {s1s2s6, s3s4s5} Τμιμα s1s2s6 (1) x : s3s3s3 (222) x : s4s5s1 (221) αφαιροφμε το s6 Τμήμα s3s4s5 (2) x : s3s1s6 (211) x : s5s2s3 (212) αφαιροφμε τα s4,s5 P 2 = {s1s2, s3, s4, s5, s6} Τμιμα s1s2 (1) x : s3s3 (22) x : s4s5 (34) - αφαιροφμε το s2 P 3 = {s1, s2, s3, s4, s5, s6} x PS NS z 0 s1 s3 1 1 s1 s4 1 0 s2 s3 1 1 s2 s5 1 0 s3 s3 0 1 s3 s5 0 0 s4 s1 0 1 s4 s2 0 0 s5 s6 0 1 s5 s3 0 0 s6 s3 1 1 s6 s Ελαχιςτοποίηςη Καταςτάςεων με Αδιάφορεσ Σιμέσ (Don t Cares) Στθν Mealy ΜΡΚ δεξιά ζχουμε 2 αδιάφορεσ τιμζσ (DCs) ςτισ εξόδουσ Οι αδιάφορεσ τιμζσ μποροφν να τεκοφν ςτο 0 ι ςτο 1, ζτςι ϊςτε να ελαχιςτοποιθκοφν όςο το δυνατό περιςςότερεσ καταςτάςεισ Ελαχιςτοποιείςτε τθν ΜΡΚ δεξιά λαμβάνοντασ υπόψθ τα DCs. Στισ περιςςότερεσ πραγματικζσ ΜΡΚ και οι επόμενεσ καταςτάςεισ μποροφν να περιζχουν αδιάφορεσ τιμζσ Η επόμενθ κατάςταςθ είναι αδιευκρίνιςτθ x PS NS z 0 s1 s3 1 1 s1 s5-0 s2 s3-1 s2 s5 1 0 s3 s2 0 1 s3 s1 1 0 s4 s4 0 1 s4 s5 1 0 s5 s4 1 1 s5 s

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2017-2018 Μηχανές Πεπερασμένων Καταστάσεων ΗΥ220 - Βασίλης Παπαευσταθίου & Γιώργος Καλοκαιρινός 1 FSMs Οι μηχανές πεπερασμένων καταστάσεων Finite

Διαβάστε περισσότερα

Εργαστήριο Ψηφιακών Κυκλωμάτων

Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Μηχανές Πεπερασμένων Καταστάσεων Χειμερινό Εξάμηνο 2009 2010 ΗΥ220 University of Crete 1 Τι είναι οι FSMs? 10 FSM Κερματοδέκτης open Μηχανισμός Αυτόματου 20 Απελευθέρωσης

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Χειµερινό Εξάµηνο 2007-2008 Μηχανές Πεπερασµένων Καταστάσεων ΗΥ220 - Βασίλης Παπαευσταθίου 1 FSMs Οι µηχανές πεπερασµένων καταστάσεων Finite State Machines (FSMs) πιο

Διαβάστε περισσότερα

HY225 Οργάνωςη Τπολογιςτών

HY225 Οργάνωςη Τπολογιςτών HY225 Οργάνωςη Τπολογιςτών Διδάςκοντεσ: Δ. Νικολόπουλοσ, Χ. ωτηρίου. http://www.csd.uoc.gr/~hy225 1 Περιεχόμενα Τυπικι οι Σχεδίαςθσ Ιεραρχία ςτθν Σχεδίαςθ Μθχανζσ Ρεπεραςμζνων Καταςτάςεων Η Γλϊςςα Τι είναι

Διαβάστε περισσότερα

HY220 Εργαςτήριο Ψηφιακών Κυκλωμάτων. 9/28/ ΗΥ220 - Διάλεξθ 3θ, Επανάλθψθ

HY220 Εργαςτήριο Ψηφιακών Κυκλωμάτων.  9/28/ ΗΥ220 - Διάλεξθ 3θ, Επανάλθψθ HY220 Εργαςτήριο Ψηφιακών Κυκλωμάτων Διδάςκων: Χ. Σωτηρίου, Βοηθοί: Ε. Κουναλάκησ, Π. Ματτθαιάκησ http://www.csd.uoc.gr/~hy220 1 Περιεχόμενα Συςτιματα Αρικμϊν και Δυαδικοί Αρικμοί Ψθφιακι Λογικι Ηλεκτρικά

Διαβάστε περισσότερα

3 θ διάλεξθ Επανάλθψθ, Επιςκόπθςθ των βαςικϊν γνϊςεων τθσ Ψθφιακισ Σχεδίαςθσ

3 θ διάλεξθ Επανάλθψθ, Επιςκόπθςθ των βαςικϊν γνϊςεων τθσ Ψθφιακισ Σχεδίαςθσ 3 θ διάλεξθ Επανάλθψθ, Επιςκόπθςθ των βαςικϊν γνϊςεων τθσ Ψθφιακισ Σχεδίαςθσ 1 2 3 4 5 6 7 Παραπάνω φαίνεται θ χαρακτθριςτικι καμπφλθ μετάβαςθσ δυναμικοφ (voltage transfer characteristic) για ζναν αντιςτροφζα,

Διαβάστε περισσότερα

Ψθφιακά Ηλεκτρονικά. Ενότθτα 7 : Ελαχιςτοποίθςθ και κωδικοποίθςθ καταςτάςεων Φϊτιοσ Βαρτηιϊτθσ

Ψθφιακά Ηλεκτρονικά. Ενότθτα 7 : Ελαχιςτοποίθςθ και κωδικοποίθςθ καταςτάςεων Φϊτιοσ Βαρτηιϊτθσ Ελλθνικι Δθμοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Ψθφιακά Ηλεκτρονικά Ενότθτα 7 : Ελαχιςτοποίθςθ και κωδικοποίθςθ καταςτάςεων Φϊτιοσ Βαρτηιϊτθσ 1 Ανοιχτά Ακαδημαϊκά Μαθήματα ςτο ΤΕΙ Ηπείρου Τμιμα

Διαβάστε περισσότερα

HY437 Αλγόριθμοι CAD

HY437 Αλγόριθμοι CAD HY437 Αλγόριθμοι CAD Διδάςκων: Χ. Σωτηρίου http://inf-server.inf.uth.gr/courses/ce437/ 1 ΗΥ437 - Πολυεπίπεδθ Λογικι Απλοποίθςθ με Περιεχόμενα Είδθ Αδιάφορων Τιμϊν ςε Πολφ-επίπεδα Δυαδικά Δίκτυα Αδιάφορεσ

Διαβάστε περισσότερα

Σχεδίαςη Σφγχρονων Ακολουθιακών Κυκλωμάτων

Σχεδίαςη Σφγχρονων Ακολουθιακών Κυκλωμάτων Σχεδίαςη Σφγχρονων Ακολουθιακών Κυκλωμάτων Πίνακεσ Διζγερςησ των FF Όπωσ είδαμε κατά τθ μελζτθ των FF, οι χαρακτθριςτικοί πίνακεσ δίνουν τθν τιμι τθσ επόμενθσ κατάςταςθσ κάκε FF ωσ ςυνάρτθςθ τθσ παροφςασ

Διαβάστε περισσότερα

x n D 2 ENCODER m - σε n (m 2 n ) x 1 Παραδείγματα κωδικοποιθτϊν είναι ο κωδικοποιθτισ οκταδικοφ ςε δυαδικό και ο κωδικοποιθτισ BCD ςε δυαδικό.

x n D 2 ENCODER m - σε n (m 2 n ) x 1 Παραδείγματα κωδικοποιθτϊν είναι ο κωδικοποιθτισ οκταδικοφ ςε δυαδικό και ο κωδικοποιθτισ BCD ςε δυαδικό. Κωδικοποιητές Ο κωδικοποιθτισ (nor) είναι ζνα κφκλωμα το οποίο διακζτει n γραμμζσ εξόδου και το πολφ μζχρι m = 2 n γραμμζσ ειςόδου και (m 2 n ). Οι ζξοδοι παράγουν τθν κατάλλθλθ λζξθ ενόσ δυαδικοφ κϊδικα

Διαβάστε περισσότερα

ΘΥ101: Ειςαγωγι ςτθν Πλθροφορικι

ΘΥ101: Ειςαγωγι ςτθν Πλθροφορικι Παράςταςη κινητήσ υποδιαςτολήσ ςφμφωνα με το πρότυπο ΙΕΕΕ Δρ. Χρήστος Ηλιούδης το πρότυπο ΙΕΕΕ 754 ζχει χρθςιμοποιθκεί ευρζωσ ςε πραγματικοφσ υπολογιςτζσ. Το πρότυπο αυτό κακορίηει δφο βαςικζσ μορφζσ κινθτισ

Διαβάστε περισσότερα

Παράςταςη ακεραίων ςτο ςυςτημα ςυμπλήρωμα ωσ προσ 2

Παράςταςη ακεραίων ςτο ςυςτημα ςυμπλήρωμα ωσ προσ 2 Παράςταςη ακεραίων ςτο ςυςτημα ςυμπλήρωμα ωσ προσ 2 Δρ. Χρήζηος Ηλιούδης Μθ Προςθμαςμζνοι Ακζραιοι Εφαρμογζσ (ςε οποιαδιποτε περίπτωςθ δεν χρειάηονται αρνθτικοί αρικμοί) Καταμζτρθςθ. Διευκυνςιοδότθςθ.

Διαβάστε περισσότερα

HY437 Αλγόριθμοι CAD

HY437 Αλγόριθμοι CAD HY437 Αλγόριθμοι CAD Διδάςκων: Χ. Σωτηρίου http://inf-server.inf.uth.gr/courses/ce437/ 1 Περιεχόμενα Κανονικζσ Μορφζσ Οριςμόσ των Δυαδικών Διαγραμμάτων Αποφάςεων (Binary Decision Diagrams BDDs) Αναπαράςταςθ

Διαβάστε περισσότερα

Πολυπλέκτες. 0 x 0 F = S x 0 + Sx 1 1 x 1

Πολυπλέκτες. 0 x 0 F = S x 0 + Sx 1 1 x 1 Πολυπλέκτες Ο πολυπλζκτθσ (multipleer - ) είναι ζνα ςυνδυαςτικό κφκλωμα που επιλζγει δυαδικι πλθροφορία μιασ από πολλζσ γραμμζσ ειςόδου και τθν κατευκφνει ςε μια και μοναδικι γραμμι εξόδου. Η επιλογι μιασ

Διαβάστε περισσότερα

Παράςταςη ςυμπλήρωμα ωσ προσ 1

Παράςταςη ςυμπλήρωμα ωσ προσ 1 Δρ. Χρήστος Ηλιούδης Θζματα διάλεξησ ΣΤ1 Προςθεςη αφαίρεςη ςτο ΣΤ1 2 ή ΣΤ1 Ονομάηουμε ςυμπλιρωμα ωσ προσ μειωμζνθ βάςθ R ενόσ μθ προςθμαςμζνου αρικμοφ Χ = ( Χ θ-1 Χ θ-2... Χ 0 ) R ζναν άλλον αρικμό Χ'

Διαβάστε περισσότερα

HY437 Αλγόριθμοι CAD

HY437 Αλγόριθμοι CAD HY437 Αλγόριθμοι CAD Διδάςκων: Χ. Σωτηρίου http://inf-server.inf.uth.gr/courses/ce437/ 1 Περιεχόμενα Ζλεγχοσ Σφαλμάτων μετά τθν Καταςκευι Μοντζλο Κολλθμζνο-ςτο-0, -1 Παραδείγματα Διαδικαςίασ Ελζγχου Λογικι

Διαβάστε περισσότερα

Ακολουκιακά Λογικά Κυκλώματα

Ακολουκιακά Λογικά Κυκλώματα Ακολουκιακά Λογικά Κυκλώματα Τα ψθφιακά λογικά κυκλϊματα που μελετιςαμε μζχρι τϊρα ιταν ςυνδυαςτικά κυκλϊματα. Στα ςυνδυαςτικά κυκλϊματα οι ζξοδοι ςε κάκε χρονικι ςτιγμι εξαρτϊνται αποκλειςτικά και μόνο

Διαβάστε περισσότερα

ΗΥ220: Εργαστήριο σχεδίασης ψηφιακών κυκλωμάτων Χριστόφορος Κάχρης

ΗΥ220: Εργαστήριο σχεδίασης ψηφιακών κυκλωμάτων Χριστόφορος Κάχρης Πανεπιστήμιο Κρήτης Τμήμα Επιστήμης Υπολογιστών ΗΥ220: Εργαστήριο σχεδίασης ψηφιακών κυκλωμάτων Χριστόφορος Κάχρης 4-11-2009 Πρόοδος Θέμα 1 ο (25%): 1. Βρείτε την μεγίστη συχνότητα λειτουργίας του παρακάτω

Διαβάστε περισσότερα

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 7 θ Διάλεξθ Διαχείριςθ Μνιμθσ Μζροσ Γ

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 7 θ Διάλεξθ Διαχείριςθ Μνιμθσ Μζροσ Γ ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ 7 θ Διάλεξθ Διαχείριςθ Μνιμθσ Μζροσ Γ ελιδοποίθςθ (1/10) Σόςο θ κατάτμθςθ διαμεριςμάτων ςτακεροφ μεγζκουσ όςο και θ κατάτμθςθ διαμεριςμάτων μεταβλθτοφ και άνιςου μεγζκουσ δεν κάνουν

Διαβάστε περισσότερα

Θεςιακά ςυςτιματα αρίκμθςθσ

Θεςιακά ςυςτιματα αρίκμθςθσ Θεςιακά ςυςτιματα αρίκμθςθσ Δρ. Χρήστος Ηλιούδης αρικμθτικό ςφςτθμα αρίκμθςθσ (Number System) Αξία (value) παράςταςθ Οι αξίεσ (π.χ. το βάροσ μιασ ποςότθτασ μιλων) μποροφν να παραςτακοφν με πολλοφσ τρόπουσ

Διαβάστε περισσότερα

Ραραπάνω παρουςιάηεται ο πυρινασ των εντολϊν του επεξεργαςτι MIPS, με τισ οποίεσ, και τθν υλοποίθςθ τουσ ςε υλικό κα αςχολθκοφμε.

Ραραπάνω παρουςιάηεται ο πυρινασ των εντολϊν του επεξεργαςτι MIPS, με τισ οποίεσ, και τθν υλοποίθςθ τουσ ςε υλικό κα αςχολθκοφμε. 1 2 3 Ραραπάνω παρουςιάηεται ο πυρινασ των εντολϊν του επεξεργαςτι MIPS, με τισ οποίεσ, και τθν υλοποίθςθ τουσ ςε υλικό κα αςχολθκοφμε. 4 5 Ραραπάνω φαίνονται τα απαιτοφμενα βιματα για τθν εκτζλεςθ κάθε

Διαβάστε περισσότερα

Γράφοι. Δομζσ Δεδομζνων Διάλεξθ 9

Γράφοι. Δομζσ Δεδομζνων Διάλεξθ 9 Γράφοι Δομζσ Δεδομζνων Διάλεξθ 9 Περιεχόμενα Γράφοι Γενικζσ ζννοιεσ, οριςμόσ, κτλ Παραδείγματα Γράφων Αποκικευςθ Γράφων Βαςικοί Οριςμοί Γράφοι και Δζντρα Διάςχιςθ Γράφων Περιοδεφων Πωλθτισ Γράφοι Οριςμόσ:

Διαβάστε περισσότερα

Ψθφιακά Ηλεκτρονικά. Ενότθτα 4 : Ανάλυςθ ακολουκιακϊν κυκλωμάτων με ρολόι Φϊτιοσ Βαρτηιϊτθσ

Ψθφιακά Ηλεκτρονικά. Ενότθτα 4 : Ανάλυςθ ακολουκιακϊν κυκλωμάτων με ρολόι Φϊτιοσ Βαρτηιϊτθσ Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Κδρυμα Ηπείρου Ψθφιακά Ηλεκτρονικά Ενότθτα 4 : Ανάλυςθ ακολουκιακϊν κυκλωμάτων με ρολόι Φϊτιοσ Βαρτηιϊτθσ 1 Ανοιχτά Ακαδημαϊκά Μαθήματα ςτο ΤΕΙ Ηπείρου Σμιμα

Διαβάστε περισσότερα

Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο του Άβακα

Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο του Άβακα Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο του Άβακα Αυτζσ οι οδθγίεσ ζχουν ςτόχο λοιπόν να βοθκιςουν τουσ εκπαιδευτικοφσ να καταςκευάςουν τισ δικζσ τουσ δραςτθριότθτεσ με το μοντζλο του Άβακα. Παρουςίαςη

Διαβάστε περισσότερα

HY437 Αλγόριθμοι CAD

HY437 Αλγόριθμοι CAD HY437 Αλγόριθμοι CAD Διδάςκων: Χ. Σωτηρίου http://inf-server.inf.uth.gr/courses/ce437/ 1 Περιεχόμενα Κυβικι Κωδικοποίθςθ κατά Θζςθ και Πράξεισ Σομι, Τπερ-κφβοσ, Απόςταςθ, Κάλυψθ, υν-παράγοντασ Ευριςτικόσ

Διαβάστε περισσότερα

Δομζσ Δεδομζνων Πίνακεσ

Δομζσ Δεδομζνων Πίνακεσ Δομζσ Δεδομζνων Πίνακεσ Διάλεξθ 2 Περιεχόμενα Πίνακεσ: Οριςμοί, Γενικζσ ζννοιεσ Αποκικευςθ πινάκων Ειδικζσ μορφζσ πινάκων Αλγόρικμοι Αναηιτθςθσ Σειριακι Αναηιτθςθ Δυαδικι Αναηιτθςθ Οριςμοί, Γενικζσ ζννοιεσ

Διαβάστε περισσότερα

HY437 Αλγόριθμοι CAD

HY437 Αλγόριθμοι CAD HY437 Αλγόριθμοι CAD Διδάςκων: Χ. Σωτηρίου http://inf-server.inf.uth.gr/courses/ce437/ 1 Περιεχόμενα Σφνολα και Σχζςεισ Πράξεισ Συνόλων Κατθγορίεσ Σχζςεων Σχζςεισ Ιςοδυναμίασ, Διάταςθσ, Συμβατότθτασ Συναρτιςεισ

Διαβάστε περισσότερα

Ψθφιακά Ηλεκτρονικά. Ενότθτα 5 : Ανάλυςθ κυκλώματοσ με D και JK FLIP- FLOP Φώτιοσ Βαρτηιώτθσ

Ψθφιακά Ηλεκτρονικά. Ενότθτα 5 : Ανάλυςθ κυκλώματοσ με D και JK FLIP- FLOP Φώτιοσ Βαρτηιώτθσ Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Ψθφιακά Ηλεκτρονικά Ενότθτα 5 : Ανάλυςθ κυκλώματοσ με D και JK FLIP- FLOP Φώτιοσ Βαρτηιώτθσ 1 Ανοιχτά Ακαδημαϊκά Μαθήματα ςτο ΤΕΙ Ηπείρου Σμιμα

Διαβάστε περισσότερα

Ελλθνικι Δθμοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 1 : Ειςαγωγι. Φϊτιοσ Βαρτηιϊτθσ

Ελλθνικι Δθμοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 1 : Ειςαγωγι. Φϊτιοσ Βαρτηιϊτθσ Ελλθνικι Δθμοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Ψθφιακά Ηλεκτρονικά Ενότθτα 1 : Ειςαγωγι Φϊτιοσ Βαρτηιϊτθσ 1 Ανοιχτά Ακαδημαϊκά Μαθήματα Τμιμα Ψθφιακά Ηλεκτρονικά Ενότητα 1: Ειςαγωγι Φϊτιοσ

Διαβάστε περισσότερα

Ελλθνικι Δθμοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 13 : Άλλοι Μετρθτζσ Φϊτιοσ Βαρτηιϊτθσ

Ελλθνικι Δθμοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 13 : Άλλοι Μετρθτζσ Φϊτιοσ Βαρτηιϊτθσ Ελλθνικι Δθμοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Ψθφιακά Ηλεκτρονικά Ενότθτα 13 : Άλλοι Μετρθτζσ Φϊτιοσ Βαρτηιϊτθσ 1 Ανοιχτά Τμιμα Ψθφιακά Ηλεκτρονικά Ενότητα 13: Άλλοι Μετρθτζσ Φϊτιοσ Βαρτηιϊτθσ

Διαβάστε περισσότερα

Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 9 : Διαδικαςία φνκεςθσ Φϊτιοσ Βαρτηιϊτθσ

Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 9 : Διαδικαςία φνκεςθσ Φϊτιοσ Βαρτηιϊτθσ Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Ψθφιακά Ηλεκτρονικά Ενότθτα 9 : Διαδικαςία φνκεςθσ Φϊτιοσ Βαρτηιϊτθσ 1 Ανοιχτά Σμιμα Ψθφιακά Ηλεκτρονικά Ενότητα 9: Διαδικαςία φνκεςθσ Φϊτιοσ

Διαβάστε περισσότερα

Δυναμικι Μθχανϊν I. Διάλεξθ 16. Χειμερινό Εξάμθνο 2013 Τμιμα Μθχανολόγων Μθχ., ΕΜΠ

Δυναμικι Μθχανϊν I. Διάλεξθ 16. Χειμερινό Εξάμθνο 2013 Τμιμα Μθχανολόγων Μθχ., ΕΜΠ Δυναμικι Μθχανϊν I Διάλεξθ 16 Χειμερινό Εξάμθνο 2013 Τμιμα Μθχανολόγων Μθχ., ΕΜΠ 1 Ανακοινϊςεισ Office Hours: Δευτζρα 1-3 μμ, Εργαςτιριο Εμβιομθχανικισ, Ιςόγειο Κτθρίου Μ (210 772-1516) DMmeche2013@gmail.com

Διαβάστε περισσότερα

Δείκτεσ Διαχείριςθ Μνιμθσ. Βαγγζλθσ Οικονόμου Διάλεξθ 8

Δείκτεσ Διαχείριςθ Μνιμθσ. Βαγγζλθσ Οικονόμου Διάλεξθ 8 Δείκτεσ Διαχείριςθ Μνιμθσ Βαγγζλθσ Οικονόμου Διάλεξθ 8 Δείκτεσ Κάκε μεταβλθτι ςχετίηεται με μία κζςθ ςτθν κφρια μνιμθ του υπολογιςτι. Κάκε κζςθ ςτθ μνιμθ ζχει τθ δικι τθσ ξεχωριςτι διεφκυνςθ. Με άμεςθ

Διαβάστε περισσότερα

Η θεωρία τησ ςτατιςτικήσ ςε ερωτήςεισ-απαντήςεισ Μέροσ 1 ον (έωσ ομαδοποίηςη δεδομένων)

Η θεωρία τησ ςτατιςτικήσ ςε ερωτήςεισ-απαντήςεισ Μέροσ 1 ον (έωσ ομαδοποίηςη δεδομένων) 1)Πώσ ορύζεται η Στατιςτικό επιςτόμη; Στατιςτικι είναι ζνα ςφνολο αρχϊν και μεκοδολογιϊν για: το ςχεδιαςμό τθσ διαδικαςίασ ςυλλογισ δεδομζνων τθ ςυνοπτικι και αποτελεςματικι παρουςίαςι τουσ τθν ανάλυςθ

Διαβάστε περισσότερα

Ένα πρόβλθμα γραμμικοφ προγραμματιςμοφ βρίςκεται ςτθν κανονικι μορφι όταν:

Ένα πρόβλθμα γραμμικοφ προγραμματιςμοφ βρίςκεται ςτθν κανονικι μορφι όταν: Μζθοδος Simplex Η πλζον γνωςτι και περιςςότερο χρθςιμοποιουμζνθ μζκοδοσ για τθν επίλυςθ ενόσ γενικοφ προβλιματοσ γραμμικοφ προγραμματιςμοφ, είναι θ μζκοδοσ Simplex θ οποία αναπτφχκθκε από τον George Dantzig.

Διαβάστε περισσότερα

Megatron ERP Βάςη δεδομζνων Π/Φ - κατηγοριοποίηςη Databox

Megatron ERP Βάςη δεδομζνων Π/Φ - κατηγοριοποίηςη Databox Megatron ERP Βάςη δεδομζνων Π/Φ - κατηγοριοποίηςη Databox 03 05 ΙΛΤΔΑ ΠΛΗΡΟΦΟΡΙΚΗ Α.Ε. αρμά Ιηαμπζλλα Βαρλάμθσ Νίκοσ Ειςαγωγι... 1 Σι είναι το Databox...... 1 Πότε ανανεϊνεται...... 1 Μπορεί να εφαρμοςτεί

Διαβάστε περισσότερα

Δομζσ Αφαιρετικότθτα ςτα Δεδομζνα

Δομζσ Αφαιρετικότθτα ςτα Δεδομζνα Δομζσ Αφαιρετικότθτα ςτα Δεδομζνα Περιεχόμενα Ζννοια δομισ Οριςμόσ δομισ Διλωςθ μεταβλθτϊν Απόδοςθ Αρχικϊν τιμϊν Αναφορά ςτα μζλθ μιασ δομισ Ζνκεςθ Δομισ Πίνακεσ Δομϊν Η ζννοια τθσ δομισ Χρθςιμοποιιςαμε

Διαβάστε περισσότερα

HY430 Εργαςτόριο Ψηφιακών Κυκλωμϊτων.

HY430 Εργαςτόριο Ψηφιακών Κυκλωμϊτων. HY430 Εργαςτόριο Ψηφιακών Κυκλωμϊτων Διδϊςκων: Χ. Σωτηρύου, Βοηθόσ: (θα ανακοινωθεύ) http://inf-server.inf.uth.gr/courses/ce430/ 1 2 1 3 Συγχρονιςμόσ Οριςμόσ, Περιπτώςεισ Αςφγχρονη Είςοδοσ Διαφορετικά

Διαβάστε περισσότερα

ΧΕΔΙΑΜΟ ΠΡΟΪΟΝΣΩΝ ΜΕ Η/Τ

ΧΕΔΙΑΜΟ ΠΡΟΪΟΝΣΩΝ ΜΕ Η/Τ ΧΕΔΙΑΜΟ ΠΡΟΪΟΝΣΩΝ ΜΕ Η/Τ ΚΑΜΠΤΛΕ ΕΛΕΤΘΕΡΗ ΜΟΡΦΗ Χριςιμεσ για τθν περιγραφι ομαλών και ελεφκερων ςχθμάτων Αμάξωμα αυτοκινιτου, πτερφγια αεροςκαφών, ςκελετόσ πλοίου χιματα χαρακτιρων κινουμζνων ςχεδίων Περιγραφι

Διαβάστε περισσότερα

ΑΝΣΙΣΡΟΦΗ ΤΝΑΡΣΗΗ. f y x y f A αντιςτοιχίηεται ςτο μοναδικό x A για το οποίο. Παρατθριςεισ Ιδιότθτεσ τθσ αντίςτροφθσ ςυνάρτθςθσ 1. Η. f A τθσ f.

ΑΝΣΙΣΡΟΦΗ ΤΝΑΡΣΗΗ. f y x y f A αντιςτοιχίηεται ςτο μοναδικό x A για το οποίο. Παρατθριςεισ Ιδιότθτεσ τθσ αντίςτροφθσ ςυνάρτθςθσ 1. Η. f A τθσ f. .. Αντίςτροφθ ςυνάρτθςθ Ζςτω θ ςυνάρτθςθ : A θ οποία είναι " ". Τότε ορίηεται μια νζα ςυνάρτθςθ, θ μζςω τθσ οποίασ το κάκε ιςχφει y. : A με Η νζα αυτι ςυνάρτθςθ λζγεται αντίςτροφθ τθσ. y y A αντιςτοιχίηεται

Διαβάστε περισσότερα

Προχωρθμζνα Θζματα Συςτθμάτων Ελζγχου

Προχωρθμζνα Θζματα Συςτθμάτων Ελζγχου ΠΑΝΕΠΙΣΗΜΙΟ ΑΙΓΑIΟΤ & ΑΕΙ ΠΕΙΡΑΙΑ Σ.Σ. Σμήματα Ναυτιλίας και Επιχειρηματικών Τπηρεσιών & Μηχ. Αυτοματισμού ΣΕ Π.Μ.. «Νέες Σεχνολογίες στη Ναυτιλία και τις Μεταφορές» Προχωρθμζνα Θζματα Συςτθμάτων Ελζγχου

Διαβάστε περισσότερα

Αςφάλεια και Προςταςία Δεδομζνων

Αςφάλεια και Προςταςία Δεδομζνων Αςφάλεια και Προςταςία Δεδομζνων Μοντζλα Αςφάλειασ Σςιρόπουλοσ Γεϊργιοσ ΣΙΡΟΠΟΤΛΟ ΓΕΩΡΓΙΟ 1 Μοντζλα Αςφάλειασ Οι μθχανιςμοί που είναι απαραίτθτοι για τθν επιβολι μιασ πολιτικισ αςφάλειασ ςυμμορφϊνονται

Διαβάστε περισσότερα

HY220 Εργαςτόριο Ψηφιακών Κυκλωμϊτων

HY220 Εργαςτόριο Ψηφιακών Κυκλωμϊτων HY220 Εργαςτόριο Ψηφιακών Κυκλωμϊτων Διδϊςκων: Χ. Σωτηρύου, Βοηθού: Ε. Κουναλϊκησ, Π. Ματτθαιϊκησ, Δ. Τςαλιαγκόσ http://www.csd.uoc.gr/~hy220 1 Περιεχόμενα Ρολυδιάςτατοσ Δυαδικόσ Χϊροσ Δυαδικζσ Συναρτιςεισ

Διαβάστε περισσότερα

Ιςοηυγιςμζνα δζντρα και Β- δζντρα. Δομζσ Δεδομζνων

Ιςοηυγιςμζνα δζντρα και Β- δζντρα. Δομζσ Δεδομζνων Ιςοηυγιςμζνα δζντρα και Β- δζντρα Δομζσ Δεδομζνων Περιεχόμενα Ιςοηυγιςμζνα δζντρα Μζκοδοι ιςοηφγιςθσ δζντρων Μονι Περιςτροφι Διπλι Περιςτροφι Β - δζντρα Ιςοηυγιςμζνα δζντρα Η μορφι ενόσ δυαδικοφ δζντρου

Διαβάστε περισσότερα

HY422 Ειςαγωγή ςτα υςτήματα VLSI. 5/9/ ΗΤ422 - Διάλεξθ 10θ Χρονιςμόσ. Γενικό Μοντζλο φγχρονου Κυκλώματοσ

HY422 Ειςαγωγή ςτα υςτήματα VLSI.  5/9/ ΗΤ422 - Διάλεξθ 10θ Χρονιςμόσ. Γενικό Μοντζλο φγχρονου Κυκλώματοσ HY422 Ειςαγωγή ςτα υςτήματα VLSI Διδάςκων: Χ. ωτηρίου, Βοηθόσ: Π. Ματθαιάκησ http://www.csd.uoc.gr/~hy422 1 ΗΤ422 - Διάλεξθ 10θ Χρονιςμόσ Περιεχόμενα Γενικό Μοντζλο φγχρονου Κυκλώματοσ Είδθ Μονοπατιών

Διαβάστε περισσότερα

HY220 Εργαςτόριο Ψηφιακών Κυκλωμϊτων

HY220 Εργαςτόριο Ψηφιακών Κυκλωμϊτων HY220 Εργαςτόριο Ψηφιακών Κυκλωμϊτων Διδϊςκων: Χ. Σωτηρύου, Βοηθού: Ε. Κουναλϊκησ, Π. Ματτθαιϊκησ 1 υγχρονιςμόσ Οριςμόσ και Περιπτϊςεισ Καμπφλθ Μεταβίβαςθσ και Μθχανικό Ανάλογο υγχρονιςμόσ με FF Μεταςτάκεια

Διαβάστε περισσότερα

Λαμβάνοντασ υπόψη ότι κατά την πρόςθεςη δφο δυαδικϊν ψηφίων ιςχφει: Κρατοφμενο

Λαμβάνοντασ υπόψη ότι κατά την πρόςθεςη δφο δυαδικϊν ψηφίων ιςχφει: Κρατοφμενο Αριθμητικά κυκλώματα Ημιαθροιστής (Half Adder) Ο ημιαθροιςτήσ είναι ζνα κφκλωμα το οποίο προςθζτει δφο δυαδικά ψηφία (bits) και δίνει ωσ αποτζλεςμα το άθροιςμά τουσ και το κρατοφμενο. Με βάςη αυτή την

Διαβάστε περισσότερα

ςυςτιματα γραμμικϊν εξιςϊςεων

ςυςτιματα γραμμικϊν εξιςϊςεων κεφάλαιο 7 Α ςυςτιματα γραμμικϊν εξιςϊςεων αςικζσ ζννοιεσ Γραμμικά, λζγονται τα ςυςτιματα εξιςϊςεων ςτα οποία οι άγνωςτοι εμφανίηονται ςτθν πρϊτθ δφναμθ. Σα γραμμικά ςυςτιματα με δφο εξιςϊςεισ και δφο

Διαβάστε περισσότερα

Παραπάνω παρουςιάηεται ο πιο ςυνικθσ χωροκζτθςθ αρικμθτικϊν, λογικϊν κυκλωμάτων. Η μονάδα επεξεργαςίασ είναι θ λζξθ (λ.χ. 32-bit ςε επεξεργαςτζσ,

Παραπάνω παρουςιάηεται ο πιο ςυνικθσ χωροκζτθςθ αρικμθτικϊν, λογικϊν κυκλωμάτων. Η μονάδα επεξεργαςίασ είναι θ λζξθ (λ.χ. 32-bit ςε επεξεργαςτζσ, 1 2 3 4 Παραπάνω παρουςιάηεται ο πιο ςυνικθσ χωροκζτθςθ αρικμθτικϊν, λογικϊν κυκλωμάτων. Η μονάδα επεξεργαςίασ είναι θ λζξθ (λ.χ. 32-bit ςε επεξεργαςτζσ, 8-bit ςε DSP) και αυτι κακορίηει και τθν δομι τθσ

Διαβάστε περισσότερα

Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο τησ Αριθμογραμμήσ

Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο τησ Αριθμογραμμήσ Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο τησ Αριθμογραμμήσ Αυτζσ οι οδθγίεσ ζχουν ςτόχο να βοθκιςουν τουσ εκπαιδευτικοφσ να καταςκευάςουν τισ δικζσ τουσ δραςτθριότθτεσ με το μοντζλο τθσ Αρικμογραμμισ.

Διαβάστε περισσότερα

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος Εργαστήριο Οργάνωσης Η/Υ Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Χρησιμοποιούμε τις μηχανές πεπερασμένων καταστάσεων (finite state machines FSMs) για την μοντελοποίηση της συμπεριφοράς ενός κυκλώματος, η

Διαβάστε περισσότερα

Ειςαγωγι ςτθν Τεχνολογία Αυτοματιςμοφ

Ειςαγωγι ςτθν Τεχνολογία Αυτοματιςμοφ ΠΑΝΕΠΙΣΗΜΙΟ ΑΙΓΑIΟΤ & ΑΕΙ ΠΕΙΡΑΙΑ Σ.Σ. Σμήματα Ναυτιλίας και Επιχειρηματικών Τπηρεσιών & Μηχ. Αυτοματισμού ΣΕ Ειςαγωγι ςτθν Τεχνολογία Αυτοματιςμοφ Ενότθτα # 7: Συςτιματα Ελζγχου Μόνιμο ςφάλμα Ευςτάκεια

Διαβάστε περισσότερα

Η γλώςςα προγραμματιςμού C

Η γλώςςα προγραμματιςμού C Η γλώςςα προγραμματιςμού C Οι εντολζσ επανάλθψθσ (while, do-while, for) Γενικά για τισ εντολζσ επανάλθψθσ Συχνά ςτο προγραμματιςμό είναι επικυμθτι θ πολλαπλι εκτζλεςθ μιασ ενότθτασ εντολϊν, είτε για ζνα

Διαβάστε περισσότερα

ΕΡΓΑΣΗΡΙΑΚΗ ΑΚΗΗ 4.1

ΕΡΓΑΣΗΡΙΑΚΗ ΑΚΗΗ 4.1 ΕΡΓΑΣΗΡΙΑΚΗ ΑΚΗΗ 4. Να γίνει πρόγραμμα το οποίο να επιλφει το Διαγώνιο Σφςτθμα: A ι το ςφςτθμα : ι ςε μορφι εξιςώςεων το ςφςτθμα : Αλγόρικμοσ m(). Διαβάηουμε τθν τιμι του ( θ διάςταςθ του Πίνακα Α )..

Διαβάστε περισσότερα

ΦΥΕ 14 ΑΚΑΔ. ΕΤΟΣ Η ΕΡΓΑΣΙΑ. Ημερομηνία παράδοςησ: 12 Νοεμβρίου (Όλεσ οι αςκιςεισ βακμολογοφνται ιςοτίμωσ με 10 μονάδεσ θ κάκε μία)

ΦΥΕ 14 ΑΚΑΔ. ΕΤΟΣ Η ΕΡΓΑΣΙΑ. Ημερομηνία παράδοςησ: 12 Νοεμβρίου (Όλεσ οι αςκιςεισ βακμολογοφνται ιςοτίμωσ με 10 μονάδεσ θ κάκε μία) ΦΥΕ ΑΚΑΔ. ΕΤΟΣ 007-008 Η ΕΡΓΑΣΙΑ Ημερομηνία παράδοςησ: Νοεμβρίου 007 (Όλεσ οι αςκιςεισ βακμολογοφνται ιςοτίμωσ με 0 μονάδεσ θ κάκε μία) Άςκηςη α) Να υπολογιςκεί θ προβολι του πάνω ςτο διάνυςμα όταν: (.

Διαβάστε περισσότερα

Ανάπτυξη Εφαρμογών Σε Προγραμματιςτικό Περιβάλλον

Ανάπτυξη Εφαρμογών Σε Προγραμματιςτικό Περιβάλλον Γραπτι Εξζταςθ ςτο μάκθμα Ανάπτυξη Εφαρμογών Σε Προγραμματιςτικό Περιβάλλον Όνομα: Επϊνυμο: Τμιμα: Ημερομθνία: 20/02/11 Θζμα 1 ο Α. Να χαρακτθρίςετε κακεμιά από τισ παρακάτω προτάςεισ ωσ Σωςτι (Σ) ι Λάκοσ

Διαβάστε περισσότερα

Ιδιότθτεσ πεδίων Γενικζσ.

Ιδιότθτεσ πεδίων Γενικζσ. Οι ιδιότθτεσ των πεδίων διαφζρουν ανάλογα με τον τφπο δεδομζνων που επιλζγουμε. Ορίηονται ςτο κάτω μζροσ του παρακφρου ςχεδίαςθσ του πίνακα, ςτθν καρτζλα Γενικζσ. Ιδιότθτα: Μζγεκοσ πεδίου (Field size)

Διαβάστε περισσότερα

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 2 ο Εργαςτιριο Διαχείριςθ Διεργαςιϊν

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 2 ο Εργαςτιριο Διαχείριςθ Διεργαςιϊν ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ 2 ο Εργαςτιριο Διαχείριςθ Διεργαςιϊν Τπόβακρο (1/3) τουσ παλαιότερουσ υπολογιςτζσ θ Κεντρικι Μονάδα Επεξεργαςίασ (Κ.Μ.Ε.) μποροφςε κάκε ςτιγμι να εκτελεί μόνο ζνα πρόγραμμα τουσ ςφγχρονουσ

Διαβάστε περισσότερα

HY220 Pipelines and FSMs Χειμεριν Χειμερι ό Εξ άμη Εξ ν άμη ο

HY220 Pipelines and FSMs Χειμεριν Χειμερι ό Εξ άμη Εξ ν άμη ο HY220 Pipelines and FSMs Χειμερινό Εξάμηνο 2009 2010 Latency Throughput Tc a[n] b[n] x[n] a[0] a[1] a[2] a[3] a[4] a[5] a[6] a[7] c[n] Input Regs +1 + Output Reg Input Regs Output Reg b[0] b[1] b[2] b[3]

Διαβάστε περισσότερα

Βάςεισ Δεδομζνων Ι. Ενότητα 4: Μετατροπή ςχήματοσ Ο/Σ ςε ςχεςιακό. Δρ. Τςιμπίρθσ Αλκιβιάδθσ Τμιμα Μθχανικϊν Ρλθροφορικισ ΤΕ

Βάςεισ Δεδομζνων Ι. Ενότητα 4: Μετατροπή ςχήματοσ Ο/Σ ςε ςχεςιακό. Δρ. Τςιμπίρθσ Αλκιβιάδθσ Τμιμα Μθχανικϊν Ρλθροφορικισ ΤΕ Βάςεισ Δεδομζνων Ι Ενότητα 4: Μετατροπή ςχήματοσ Ο/Σ ςε ςχεςιακό Δρ. Τςιμπίρθσ Αλκιβιάδθσ Τμιμα Μθχανικϊν Ρλθροφορικισ ΤΕ Άδειεσ Χρήςησ Το παρόν εκπαιδευτικό υλικό υπόκειται ςε άδειεσ χριςθσ Creative Commons.

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Μηχανές Πεπερασμένων Καταστάσεων Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ

Διαβάστε περισσότερα

Συπικζσ Γλϊςςεσ Περιγραφισ Τλικοφ Διάλεξθ 5

Συπικζσ Γλϊςςεσ Περιγραφισ Τλικοφ Διάλεξθ 5 Τμήμα Μησανικών Πληποφοπικήρ, Τ.Ε.Ι. Ηπείπος Ακαδημαϊκό Έτορ 2016-2017, 6 ο Εξάμηνο Συπικζσ Γλϊςςεσ Περιγραφισ Τλικοφ Διάλεξθ 5 Διδάςκων Σςιακμάκθσ Κυριάκοσ, Phd MSc in Electronic Physics (Radioelectrology)

Διαβάστε περισσότερα

HY220 Εργαςτήριο Ψηφιακών Κυκλωμάτων.

HY220 Εργαςτήριο Ψηφιακών Κυκλωμάτων. HY220 Εργαςτήριο Ψηφιακών Κυκλωμάτων Διδάςκων: Χ. Σωτηρίου, Βοηθοί: Ε. Κουναλάκησ, Π. Ματτθαιάκησ http://www.csd.uoc.gr/~hy220 1 ΗΥ220 - Διάλεξθ 7θ - Αρικμθτικά Κυκλϊματα Κυκλϊματα Πρόςκεςθσ Half-adder

Διαβάστε περισσότερα

ΠΡΟΓΡΑΜΜΑΣΙΜΌ ΤΠΟΛΟΓΙΣΏΝ. Κεφάλαιο 8 Η γλϊςςα Pascal

ΠΡΟΓΡΑΜΜΑΣΙΜΌ ΤΠΟΛΟΓΙΣΏΝ. Κεφάλαιο 8 Η γλϊςςα Pascal ΠΡΟΓΡΑΜΜΑΣΙΜΌ ΤΠΟΛΟΓΙΣΏΝ Κεφάλαιο 8 Η γλϊςςα Pascal Παράγραφοσ 8.2 Βαςικοί τφποι δεδομζνων Σα δεδομζνα ενόσ προγράμματοσ μπορεί να: είναι αποκθκευμζνα εςωτερικά ςτθν μνιμθ είναι αποκθκευμζνα εξωτερικά

Διαβάστε περισσότερα

ΛΕΙΤΟΥΓΙΚΆ ΣΥΣΤΉΜΑΤΑ. 6 θ Διάλεξθ Διαχείριςθ Μνιμθσ Μζροσ Β

ΛΕΙΤΟΥΓΙΚΆ ΣΥΣΤΉΜΑΤΑ. 6 θ Διάλεξθ Διαχείριςθ Μνιμθσ Μζροσ Β ΛΕΙΤΟΥΓΙΚΆ ΣΥΣΤΉΜΑΤΑ 6 θ Διάλεξθ Διαχείριςθ Μνιμθσ Μζροσ Β Δυναμικι Κατάτμθςθ (1/8) Η δυναμικι κατάτμθςθ αναπτφχκθκε με ςτόχο να ξεπεραςτοφν οριςμζνεσ από τισ βαςικζσ δυςκολίεσ τθσ κατάτμθςθσ ςτακεροφ

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 6: Σύγχρονα Ακολουθιακά Κυκλώματα Κυριάκης Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 1

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 1 Τμήμα Μησανικών Πληποφοπικήρ, Τ.Ε.Ι. Ηπείπος Ακαδημαϊκό Έτορ 2016-2017, 6 ο Εξάμηνο Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 1 Διδάςκων Τςιακμάκθσ Κυριάκοσ, Phd MSc in Electronic Physics (Radioelectrology)

Διαβάστε περισσότερα

HY220 Εργαςτήριο Ψηφιακών Κυκλωμάτων. Διδάςκων: Χ. ωτηρίου, Βοηθοί: Ε. Κουναλάκησ, Π. Ματτθαιάκησ, Δ. Σςαλιαγκόσ.

HY220 Εργαςτήριο Ψηφιακών Κυκλωμάτων. Διδάςκων: Χ. ωτηρίου, Βοηθοί: Ε. Κουναλάκησ, Π. Ματτθαιάκησ, Δ. Σςαλιαγκόσ. HY220 Εργαςτήριο Ψηφιακών Κυκλωμάτων Διδάςκων: Χ. ωτηρίου, Βοηθοί: Ε. Κουναλάκησ, Π. Ματτθαιάκησ, Δ. Σςαλιαγκόσ http://www.csd.uoc.gr/~hy220 1 Περιεχόμενα Κακυςτζρθςθ και παράγοντεσ που τθν επθρεάηουν

Διαβάστε περισσότερα

HY437 Αλγόριθμοι CAD

HY437 Αλγόριθμοι CAD HY437 Αλγόριθμοι CAD Διδϊςκων: Χ. Σωτηρύου http://inf-server.inf.uth.gr/courses/ce437/ 1 Περιεχόμενα Ρολυδιάςτατοσ Δυαδικόσ Χϊροσ Δυαδικζσ Συναρτιςεισ Θεϊρθμα Boole/Shannon Κανονικζσ Μορφζσ Ελαχιςτόροι/Μεγιςτόροι

Διαβάστε περισσότερα

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 8 θ Διάλεξθ Ιδεατι Μνιμθ Μζροσ Α

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 8 θ Διάλεξθ Ιδεατι Μνιμθ Μζροσ Α ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ 8 θ Διάλεξθ Ιδεατι Μνιμθ Μζροσ Α Βαςικι Ορολογία Ιδεατή Μνήμη: χιμα ανάκεςθσ αποκθκευτικοφ χϊρου, ςτο οποίο θ δευτερεφουςα μνιμθ μπορεί να διευκυνςιοδοτθκεί ςαν να ιταν μζροσ τθσ κφριασ

Διαβάστε περισσότερα

HY430 Εργαςτήριο Ψηφιακών Κυκλωμάτων. Πολυπλζκτεσ Καμπφλθ Παρζτο. Κωδικοποιθτζσ/Από-κωδικοποιθτζσ D FF

HY430 Εργαςτήριο Ψηφιακών Κυκλωμάτων.  Πολυπλζκτεσ Καμπφλθ Παρζτο. Κωδικοποιθτζσ/Από-κωδικοποιθτζσ D FF HY430 Εργαςτήριο Ψηφιακών Κυκλωμάτων Διδάςκων: Χ. Σωτηρίου, Βοηθόσ: (θα ανακοινωθεί) http://inf-server.inf.uth.gr/courses/ce430/ Περιεχόμενα Περιγραφζσ και υνκζςιμεσ Δομζσ Πολυπλζκτεσ Καμπφλθ Παρζτο Κωδικοποιθτζσ/Από-κωδικοποιθτζσ

Διαβάστε περισσότερα

Πειραματικι Ψυχολογία (ΨΧ66)

Πειραματικι Ψυχολογία (ΨΧ66) Πειραματικι Ψυχολογία (ΨΧ66) Διάλεξη 7 Σεχνικζσ για τθν επίτευξθ ςτακερότθτασ Πζτροσ Ροφςςοσ Μζθοδοι για την επίτευξη του ελζγχου Μζςω του κατάλλθλου ςχεδιαςμοφ του πειράματοσ (ςτόχοσ είναι θ εξάλειψθ

Διαβάστε περισσότερα

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 9 θ & 10 θ Διάλεξθ Ιδεατι Μνιμθ Μζροσ Β

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 9 θ & 10 θ Διάλεξθ Ιδεατι Μνιμθ Μζροσ Β 1 ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ 9 θ & 10 θ Διάλεξθ Ιδεατι Μνιμθ Μζροσ Β 2 ελιδοποίθςθ με Χριςθ Ιδεατισ Μνιμθσ (1/5) Ο όροσ ιδεατή μνήμη ςυνικωσ ςχετίηεται με ςυςτιματα τα οποία εφαρμόηουν ςελιδοποίθςθ, παρόλο που

Διαβάστε περισσότερα

ΗΥ101: Ειςαγωγι ςτθν Πλθροφορικι

ΗΥ101: Ειςαγωγι ςτθν Πλθροφορικι Παράςταςη κινητήσ υποδιαςτολήσ Δρ. Χρήστος Ηλιούδης Θζματα διάλεξησ Παράςταςη ςταθεροφ ςημείου Παράςταςη αριθμών κινητοφ ςημείου 2 Παράςταςη ςταθεροφ ςημείου Στθν παράςταςθ αρικμϊν ςτακεροφ ςθμείου (Fixed

Διαβάστε περισσότερα

Τεχνικζσ Ανάλυςησ Διοικητικών Αποφάςεων

Τεχνικζσ Ανάλυςησ Διοικητικών Αποφάςεων Τεχνικζσ Ανάλυςησ Διοικητικών Αποφάςεων Ενότητα 7: Ειςαγωγι ςτο Δυναμικό Προγραμματιςμό Κακθγθτισ Γιάννθσ Γιαννίκοσ Σχολι Οργάνωςθσ και Διοίκθςθσ Επιχειριςεων Τμιμα Διοίκθςθσ Επιχειριςεων Σκοποί ενότητασ

Διαβάστε περισσότερα

HY437 Αλγόριθμοι CAD

HY437 Αλγόριθμοι CAD HY437 Αλγόριθμοι CAD Διδϊςκων: Χ. Σωτηρύου http://inf-server.inf.uth.gr/courses/ce437/ 1 Περιεχόμενα Στόχοι τθσ Τεχνολογικισ Απεικόνιςθσ Περιγραφι σ ωσ Βαςικοί Γράφοι Μεταςχθματιςμόσ Δυαδικοφ Κυκλϊματοσ

Διαβάστε περισσότερα

Βαςεις δεδομενων 1. Δρ. Αλζξανδροσ Βακαλουδθσ

Βαςεις δεδομενων 1. Δρ. Αλζξανδροσ Βακαλουδθσ Βαςεις δεδομενων 1 Δρ. Αλζξανδροσ Βακαλουδθσ επικοινωνια Email: avakaloudis@hotmail.com Website: http://teiser.alvak.gr Ερωτιςεισ Στο ΤΕΙ Σερρϊν Δευτζρα, Τριτθ (κατοπιν ςυννενόθςθσ) Σιμερα Μοντζλο οντοτιτων

Διαβάστε περισσότερα

Δζντρα. Δομζσ Δεδομζνων

Δζντρα. Δομζσ Δεδομζνων Δζντρα Δομζσ Δεδομζνων Περιεχόμενα Δζντρα Γενικζσ ζννοιεσ Κόμβοσ ενόσ δζντρου Δυαδικά δζντρα αναηιτθςθσ Αναηιτθςθ Κόμβου Ειςαγωγι ι δθμιουργία κόμβου Δζντρα Γενικζσ ζννοιεσ Οι προθγοφμενεσ δομζσ που εξετάςτθκαν

Διαβάστε περισσότερα

Μάκθςθ Κατανομϊν Πικανότθτασ και Ομαδοποίθςθ

Μάκθςθ Κατανομϊν Πικανότθτασ και Ομαδοποίθςθ Μάκθςθ Κατανομϊν Πικανότθτασ και Ομαδοποίθςθ Κϊςτασ Διαμαντάρασ Τμιμα Πλθροφορικισ ΤΕΙ Θεςςαλονίκθσ 1 Μάκθςθ κατανομισ πικανότθτασ Σε όλθ τθν ανάλυςθ μζχρι τϊρα ζγινε ςιωπθρά θ παραδοχι ότι γνωρίηουμε

Διαβάστε περισσότερα

Στα προθγοφμενα δφο εργαςτιρια είδαμε τθ δομι απόφαςθσ (ι επιλογισ ι ελζγχου ροισ). Ασ κυμθκοφμε:

Στα προθγοφμενα δφο εργαςτιρια είδαμε τθ δομι απόφαςθσ (ι επιλογισ ι ελζγχου ροισ). Ασ κυμθκοφμε: ΔΟΜΗ ΑΠΟΦΑΗ Στα προθγοφμενα δφο εργαςτιρια είδαμε τθ δομι απόφαςθσ (ι επιλογισ ι ελζγχου ροισ). Ασ κυμθκοφμε: Όταν το if που χρθςιμοποιοφμε παρζχει μόνο μία εναλλακτικι διαδρομι εκτζλεςθ, ο τφποσ δομισ

Διαβάστε περισσότερα

HY422 Ειςαγωγό ςτα Συςτόματα VLSI Διδϊςκων: Χ. Σωτηρύου, Βοηθόσ: Π. Ματθαιϊκησ http://www.csd.uoc.gr/~hy422 1 Μανταλωτζσ κετικισ, αρνθτικισ πολικότθτασ χεδίαςθ με Μανταλωτζσ Κακυςτζρθςθ FF τφπου HLFF (AM

Διαβάστε περισσότερα

Εγχειρίδιο Χριςθσ τθσ διαδικτυακισ εφαρμογισ «Υποβολι και παρακολοφκθςθ τθσ ζγκριςθσ Εκπαιδευτικών Πακζτων»

Εγχειρίδιο Χριςθσ τθσ διαδικτυακισ εφαρμογισ «Υποβολι και παρακολοφκθςθ τθσ ζγκριςθσ Εκπαιδευτικών Πακζτων» Εγχειρίδιο Χριςθσ τθσ διαδικτυακισ εφαρμογισ «Υποβολι και παρακολοφκθςθ τθσ ζγκριςθσ Εκπαιδευτικών Πακζτων» Το Πλθροφοριακό Σφςτθμα τθσ δράςθσ «e-κπαιδευτείτε» ζχει ςτόχο να αυτοματοποιιςει τισ ακόλουκεσ

Διαβάστε περισσότερα

ΕΡΓΑΣΗΡΙΟ ΕΦΑΡΜΟΜΕΝΗ ΠΛΗΡΟΦΟΡΙΚΗ

ΕΡΓΑΣΗΡΙΟ ΕΦΑΡΜΟΜΕΝΗ ΠΛΗΡΟΦΟΡΙΚΗ Στο εργαςτιριο αυτό κα δοφμε πωσ μποροφμε να προςομοιϊςουμε μια κίνθςθ χωρίσ τθ χριςθ εξειδικευμζνων εργαλείων, παρά μόνο μζςω ενόσ προγράμματοσ λογιςτικϊν φφλλων, όπωσ είναι το Calc και το Excel. Τα δφο

Διαβάστε περισσότερα

ΥΡΟΝΣΙΣΗΡΙΟ Μ. Ε. ΚΑΙ ΚΕΝΣΡΟ ΙΔΙΑΙΣΕΡΩΝ ΜΑΘΗΜΑΣΩΝ «ΚΤΡΙΣΗ» ΔΙΑΓΩΝΙΜΑ ΘΕΜΑΣΑ Β ΛΤΚΕΙΟΤ ΥΕΒΡΟΤΑΡΙΟ 2018 ΑΕΠΠ

ΥΡΟΝΣΙΣΗΡΙΟ Μ. Ε. ΚΑΙ ΚΕΝΣΡΟ ΙΔΙΑΙΣΕΡΩΝ ΜΑΘΗΜΑΣΩΝ «ΚΤΡΙΣΗ» ΔΙΑΓΩΝΙΜΑ ΘΕΜΑΣΑ Β ΛΤΚΕΙΟΤ ΥΕΒΡΟΤΑΡΙΟ 2018 ΑΕΠΠ ΥΡΟΝΣΙΣΗΡΙΟ Μ. Ε. ΚΑΙ ΚΕΝΣΡΟ ΙΔΙΑΙΣΕΡΩΝ ΜΑΘΗΜΑΣΩΝ «ΚΤΡΙΣΗ» ΔΙΑΓΩΝΙΜΑ ΘΕΜΑΣΑ Β ΛΤΚΕΙΟΤ ΥΕΒΡΟΤΑΡΙΟ 2018 ΘΕΜΑ Α ΑΕΠΠ Α1. Για κακεμία από τισ παρακάτω προτάςεισ να χαρακτθρίςετε με ΣΩΣΤΟ ι ΛΑΘΟΣ 1. Η ζκφραςθ

Διαβάστε περισσότερα

HY430 Εργαστήριο Ψηφιακών Κυκλωμάτων. Πολυπλέκτες Καμπύλη Παρέτο. Κωδικοποιητές/Από-κωδικοποιητές D FF

HY430 Εργαστήριο Ψηφιακών Κυκλωμάτων.   Πολυπλέκτες Καμπύλη Παρέτο. Κωδικοποιητές/Από-κωδικοποιητές D FF HY430 Εργαστήριο Ψηφιακών Κυκλωμάτων Διδάσκων: Χ. Σωτηρίου, Βοηθός: (θα ανακοινωθεί) http://inf-server.inf.uth.gr/courses/ce430/ Περιεχόμενα Περιγραφές και Συνθέσιμες Δομές Πολυπλέκτες Καμπύλη Παρέτο Κωδικοποιητές/Από-κωδικοποιητές

Διαβάστε περισσότερα

ΘΕΡΜΟΔΤΝΑΜΙΚΗ Ι. Ενότθτα 1: Βαςικά χαρακτθριςτικά τθσ Θερμοδυναμικισ. ογομϊν Μπογοςιάν Πολυτεχνικι χολι Σμιμα Χθμικϊν Μθχανικϊν

ΘΕΡΜΟΔΤΝΑΜΙΚΗ Ι. Ενότθτα 1: Βαςικά χαρακτθριςτικά τθσ Θερμοδυναμικισ. ογομϊν Μπογοςιάν Πολυτεχνικι χολι Σμιμα Χθμικϊν Μθχανικϊν ΘΕΡΜΟΔΤΝΑΜΙΚΗ Ι Ενότθτα 1: Βαςικά χαρακτθριςτικά τθσ Θερμοδυναμικισ ογομϊν Μπογοςιάν Πολυτεχνικι χολι Σμιμα Χθμικϊν Μθχανικϊν κοποί ενότθτασ κοπόσ τθσ ενότθτασ αυτισ είναι θ περιγραφι των οριςμϊν και και

Διαβάστε περισσότερα

MySchool Πρακτικζσ οδθγίεσ χριςθσ

MySchool Πρακτικζσ οδθγίεσ χριςθσ MySchool Πρακτικζσ οδθγίεσ χριςθσ 1) Δθμιουργία τμθμάτων (ΣΧΟΛΙΚΗ ΜΟΝΑΔΑ, Διαχείριςθ, Διαχείριςθ τμθμάτων) Το πρώτο που πρζπει να κάνουμε ςτο MySchool είναι να δθμιουργιςουμε τα τμιματα που υπάρχουν ςτο

Διαβάστε περισσότερα

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 4

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 4 Τμήμα Μησανικών Πληποφοπικήρ, Τ.Ε.Ι. Ηπείπος Ακαδημαϊκό Έτορ 2016-2017, 6 ο Εξάμηνο Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 4 Διδάςκων Τςιακμάκθσ Κυριάκοσ, Phd MSc in Electronic Physics (Radioelectrology)

Διαβάστε περισσότερα

Αυτόματη δημιουργία στηλών Αντιστοίχηση νέων λογαριασμών ΦΠΑ

Αυτόματη δημιουργία στηλών Αντιστοίχηση νέων λογαριασμών ΦΠΑ Αυτόματη δημιουργία στηλών Αντιστοίχηση νέων λογαριασμών ΦΠΑ 1 Περίληψη Το ςυγκεκριμζνο εγχειρίδιο δημιουργήθηκε για να βοηθήςει την κατανόηςη τησ διαδικαςίασ αυτόματησ δημιουργίασ ςτηλών και αντιςτοίχιςησ

Διαβάστε περισσότερα

ΥΡΟΝΣΙ ΣΗΡΙΟ Μ. Ε. ΚΑΙ ΚΕΝΣΡΟ ΙΔΙΑΙΣΕΡΩΝ ΜΑΘΗΜΑΣΩΝ «ΚΤΡΙΣ Η» ΔΙΑΓΩΝΙ ΜΑ ΑΕΠΠ

ΥΡΟΝΣΙ ΣΗΡΙΟ Μ. Ε. ΚΑΙ ΚΕΝΣΡΟ ΙΔΙΑΙΣΕΡΩΝ ΜΑΘΗΜΑΣΩΝ «ΚΤΡΙΣ Η» ΔΙΑΓΩΝΙ ΜΑ ΑΕΠΠ ΥΡΟΝΣΙ ΣΗΡΙΟ Μ. Ε. ΚΑΙ ΚΕΝΣΡΟ ΙΔΙΑΙΣΕΡΩΝ ΜΑΘΗΜΑΣΩΝ «ΚΤΡΙΣ Η» ΔΙΑΓΩΝΙ ΜΑ ΘΕΜΑΣΑ Β ΛΤΚΕΙΟΤ ΑΠΡΙΛΙΟ 2018 ΚΑΘΗΓΗΤΗΣ: Γιώργος Πασσαλίδης ΑΕΠΠ ΟΝΟΜΑΣΕΠΩΝΤΜΟ: ΒΑΘΜΟ : ΘΕΜΑ Α Α1. Για κακεμία από τισ παρακάτω προτάςεισ

Διαβάστε περισσότερα

Ποσοτικές Μέθοδοι Δρ. Χάϊδω Δριτσάκη

Ποσοτικές Μέθοδοι Δρ. Χάϊδω Δριτσάκη Ποσοτικές Μέθοδοι Δρ. Χάϊδω Δριτσάκη MSc Τραπεζική & Χρηματοοικονομική Τεχνολογικό Εκπαιδευτικό Ίδρυμα Δυτικής Μακεδονίας Western Macedonia University of Applied Sciences Κοίλα Κοζάνης 50100 Kozani GR

Διαβάστε περισσότερα

ΕΦΑΡΜΟΓΕ ΒΑΕΩΝ ΔΕΔΟΜΕΝΩΝ ΣΗ ΝΟΗΛΕΤΣΙΚΗ. Φιλιοποφλου Ειρινθ

ΕΦΑΡΜΟΓΕ ΒΑΕΩΝ ΔΕΔΟΜΕΝΩΝ ΣΗ ΝΟΗΛΕΤΣΙΚΗ. Φιλιοποφλου Ειρινθ ΕΦΑΡΜΟΓΕ ΒΑΕΩΝ ΔΕΔΟΜΕΝΩΝ ΣΗ ΝΟΗΛΕΤΣΙΚΗ Φιλιοποφλου Ειρινθ Προςθήκη νζων πεδίων Ασ υποκζςουμε ότι μετά τθ δθμιουργία του πίνακα αντιλαμβανόμαςτε ότι ζχουμε ξεχάςει κάποια πεδία. Είναι ζνα πρόβλθμα το οποίο

Διαβάστε περισσότερα

ΜΑΘΗΜΑΤΙΚΑ Α Γυμνασίου

ΜΑΘΗΜΑΤΙΚΑ Α Γυμνασίου ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΜΑΘΗΜΑΤΙΚΑ Α Γυμνασίου Ενότητα 1β: Ισότητα - Εξίσωση ΠΑΙΔΑΓΩΓΙΚΟ ΙΝΣΤΙΤΟΥΤΟ ΥΠΗΡΕΣΙΑ ΑΝΑΠΤΥΞΗΣ ΠΡΟΓΡΑΜΜΑΤΩΝ ΜΑΘΗΜΑΤΙΚΑ Α Γυμνασίου Ενότητα 1β: Ισότητα - Εξίσωση Συγγραφή:

Διαβάστε περισσότερα

ΗΥ225 Οργάνωση Υπολογιστών. Εισαγωγή στη Verilog

ΗΥ225 Οργάνωση Υπολογιστών. Εισαγωγή στη Verilog ΗΥ225 Οργάνωση Υπολογιστών Εισαγωγή στη Verilog Processors are everywhere ARM based products CS225: How to build your own processor University of Crete ΗΥ225 2 Intel 8086 Processor 1978 29.000 transistors

Διαβάστε περισσότερα

8 τριγωνομετρία. βαςικζσ ζννοιεσ. γ ςφω. εφω και γ. κεφάλαιο

8 τριγωνομετρία. βαςικζσ ζννοιεσ. γ ςφω. εφω και γ. κεφάλαιο κεφάλαιο 8 τριγωνομετρία Α βαςικζσ ζννοιεσ τθν τριγωνομετρία χρθςιμοποιοφμε τουσ τριγωνομετρικοφσ αρικμοφσ, οι οποίοι ορίηονται ωσ εξισ: θμω = απζναντι κάκετθ πλευρά υποτείνουςα Γ ςυνω = εφω = προςκείμενθ

Διαβάστε περισσότερα

HY225 Οργάνωςη Τπολογιςτών

HY225 Οργάνωςη Τπολογιςτών HY225 Οργάνωςη Τπολογιςτών Διδάςκοντεσ: Δ. Νικολόπουλοσ, Φ. ωτηρίου. http://www.csd.uoc.gr/~hy225 1 Περιεχόμενα Αναςκόπθςθ χεδίαςθ/τλοποίθςθ Επεξεργαςτι Διαδικαςία Εκτζλεςθσ Εντολισ Επιςκόπθςθ δομισ Επεξεργαςτι

Διαβάστε περισσότερα

Ειδικά Θζματα Βάςεων Δεδομζνων

Ειδικά Θζματα Βάςεων Δεδομζνων Ειδικά Θζματα Βάςεων Δεδομζνων Ενότητα 11: Αντικειμενοςτραφήσ και αντικείμενοςχεςιακζσ βάςεισ Δρ. Τςιμπίρθσ Αλκιβιάδθσ Τμιμα Μθχανικϊν Πλθροφορικισ ΤΕ Άδειεσ Χρήςησ Το παρόν εκπαιδευτικό υλικό υπόκειται

Διαβάστε περισσότερα

Βάςεισ Δεδομζνων Ι. Ενότητα 12: Κανονικοποίηςη. Δρ. Τςιμπίρθσ Αλκιβιάδθσ Τμιμα Μθχανικών Πλθροφορικισ ΤΕ

Βάςεισ Δεδομζνων Ι. Ενότητα 12: Κανονικοποίηςη. Δρ. Τςιμπίρθσ Αλκιβιάδθσ Τμιμα Μθχανικών Πλθροφορικισ ΤΕ Βάςεισ Δεδομζνων Ι Ενότητα 12: Κανονικοποίηςη Δρ. Τςιμπίρθσ Αλκιβιάδθσ Τμιμα Μθχανικών Πλθροφορικισ ΤΕ Άδειεσ Χρήςησ Το παρόν εκπαιδευτικό υλικό υπόκειται ςε άδειεσ χριςθσ Creative Commons. Για εκπαιδευτικό

Διαβάστε περισσότερα

ΑΝΑΚΟΙΝΩΗ ΜΕΣΑΒΑΣΙΚΩΝ ΡΤΘΜΙΕΩΝ ΓΙΑ ΣΙ ΑΛΛΑΓΕ ΣΟ ΠΡΟΓΡΑΜΜΑ ΠΟΤΔΩΝ ΣΟΤ ΣΜΗΜΑΣΟ ΜΗΧ. ΣΕΧΝΟΛΟΓΙΑ ΑΕΡΟΚΑΦΩΝ

ΑΝΑΚΟΙΝΩΗ ΜΕΣΑΒΑΣΙΚΩΝ ΡΤΘΜΙΕΩΝ ΓΙΑ ΣΙ ΑΛΛΑΓΕ ΣΟ ΠΡΟΓΡΑΜΜΑ ΠΟΤΔΩΝ ΣΟΤ ΣΜΗΜΑΣΟ ΜΗΧ. ΣΕΧΝΟΛΟΓΙΑ ΑΕΡΟΚΑΦΩΝ ΑΝΑΚΟΙΝΩΗ ΜΕΣΑΒΑΣΙΚΩΝ ΡΤΘΜΙΕΩΝ ΓΙΑ ΣΙ ΑΛΛΑΓΕ ΣΟ ΠΡΟΓΡΑΜΜΑ ΠΟΤΔΩΝ ΣΟΤ ΣΜΗΜΑΣΟ ΜΗΧ. ΣΕΧΝΟΛΟΓΙΑ ΑΕΡΟΚΑΦΩΝ Πλοι οι ςπουδαςτζσ ακολουκοφν το νζο πρόγραμμα ςπουδών από το παρόν εξάμθνο που βρίςκονται. Για τα

Διαβάστε περισσότερα

Αςκιςεισ ςε (i) Δομζσ Ευρετθρίων και Οργάνωςθ Αρχείων (ii) Κανονικοποίθςθ

Αςκιςεισ ςε (i) Δομζσ Ευρετθρίων και Οργάνωςθ Αρχείων (ii) Κανονικοποίθςθ Αςκιςεισ ςε (i) Δομζσ Ευρετθρίων και Οργάνωςθ Αρχείων (ii) Κανονικοποίθςθ Δεκζμβριοσ 2016 Άςκθςθ 1 Θεωρείςτε ότι κζλουμε να διαγράψουμε τθν τιμι 43 ςτο Β+ δζντρο τθσ Εικόνασ 1. Η διαγραφι αυτι προκαλεί

Διαβάστε περισσότερα