SISTEME DE ACHIZITIE DATE

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "SISTEME DE ACHIZITIE DATE"

Transcript

1 OVIDIU SPATARI PETRU ROSCA SISTEME DE ACHIZITIE DATE APLICATII DE LABORATOR

2 Sef lucr. dr. ing. Ovidiu SPATARI Conf. dr. ing. Petru ROSCA SISTEME DE ACHIZITIE DATE ULBS 2005

3 CUPRINS: LUCRAREA - MASURAREA NUMERICA A FRECVENTEI pag.2 LUCRAREA 2- AMPLIFICATOARE INSTRUMENTALE pag.8 LUCRAREA 3- CIRCUITE DE ESANTIONARE SI MEMORARE pag.3 LUCRAREA 4- CIRCUITE DE CONVERSIE ANALOGICA pag.8 LUCRAREA 5- CONVERTOARE TENSIUNE FRECVENTA pag.2 LUCRAREA 6- CONVERTOARE NUMERIC-ANALOGICE pag.24 LUCRAREA 7- CONVERTOARE ANALOG NUMERICE pag.30 LUCRAREA 8- CONVERTOARE ANALOG NUMERICE 2 pag.34 LUCRAREA 9- PORTUL PARALEL - APLICATIA pag.39 LUCRAREA 0 - PORTUL PARALEL - APLICATIA 2 pag.46 LUCRAREA - PORTUL SERIAL pag.49 LUCRAREA 2 HANDYSCOPE pag.55

4 404 Q= 0MHz K K n FRECVENTMETRUL DIGITAL SI INTERFATA DE ACHIZITIE V +5V +5V +5V +5V 0MHZ +5V IN B V CC LT 4,7μ IN B V CC 4,7μ IN B V CC 4,7μ V CC 4,7μ V RB CC 4,7μ V CC 4,7μ R 0() R 0 0() R 0() Q A D Q A b0 7 X 330 A a a AC R 0(2) IN A R 0(2) IN A R 0(2) Q B D Q b B b B b 2 R c Q D Q D Q C Q b2 c 9() R 9() R 9() D 3 C C d d R 9(2) R Q 9(2) R A Q 9(2) A Q b3 e e D D 4 Q D D f f GND GND g GND IN EN RB g PZ A EN 2 GND GND GND IN B Q A Q B Q C Q D R 0() R 0(2) V CC GND IN A +5V +5V +5V IN B V CC 4,7μ IN B V CC 4,7μ R 0() R 0(2) IN A Q A R 0() R 0(2) R 9() Q R 9() D R 9(2) R 9(2) GND GND IN A Q D Q A IN B R 0() R 0(2) R 9() R 9(2) 490 V CC Q A Q B Q C Q D GND IN A +5V D D 2 D 3 D V CC Q A Q B Q C Q D EN EN 2 GND +5V b4 b5 b6 b7 LT RB 0 A B C D RB 447 V CC a b c d e f g GND +5V 7 X V CC a AC b c d e f g PZ GND +5V 80K INTRARE DIGITALA 60K 6K +5V N IN B R 0() R 0() R 0(2) IN A R 0(2) R 9() Q D R 9() R 9(2) R 9(2) GND DECADA I +5V RESET V BC7 47 Y Y2 Y3 INTRARE ANALOGICA +5V 40 V CC Q A +5V +5V 4,7μ IN B V CC 4,7μ 0KHZ GND GAME K 400 IN A Q D Q A 0HZ MEMORARE IN B R 0() R 0(2) R 9() R 9(2) 490 V CC Q A Q B Q C Q D GND IN A END CONVERSIE STARTCONVERSIE 43 +5V D D 2 D 3 D V CC Q A Q B Q C Q D EN EN 2 GND b0 b4 b8 b b5 b9 b2 b6 b0 b3 b7 b +5V b8 b9 b0 b LT RB 0 A B C D RB X X2 X3 X4 Y2 Y3 Y4 INH X X2 X3 X4 Y2 Y3 Y4 INH 447 V CC a b c d e f g GND A B A B +5V 2X4052 X X 7 X V CC a AC b c d e f g PZ GND 379 H A H V P O R T U L P A R A L E L 7

5 FRECVENTMETRUL NUMERIC LUCRAREA NR. MASURAREA NUMERICA A FRECVENTEI FRECVENTMETRUL NUMERIC I.PARTEA TEORETICA. PRINCIPIUL FRECVENŢMETRUL NUMERIC Un semnal s( t ) se numeste periodic daca pentru orice ( t ), exista T diferit de 0 astfel incat s( t+t ) = s ( t ). Marimea T se numeste perioadă. Prin definitie numim frecvenţa de repetitie a fenomenului marimea f=/t. Frecventa de repetitie arată de cate ori se repetă semnalul s ( t ) intr-o secunda. Unitatea de masura a frecventei este Hertzul definit ca frecventa unui fenomen periodic care are ca ciclu pe o secunda. In general frecventele se pot masura prin diferite procedee: compararea cu elemente cunoscute sau prin metode de numarare a ciclilor intr-un interval de timp dat. Dezvoltarea tehnicii digitale si a metodelor de stabilizare a frecventei oscilatoarelor a condus la raspandirea acestui din urma procedeu, care permite precizii foarte bune Oscilatoarele cu quartz. Sunt circuite formate dintr-un oscilator electronic avand incorporat un cristal de quartz piezoelectric termostatat. Cristalul este dispus in bratele unei punti rezistive ( fig.7. ). Sistemul este prevazut cu un control automat al amplificarii. Stabilitatea obtinuta este de circa 0-0 pe zi, dupa 90 de zile de functionare. Ca la orice oscilator cu quartz, apare un fenomen de imbatranire care corespunde la o schimbare a frecventei de pe zi, in prima perioada de functionare ( dupa 30 de zile si inainte de un an ), dupa care se Q= 0MHz K nf K /4 404 /4 404 stabilizeaza la0-0 pe zi. fig.. Metode de masurare a frecventei Metodele si aparatele de masurare a frecventei sunt dupa principiu, analogice sau numerice. Dup precizia urmarita, metodele utilizate pentru masurarea frecventei se pot grupa in trei mari categorii. Din prima categorie fac parte metodele analogice in care se pot 2

6 INTERFATA MEMORIE SISTEME DE ACHIZITIE DATE FRECVENTMETRUL NUMERIC obtine precizii de 2%. din adoua categorie fac parte metodele numerice, cu care se pot realiza precizii mult mai mari, pana in jurul valorii de 0-2. In a treia grupa intra metodele de mare precizie,la care se realizeaza precizii de 0-4. Aceste precizii sunt in prezent obisnuite in laboratoarele specializate in generarea si masurarea precisa a frecventelor. Metodele numerice se bazeaza pe masurarea numarului de cicli N ai fenomenului studiat, intr-un interval de timp T e : frecvente fiind f=n/t e. Frecventmetrele numerice Sunt de mai multe tipuri : dupa valoarea masurata : frecvente medie,instantanee, nominala. Schema lor cuprinde un numar de elemente specifice interconectate astfel incat sa permita masurarea frecventelor si a perioadelor. aceste elemente sunt : oscilatorul de referinta, baza de timp care are rolul de a diviza frecventa provenita de la oscilatorul de referinta in scopul obtinerii unei serii de repere de frecventa, circuit formator de semnal, circuit poarta,circuite de numarare, bloc de comanda si control, circuite de decodificare si afisare. Schema bloc a frecventmetrului numeric este prezentata in fig..2 f X POARTA 3 2 NUMARATOR DECODIFICATOR SELECTIE GAME SELG OSCILATOR DIVIZOR DE FRECVENTA RESET BLOC DE C-DA SI CONTROL MEM. DATE PORTURI PC fig..2 Principiul frecventmetrului numeric Se considera o poarta SI cu doua intrari si 2 si o iesire 3 precum si semnalele aplicate : la intrarea un tren de impulsuri dreptunghiulare de perioada T f, la intrarea 2un semnal de durata T p ( intervalul de timp T p se va numi timpul de deschidere a portii principale ). La iesirea 3 va apare o secventa de N impulsuri, se poate scrie relatia ( ) T p =NT f sau N = T ( ) T In fig..3 este data forma semnalelor,2 si 3 p f 3

7 FRECVENTMETRUL NUMERIC T X 2 T P 3 T P =NT X fig..3 Masurarea frecventei f x : Se presupun impulsurile de la intrarea ca fiind de frecventa necunoscuta f x, adica de perioada T x - seva inlocui T x cu T f considerand ca la intrarea 2 semnalul aplicat este de secunda ( T p =s ) de unde vom avea relatia ( 2 ) N = = f T x x ( 2 ) In concluzie pentru a masura frecventa se numara impulsurile ce trec prin poarta principala intr-un interval de timp egal cu o secunda sau cu multiplii sau sub multiplii ai secundei; rezultatul se exprima in Hz, khz sau MHz Masurarea perioadei T x : In acest caz poarta principala este deschisa un interval de timp egal cu perioada T x a semnalului de intrare si la cealalta intrare a portii se aplica impulsuri de durata cunoscuta T 0. Astfel in relatia ( 2 ) T p devine T x si T f =T 0 deci se poate scrie relatia ( 3 ) N = T x T 0 ( 3) Rezultatul masurarii are dimensiunea μs sau ms dupa cum T 0 are valoarea μs sau ms. Eroriile frecventmetrelor numerice Eroriile ce apar in astfel de sisteme sunt de mai multe categorii. In principiu, fiecare element poate introduce erori. Astfel dispozitivul de formare a impulsurilor poate fi actionat de trecerile prin 0, daca semnalul masurat este afectat de zgomot se pot inregistra treceri prin 0 false. Deasemenea pot apara erori datorate functionarii defectuase a oscilatorului pilot care in loc sa functioneze pe frecventa f 0 functioneaza pe o frecventa f 0. Toate aceste 4

8 FRECVENTMETRUL NUMERIC erori sunt mici in comparatie cu erorile sistematice, dintre care cea principala este inregistrarea posibila a unui impuls in plus fata de cea ce trebuia inregistrat, datorat unei relatii nedorite intre durata intervalului in care se numara impulsurile si modul in care aceste impulsuri sosesc la numarator. II. PARTEA EXPERIMENTALĂ: Se va utiliza pentru masurare standul de laborator pentru masurarea numerica a frecventei cu urmatoarele caracteristici tehnice: - intervalul de masura Hz...MHz - semnale TTL - Impedanta de intrare,35mω/35pf. Pentru diferite frecvente ale semnalului de intrare explicati functionarea frecventmetrului numeric ( vezi schema electrică în detaliu ) sesizati blocurile componente ale schemei si explicati functionarea fiecarui bloc in parte -Cu osciloscopul se va vizualiza semnalele de intrare, baza de timp si semnalele generate de blocul de comanda si control -Cititi pe afisajul digital valoarea frecventei si comparati-o cu valoarea citita pe afisajul binar Rezultatul citirii se trece in TAB f X T X AFIS. AFISARE BINARA [Hz] [s] ZEC. MSB LSB b b0 b9 b8 b7 b6 b5 b4 b3 b2 b b0 Hz KHz

9 FRECVENTMETRUL NUMERIC 2. Studiaţi şi explicaţi protocolul de achiziţie pentru frecvenţmetrul numeric utilizat la pct. fig..4 6

10 AMPLIFICATOARE INSTRUMENTALE LUCRAREA NR. 2 CIRCUITE DE CONDITIONARE AMPLIFICATOARE DE INSTRUMENTATIE I.PARTEA TEORETICA. Măsurarile de precizie care necesită amplificarea unor semnale de nivel foarte redus in prezenţa unor tensiuni de mod comun mari, cum ar fi semnalele furnizate de punţi de masura, termocupluri sau alte traductoare montate la distanta faţă de punctul de citire si prelucrare a datelor, necesită utilizarea unor amplificatoare de mare performanţă. Un astfel de amplificator este amplificatorul de instrumentatie care de regulă este un amplificator diferenţial cu o amplificare finită, foarte precis reglabilă prin conectarea in exteriorul circuitului integrat a unor rezistente sau perechi de rezistente cu impedanţă de intrare şi factorul de mod comun (CMMRR) de valori foarte mari. Amplificatorul de instrumentatie permite realizarea de performante superioare amplificatoarelor operationale in ceea ce priveste tensiunea de decalarj, deriva termica, liniaritatea, stabilitatea şi precizia factorului de amplificare, fiind diferite fundamental de A.O. prin faptul ca sunt destinate sa funcţioneze numai in circuite inchise, elementele de reacţie incluzându - se în structura circuitului integrat. Configuratia unui astfel de amplificator instrumental este diferită putând fi realizate cu componente discrete şi amplificatoare operaţionale sau in tehnologie monolitica sau hibridă. La aparatura de masura control amplificarea in tensiune se face adesea cu amplificatoare diferenţiale la care una din intrari este folosită pentru intrarea de semnal, iar cealalta pentru conectarea retelei de reacţie. Daca s-ar folosi un singur amplificator operaţional reţeaua de reacţie ar reduce impedanţa de intrare la valori ce nu pot fi admise în asemenea aplicaţii si de aceea s-au imaginat structuri speciale de amplificatoare diferenţiale cu două sau mai multe A.O.(amplif. operat.). Deci ampificatoarele de instrumentatie sunt diferentiale cu intrari flotante fata de masa, cu impedanta mare atit pe modul diferential cit si pe modul comun avind iesire fata de masa pe o rezistenta foarte redusa. Ideal tensiunea de iesire U e este data de relaţia U e =A d (U i -U i2 ) () 8

11 AMPLIFICATOARE INSTRUMENTALE In realitate,tensiunea de iesire U e cuprinde 2 componente conform schemei de modulare a unui amplificator instrumental (fig.2.) fig. 2. Relatiile de functionare sunt urmatoare : U e = U ea +U eb (2) U ea =A d (U i2 -U i )=A d U id (3) U eb =A cm (U i +U i2 )/2= A cm U icm (4) Din relatiile 2,3,4 rezulta că: U e =A d U id +A cm U icm =A d (U id + U icm /RRMC) (5) unde: A d = factor de amplificare diferenţial A cm = factor de amplificare de mod comun RRMC= A d /A cm =factor de rejecţie al modului comun Factorul U icm /RRMC din relaţia (5) reprezinta eroarea introdusă datorită lui A cm 0.Se observa că cu cât RRMC este mai mare cu atât erorea amplificatorului este mai mică. Schema unui astfel de amflificator instrumental este următoarea : fig.2.2 9

12 AMPLIFICATOARE INSTRUMENTALE Amplificatorul instrumental cuprinde:- un preamplificator cu intrare şi ieşire diferenţială realizat cu AO şi AO 2,urmat de un amplificator diferenţial cu AO 3. Amplificarea realizatţ de amplificator este: A d =+2R 2 /R g (6) iar cea realizata de amlificatorul AO 3 este: A d2 = R 4 /R 3 (7) Din (6),(7) câstigul total al amplific. pentru semnal diferenţial de intrare va fi: A d =U e /(U i2 -U i )=A d A d2 = R 4 /R 3 (+2R 2 /R g ) (8) Prin imperecherea convenabilă din punct de vedere al decalajului de tensiune şi al derivei de temperatură a amplificatoarelor AO şi AO 2 se obţin pe ansamblul decalaj neglijabil şi derivă termică de până la 0,25 μv/ o C. II. PARTEA EXPERIMENTALĂ. Scopul urmărit constă în măsurarea principalilor parametrii ce caracterizează funcţionarea AI. având schema din fig.2.2 şi anume: -Amplificarea Ad -banda de trecere la semnal mic -timpul de stabilire -factorul de recepţie a semnalului de mod comun. 2. Se va măsura amplificarea A n utilizând montajul din fig. 2 în care generatorul G furnizeză la iesire o tens. sinusoidală cu frecvenţa f=khz. fig. 2.3 Se va determina caracteristica de transfer U e =f(u i ) rglând nivelul tensiunii furnizate de generator incepând cu valoarea zero. Măsurarile se vor efectua cu un voltmetru electronic de c.a. VN şi se trec in tabelul urmator: Se va considera R g =K. 0

13 AMPLIFICATOARE INSTRUMENTALE U i (mv) U e (V) A n =U e /U i Panta semidreptei care aproximează cel mai bine caracteristica reală de transfer reprezintă parametrul căutat. Utilizând cele două caracteristici de transfer, reală respectiv ideală se va determina apoi neliniaritatea amplificării An A ε= A d d 00[%] (9) unde A n este determinata de caracteristica de transfer reală iar A d se determină cu relaţia (8). 2.2 Utilizând montajul din fig. 2 se determină banda de trecere la semnal mic trasând caracteristica amplificare frecvenţă U e =f 2 (f i ) pentru U i =50mV=ct. si f i =0-MHz. Pe baza cesteia se determina frecventa limita superioara a benzii de trecere In vederea măsurarii timpului de stabilire se oscilografiază tensiunea la iesire AI în situaţia în care sunt aplicate la intrarea sa impulsuri dreptunghiulare. fig Pentru măsurarea factorului de rejecţie a semnalului de mod comun se utilizează montajul din fig. 4. Mărimea parametrului căutat se calculează conform relaţiei de definiţie RRMC=20log (A d /A cm )=20logA d (U cm /U p ) (0) în care

14 AMPLIFICATOARE INSTRUMENTALE A cm =U p /U cm U p = indicaţia voltmetrului numeric U cm = tensiunea de mod comun aplicată la intrarea amplificatorului. Este o tensiune continuă sau o tensiune alternativă sinusoidală cu frecvenţa f = 50Hz si 0V vv Valoarea lui A d este cea determinata la punctul 2.. III. PRELUCRAREA REZULTATELOR.Pentru 2. se va trasa caracteristica U e =f(u i ) pentru valori ale rezistentei R g de 0,5K si k, precum şi calculul lui ε pentru ambele cazuri. 2.Folosind rezultatele de la 2.2. trasaţi caracteristica amplitudine-frecvenţă U e =f(f i ) şi determinaţi frecvenţa limită superioară a benzii de trecere. 3.În urma rezultatelor de la 2.3 stabiliţi timpul de stabilire al AI. 4.Folosind relaţia (0) calculaţi factorul de rejecţie al modului comun (RRMC) al amlificatorului de instrumentaţie. APLICATII UTILIZAND CIRCUITUL AMPLIFICATOR INSTRUMENTAL AD623 a) amplificator punte tensometrica b) amplificator termocuplu fig

15 CIRCUITE DE ESANTIONARE SI MEMORARE LUCRAREA NR. 3 CIRCUITE DE ESANTIONARE SI MEMORARE I.PARTEA TEORETICA. Circuitele de eşantionare şi memorare (în limba engleză sample and hold) realizează prelevarea valorii, de la un moment dat, a unui semnal analogic (tensiune electrică) şi memorarea acestei valori. În starea de memorare impusă prin nivelul logic al semnalului de comandă al semnalului de comandă E/M, circuitul de eşantionare şi memorare funcţionează ca şi repetor. Frontul de coborâre al semnalului de de comandă E/M determină memorarea valorii tensiunii de intrare u i de la momentul corespunzător frontului. Această valoare a tensiunii de intrare este menţinută la ieşirea circuitului de eşantionare şi memorare în intervalul corespunzător stării de memorare impusă prin nivelul logic 0 al semnalului de comandă E/M (fig.3.). fig.3. Principala utilitate a circuitelor de eşantionare şi memorare (CEM) este în sistemele de achiziţie date unde ieşirea CEM este conectată la intrarea convertorului analog numeric. În starea de memorare CEM menţine constantă tensiunea de la intrarea convertorului analog numeric obtinându-se astfel mărirea valorii limitei superioare a domeniului de frecvenţe la care CAN este utilizat la rezoluţia maximă dată de numărul de biţi ai acestuia (cu condiţia ca tensiunea de intrarea CAN să nu se modifice în intervalul efectuării conversiei cu mai mult de ±/2LSB). Caracteristicile circuitelor de eşantionare şi memorare în corelaţie cu sistemul de achiziţie. t ap - timpul de apretură reprezintă intervalul dintre frontul de comandă a stării de memorare pentru CEM şi comutarea efectivă a circuitului în starea de memorare. În procesele de achiziţie fronturile de comandă a stării de memorare trebuie să fie decalate înainte cu t ap faţă de momentele impuse de prelevare a eşantioanelor (fig.2). 3

16 CIRCUITE DE ESANTIONARE SI MEMORARE fig.3.2 t iap - instabilitatea timpului de apretură reprezintă limita maximă a variaţilor aleatoare ale timpului de apretură. Valorile memorate ale eşantioanelor sunt afectate de erori cu limita maximă ε max =p max t iap unde pmax reprezintă panta maximă a semnalului de intrare u i. În procesele de achiziţie eroarea ε max trebuie să sadisfacă relaţia: ε max =p max t iap /2 LSB t s - timp de stabilizare reprezintă durată necesară stabilizării ieşirii CEM în starea de memorare. t ac - timp de achiziţie reprezintă intervalul de timp între frontul de comandă a stării de eşantionare şi momentul în care ieşirea CEM urmăreşte intrarea cu o precizie dată (eroare mai mică decât /2LSB). Apărând datorită întârzierii la comanda de comutare în stare de eşantionare, timpul de achiziţie reprezintă o caracteristică importantă a CEM care limitează în procesul de achiziţie frecvenţa de eşantionare. Δu e /Δt - viteză de alterare constă în modificarea tensiunii u e de la ieşirea CEM şi trebuie să fie mai mică decât /2LSB. diafonia - caracterizează variaţile tensiunii ue de la ieşirea CEM în starea de memorare datorate variaţilor tensiunii de intrare ui, în practică trebuie să fie mai mică decât /2LSB. Principii constructive ale circuitelor de eşantionare şi memorare. 4

17 CIRCUITE DE ESANTIONARE SI MEMORARE Pentru realizarea practică a acestor circuite sunt utilizate circuite amplificatoare operaţionale, condensatoate ca elemente de memorare şi comutatoare prin care se realizează comanda în stările de eşantionare şi respectiv memorare. Amplificatorul oparaţional de la intrare AO asigură o impedanţă mare de intrare pentru CEM şi impedanţă mică de încărcare pentru condensatorul C în starea de eşantionare (fig.3). Amplificatorul de ieşire AO 2 realizat cu tranzistoare TEC în circuitul de intrare, cea ce duce la descărcarea lentă a condensatorului C şi deci la o viteză de alterare redusă. Valoarea capacităţii C (elementul de memorare) este aleasă în funcţie de caracteristicile sistemului în care este folosit circuitul de eşantionare şi memorare. Reducerea erorilor de decalaj ale CEM se poate obţine prin includerea celor două amplificatoare operaţionale AO şi AO 2 într-o buclă de reacţie globală (fig..4). Utilizarea reacţiei globale are principalul efect de eliminare a erorilor de decalaj corespunzătoare amplificatorului operaţional de ieşire AO 2. În starea de memorare cele două operaţionale funcţionează separat în configuraţie de repetoare. fig.3.3 fig.3.4 În figura 3.4 diafonia rezultă ca urmare a transmiterii tensiunii de la ieşirea amplificatorului operaţional de intrare AO prin capacitatea parazită C d a comutatorului K în poziţia corespunzătoare stării de memorare şi este cu atât mai pronunţată cu cât raportul C d /C este mai mare. 5

18 CIRCUITE DE ESANTIONARE SI MEMORARE Ansamblul circuit de eşantionare şi memorare - convertor analog-numeric (fig.3.5). fig.3.5 START CONV. semnal activat de sistemul de prelucrare numerică ce permite declanşarea procesului de converise analog-numerică. STARE CONV. semnal activat de convertorul analog-numeric şi indică efectuarea de către CAN a unui ciclu de conversie. În scopul achiziţionării unui eşantion CEM este comandat în starea de memorare după timpul t, dar declanşarea conversiei se face după un timp de stabilire a ieşirii CEM, t 2 (t 2 -t t ap +t s ). Durata t 3 semnifică sfârşitul conversiei analog-numerice şi este indicat de comutarea în 0 a semnalului STARE CONV. cu t 3 -t 2 =T C, unde T C este timpul de conversie al CAN. Tot în acest moment (t 3 ) CAN oferă sistenului de prelucrare numerică rezultatul conversiei b,b 2,...,b N. Această stare este menţinută până la momentul t 4 astefel încât t 4 - t 3 t ac unde t ac este timpul de achiziţie al CEM. Perioada de achiziţie minimă caracteristică ansamblului CEM-CAN, T acmin reprezintă intervalul de timp dintre momentele de prelevare a două eşantioane consecutive: T acmin =(t 4 -t ) min =t ap +t s +T C +t ac Atenţie!: Conform teoremei eşantionării (teorema lui Shannon), pentru evitarea efectelor de aliniere, este necesar ca valoarea minimă a frecvenţei de eşantionare să fie: f e >2 f i condiţia Nyquist unde f i este frecvenţa maximă din spectrul semnalului analogic de intrare u i. 6

19 CIRCUITE DE ESANTIONARE SI MEMORARE Circuitul de eşantionare şi memorare (sample and hold) LF98A. Circuitul LF 98A/ LF398A este produs de firma National Semiconductor pe o structură monolitică în tehnologie BI-FET. Circuitul respectă principile teoretice ale eşantionarii şi memorarii, pe lângă avantajul construcţiei monolitice dispune şi de o precizie mare cea cel face extrem de folosit în aplicaţile moderne cu sisteme de achiziţie. Se pot enumera câteva caracteristici tehnice interesante pentru orice proiectant de sistem de achiziţie: tensiunea de alimentare ±5V la ±2V, timp de achiziţie 0μs, intrări compatibile TTL, C-MOS, P-MOS, offset mic la intrare etc. In figura 3.6 este prezentată ordinea şi semnificaţie pinilor pentru un tip de capsulă (fig3.6), şi o aplicaţie standard de circuit de eşantionare şi memorare cu LF98A (fig3.6b). fig

20 CIRCUITE DE ESANTIONARE SI MEMORARE II. PARTEA EXPERIMENTALĂ:. Se va studia ansamblul CEM-CAN prezentat în figura 3.7 şi se va explica funcţionarea lui. 2. Analizaţi CEM prezentat şi stabiliţi timpul minim de achiziţie al ansamblului CEM- CAN. 3. Oscilografiaţi semnalele de START şi STARE CONVERSIE. 4. Modificănd frecvenţa de eşantionare verificaţi respectarea condiţiei Nyquist şi a teoremei eşantionării (Shannon) şi stabiliţi momentul apariţiei efectului de aliniere. fig.3.7 8

21 CIRCUITE DE CONVERSIE ANALOGICA LUCRAREA NR. 4 CIRCUITE DE CONVERSIE ANALOGICA REDRESOARE DE PRECIZIE CU AMPLIFICATOARE OPERATIONALE I.PARTEA TEORETICA. I. Redresorul monoalternanţă de precizie. Este utilizat pentru conversia semnalelor de curent alternativ în semnale de curent continu. Se numeşte de precizie deoarece la mai mici valori pozitive ale semnalului de intrare acesta este practic transferat la ieşire (monoalternanţă). In funcţionare se regăsesc următoarele cazuri (fig. 8.): - Tensiunea U i <0, curentul de sarcină nu poate fi asigurat de ieşirea AO, deoarece ar trebui ca I o să aibă un sens invers prin diodă. Dioda blocată provoacă întreruperea buclei externe de reacţie a amplificatorului repetor deci tensiunea de ieşire U e este nulă. - Tensiunea U i >0, ieşrea AO tinde spre valori pozitive şi aduce dioda în stare de conducţie stabilind astfel calea de reacţie pozitivă rezultând U e =U i. U D U i U 0 R S U e fig. 4. Evitarea saturaţiei în buclă deschisă a AO (cazul ) se face încluzând în schema rezistenţele R şi R 2 ca în fig.4.2 evidenţiindu-se şi aici două cazuri: - Tensiunea U i =0, D şi D 2 sunt blocate şi U e =U i =0 - Tensiunea U i >0, ieşirea creşte foarte repede datorită buclei de reacţie lucru ce duce la trecerea în conducţie a lui D 2 şi blocarea lui D. U R = 2 s U i R - Tensiunea U i <0, ieşirea scade foarte repede datorită buclei de reacţie lucru ce duce la trecerea în conducţie a lui D şi blocarea lui D 2. U S =0 8

22 CIRCUITE DE CONVERSIE ANALOGICA D U i D 2 U 0 R S U e fig. 4.2 II.Redresorul bialternanţă de precizie. Se caută redresarea ambelor alternanţe prin însumarea tensiunii redresate de primul AO cu chiar tensiunea de intrare (fig.4.3). Amplitudinea tensiunii de intrare este amplificată cu doi (tensiunea U ) pe semiperioada alternaţei pozitive. Al doilea bloc cu AO (sumator) realizează însumarea tensiunii U cu U i. - pentru U i <0, U =0 şi US=-U i - pentru U i >0, U =-2U i şi U S =-(2U i +U i )=-U i Formele de undă a celor două tipuri de redresoare sun date în figura R U i R D D 2 R R U 0 U R R S U e fig

23 CIRCUITE DE CONVERSIE ANALOGICA U i U e MONOALTERNANTA U U e BIALTERNANTA fig.4.4 II. PARTEA EXPERIMENTALA. Construiţi pe hârtie milimetrică diagrama de semnale a redresorului bialternanţă din figura K U i 0K N400 D +2V Lm V 6 D 2 U 0 N400 U 0K 0K 0K +2V 2 7 Lm V 6 R S U e fig

24 CIRCUITE DE CONVERSIE ANALOGICA LUCRAREA NR. 5 CIRCUITE DE CONVERSIE ANALOGICA CONVERTOARE TENSIUNE-FRECVENTA I.PARTEA TEORETICA. Asemenea convertoare sunt din ce în ce mai utilizate în sistemele de achiziţia datelor în special pentru achiziţia turaţiei, perioadei şi frecvenţei. Utilizarea unei conversii tensiune frecvenţă la locul de măsură face ca transmisia de date până la centrul de achiziţie să se facă mult mai sigur. Pentru construcţia unui convertor tensiune frecvenţă cu performanţe bune putem opta pentru schema din figura 9. în care circuitul LM555 este folosit ca oscilator astabil. Incărcarea condensatorului de temporizare C=0nF este asigurată de un generator de curent constant realizat cu amplificatorul AO şi tranzistorul T. Alimentarea sub masă (0...-5V) al circuitului temporizator produce la ieşire impulsuri logice negative cu o perioadă T. Deoarece intrările AO au acelaşi potenţial curentul care străbate rezistenţa R este egal cu U i /R. Timpul în care condensatorul C se încarcă între U PJ şi U PS (0V) este dat de relaţia: T inc RC(UPS U = U unde: U PJ şi U PS sunt tensiunile de prag ale comparatorului SUS şi JOS din 555. Perioada totală a oscilaţiei de ieşire este: Frecvenţa ieşirii este inversul perioadei: PS PJ T = Ti + T nc desc = RC + Ui f = T i (U PJ ) U Ui R C(U U Se observă că se poate realiza o conversie tensiune frecvenţă liniară dacă descărcarea condensatorului C se face rapid. Acest lucru se poate face pe două căi: alegerea unei valoare relativ mică pentru capacitatea C şi prin alegerea unei frecvenţe maxime de lucru mult mai mică decât durata de descarcare a condensatorului C. PS Domeniul de frecvenţe este afectat în partea de jos de tensiunea de decalaj şi de coeficientul de variaţie cu temperatura a tensiunii de offset a AO. Utilizînd schema din figura 9. se poate construi un convertor pe trei decade de frecvenţă, 0Hz...0KH pentru tensiuni cuprinse în intervalul 0mV...0V. Dezavantajul schemei constă în faptul că este sensibilă la valoarea tensiunii de alimentare negativă de 5V. Dezavantajul este înlăturat de soluţia propusă în schema din fig.5.2. PJ ) ) T desc 2

25 CIRCUITE DE CONVERSIE ANALOGICA INTRARE 0K 0K +5V T Lm20A ALO V ,8p DESC -5V 6 PS IES 2 PJ C 3 5 2,2K 2,5K IESIRE -5V 5,6K -5V T fig V 00K INTRARE 00K 2n 2n +5V n 4-5V 0K 4 ALO V+ 8 7 DESC IES 6 PS 2 PJ C 2n 3 5 0n IESIRE fig. 5.2 II. PARTEA EXPERIMENTALA. Pentru diferite valori ale tensiunii de intrare (0mV...500mV) trasaţi caracteristica de transfer pentru convertorul prezentat în figura Explicaţi funcţionarea schemei din fig care sunt avantajele şi dezavantajele utilizării ei. 3. Construiţi schema electrică a unui convertor pe trei decade de frecvenţă, 0Hz...0KH pentru tensiuni cuprinse în intervalul 0mV...0V. 22

26 CIRCUITE DE CONVERSIE ANALOGICA III. APLICATII CU CIRCUITUL CONVERTOR FRECVENTA TENSIUNE LM 2907 TAHOMETRU CU RELUCTANTA VARIABILA fig

27 CONVERTOARE NUMERIC ANALOGICE LUCRAREA NR. 6 CONVERTOARE NUMERIC ANALOGICE CONVERTORUL NUMERIC ANALOGIC DAC08 I.PARTEA TEORETICA. Acestea transformă intr-un cod numeric o marime analogică (tensiune, curent) proportională cu echivalentul in sistem zecimal al numarului dat. Convertorul are o sursa de referinta (U R, I R ) care asigură suportul analogic al conversiei. Dacă mărimea de ieşire a CNA este o tensiune U, convertorul efectueaza operatia matematică de inmulţire :U=NU R () unde N este numarul de convertit. Relatia () reprezinta caracteristica de transfer statica a CNA.Dacă numărul de intrare este dat in cod binar natural subunitar, relaţia () se poate scrie: U=U R S a i 2 -i =U R (a a a n 2 -n ) Caracteristica statică de transfer ideală aunui CNA cu patru biţi in cod binar natural este dată in fig.. Rezoluţia convertorului este : cu echivalentul analogic: LSB = 2 -n r = U R 2 -n fig.6. CNA cu rezistente ponderate Cuprinde oretea de rezistente,un grup de comutatoare electronice si un AO utilizat ca sumator. Bi'ii a,a 2,...,a n se aplică simultan, valoarea lor determină pozitia comutatoarelor 24

28 CONVERTOARE NUMERIC ANALOGICE S k : (a k = rezultă S k inchis a k =0 rezultă S k deschis). curentul I k in starea inchisă a lui S k este : I k = -U R /2 k- R iar in starea deschisă este 0. fig. 6.2 Deci pentru orice valoare a k : I k =a k (-U R /2 k- R) Tensiunea la iesirea AO se obtine prin însumarea curenţilor parţiali: 2RU r R 2RU U0 = Rr Ik = ak 2 = R R k r R Convertoril digital-analogic de 8 biti DAC 08 În marea familie a convertoarelor, circuitul integrat DAC 08 convertor pe 8 biţi, ocupă o poziţie de standard individual. Circuitul are o precizie de 0,9 % suficientă pt. aplicaţile industriale obisnuite. Fiind un circuit de viteză (timp de stabilire 00ns) poate fi utilizat la achiziţii de date pentru controlul proceselor industriale şi prelucrări numerice. Versabilitatea in utilizare este justificată şi de posibilitatea interfaţării cu orice tip de logică (TTL, CMOS), de gama largă a tensiunilor de alimentare 4,5 V - 8V ce pot fi nesimetrice precum şi consumul redus (33mW la +- 5V) independent de stările celor 8 biţi din intrările logice. Circuitul are 2 ieşiri de curent notate cu I 0 si I 0. Aceşti curenţi au proprietatea ca suma lor este constantă si egală cu 255/256*I ref, unde I ref este curentul impus din exterior care intră în terminalul 4, ei fiind furnizaţi de blocul comutatoare curenţi, obţinându-se prin insumarea curenţilor din ieşirile ficăruia din cele 8 comutatoare. Curentii I -I 8 furnizaţi de blocul Reţea ponderare curenţi sunt o fracţiune crescătoare cu puterile lui 2 din curentul I ref ; astfel I k =I Ref /2 k pentru k=...8. Aceşti curenţi sunt aplicaţi la intrările celor 8 comutatoare de curent. Astfel în funcţie de configuraţia logică a intrărilor b0...b7, se obţine N 25

29 CONVERTOARE NUMERIC ANALOGICE un curent I 0 proporţional cu valoarea numerică a cuvântului binar format din cei opt biţi. Valoarea maximă a curentului I 0 se obţine atunci când toţi curenţii I k sunt comutaţi la această ieşire. Se obţine: I0 = I = I = I k Re f k Re f k = k = Curentul I Ref este furnizat din exterior cu ajutorul unui generator de curent sau de un generator de tensiune V Ref şi o rezistenţă R Ref care determină valoarea curentului: I Ref =V Ref /R Ref Rezistenţa conectată la intrarea V Ref(-) este egală cu cea care determină valoarea curentului I Ref (conectată la V ref(+) ) având rolul de a compensa efectul curentului din intrarea V ref (+) asupra curentului I 0 transferat către blocul "Retea ponderare curenţi ". DAC 08 configuraţie şi valori limită absolute (fig.3) fig.6.3 Tensiunea de alimentare = ±5V, Putere anticipată= 500mW, Rezuluţia= 8 biţi, Curentul de referinţă= 5mA, Timp de propagare= 35ns, Timp de stabilire= 00ns DAC 08 Scheme fundamentale de utilizare.observaţii: Alimentarea circuitului se face între 9V 36V. În cazul utilizării unor surse de alimentare de ± 5V se recomandă curenţi de referinţă de ma. Circuitul funcţionează dacă tensiunea totală de alimentare între V + şi V - este cel puţin 8V. 26

30 CONVERTOARE NUMERIC ANALOGICE Date tehnice DAC08 Schema internă de principiu: Reguli de conectare: fig

31 CONVERTOARE NUMERIC ANALOGICE fig. 6.5 II. PARTEA EXPERIMENTALĂ. Explicaţi funcţionarea circuitului DAC Studiaţi şi explicaţi schema convertorului digital analogic din figura Utilizând standul de laborator pentru studiul convertoarelor numeric analogice completaţi tabelul TAB. Cu datele obţinute trasaţi caracteristica de transfer al CNA studiat. 4. Proiectaţi convertorul binar-zecimal din schema din figura 6.6. TAB. BB8 BB7 BB6 BB5 BB4 BB3 BB2 BB N 0 U

32 CONVERTOARE NUMERIC ANALOGICE fig

33 CIRCUITE DE CONVERSIE ANALOG-NUMERICA LUCRAREA NR. 7 CIRCUITE DE CONVERSIE ANALOG-NUMERICĂ CONVERTOARE ANALOG-NUMERICE PARALELE I.PARTEA TEORETICA. Sunt cele mai rapide convertoare analog-digitale. Tensiunea de referinţă U R este divizată în 2 n praguri egale q=u R /2 n (q-treaptă de cuantizare sau cuanta convertorului) cu o reţea de rezistenţe egale R înseriate. La cele 2 n - comparatoare se aplică tensiunea de măsurat U x şi tensiunile de prag de comparaţie q, 2q,...(2 n -)q. toate comparatoarele la care U x este mai mare decât pragurile de referinţă vor avea starea de ieşire (comparatoarele de la q la mq) iar la care U x este mai mică decât pragurile respective starea ieşirii va fi 0 (comparatoarele de la (m+)q la (2 n -)q). la ieşirea blocului de divizare comparare se obţine un şir de stări logice (m de şi 2 n -m- de 0) care este reprezentarea raportului U x /U R în cod binar unitar normal (fig.7.). fig.7. Trecerea de la codul binar unitar normal la un cod uzual ( cel mai adesea codul binar natural) se face cu ajutorul ubui codificator CD, care cuprinde circuite logice combinaţionale. Principalul dezavantaj al acestor convertoare este volumul mare de elemente componente. De exemplu pentru schema din fig. pentru n=2 biţi cuprinde 4095 comparatoare, 4096 rezistenţe şi un număr mare de circuite logice combinaţionale. Codificarea din codul unitar 30

34 CIRCUITE DE CONVERSIE ANALOG-NUMERICA normal în cod binar se poate face prin utilizarea memorilor ROM, iar volumul mare de comparatoare prin realizarea lor în tehnică LSI. Deoarece semnalul de intrare U X este de tip continuu iar semnalul de ieşire are valori discrete în timp rezultă posibilitatea existenţei unor erori de aproximare (de cuantizare) care au valoarile cuprinse între ±0,5 LSB pentru caracteristica de transfer din fig.2a. Erorile de cuantizare pot fi exprimate prin relaţile: N X R k k = k e = U U b 2 [ v] N N 2 e U U b N k = X k2 [ v] R k = unde biţii b k sunt corelaţi cu tensiunea de intrare U X, în concordanţă cu fig.2a. Dacă semnalul de la intrarea unui CAN este de ambele polarităţi, în intervalul de variaţie a lui UR (dela -UR/2 la +UR/2, cu valori tipice de ±5V şi ±0V), convertorul analog numeric se numeşte bipolar şi are caracteristica de transfer prezentată în figura 2b. Pentru codificarea ieşirilor numerice a convertoarelor analog-numerice cel mai folosit cod este complementul faţă de doi în care semnificaţia bitului MSB este de bit de semn.precizia (eroarea) totală a unui CAN este dată de diferenţele dintre caracteristica reală şi cea ideală şi include toate erorile de câştig, decalaj, neliniaritate, precum şi derivele acestora. fig.7.2 Etalonarea unui CAN constă în calibrarea decalajului urmată de calibrarea câştigului. Pentru un CAN unipolar, calibrarea decalajului se face astfel încât prima tranziţie 3

35 CIRCUITE DE CONVERSIE ANALOG-NUMERICA ( ) a secvenţei de ieşire să corespundă unei tensiuni de intrare de 0,5LSB. Calibrarea câştigului se face astfel încât ultima tranziţie ( ) a secvenţei de ieşire să corespundă unei tensiuni de intrare de U R -,5LSB. Pentru un CAN bipolar, calibrarea decalajului se face astfel încât prima tranziţie ( ) a secvenţei de ieşire să corespundă unei tensiuni de intrare de 0,5LSB. Calibrarea câştigului se face astfel încât ultima tranziţie ( ) a secvenţei de ieşire să corespundă unei tensiuni de intrare de U R /2-,5LSB. Pentru caracteristica de transfer din fig.2b eroarea de cuantizare e este în funcţie de tensiunea de intrare U X. Puterea erorii de cuantizare se calculează cu relaţia: obţine: 2 2 P = e = e de ef unde e ef este valoarea efectivă a erorii de cuantizare. Prin calculul integralei se LSB eef = 2 Numărul N de biţi corespunzător convertorului analog numeric poate fi exprimat în funcţie de puterea erorii de cuantizare: 2 U U = = LSB 2P N R R Convertoarele analog numerice sunt utilizate în sisteme de achiziţie date pentru transformarea semnalelor analogice în semnale numerice. Această trasformare este realizată prin conversii analog numerice succesive cu frecvenţa de eşantionare f e. Conform teoremei eşantionării pentru evitarea efectelor de eliniere este necesar ca valoarea minimă a frecvenţei de eşantionare să sadisfacă relaţia: f e 2f xmax condiţia Nyquist unde f xmax este frecvenţa maximă a spectrului semnalului analogic de intrare U X. II. PARTEA EXPERIMENTALĂ. Studiaţi schema electrcă a convertorului analog numeric prezentat în figura 3 şi stabiliţi treapta de cuantizare. şi tipul convertorului. 2. Explicaţi logica proiectării circuitului logic combinaţional codificator. 3. După stabilirea cuantei trasaţi caracteristica de transfer a convertorului analogic prezentat. Datele se vor trece în tabelul TAB. 32

36 CIRCUITE DE CONVERSIE ANALOG-NUMERICA TAB Cuanta Valoare măsurată Afişare cuante Afişare zecimală Afişare binară fig

37 CIRCUITE DE CONVERSIE ANALOG-NUMERICA LUCRAREA NR. 8 CIRCUITE DE CONVERSIE ANALOG-NUMERICĂ CONVERTOARE ANALOG-NUMERICE CU APROXIMATII SUCCESIVE I.PARTEA TEORETICA. Acest tip de convertoare asigură o viteză de lucru relativ mare şi o precizie bună la un grad de complexitate mediu. La funcţionare după metoda aproximaţiilor succesive se foloseşte compararea bit cu bit în loc de numărarea continuă. La comanda de start a conversiei se genereaza un semnal egal cu V m /2 cu care se compară tensiunea de intrare. V m este domeniul de variaţie maximă a tensiunii de intrare V in. Dacă V in >V m /2 MSB este ; dacă V in <V m /2, MSB este 0. Pentru determinarea urmatorului bit se genereaza semnalul 3/4*V m dacă MSB este sau /4*V m dacă MSB este 0. Al doilea bit este sau 0 după cum V in >3/4*V m, sau V in <3/4*V m cand MSB =. Cu MSB = 0, al doilea bit este pentru V in >/4*V m sau pentru V in </4*V m etc., procesul continuand pana se ajunge la bitul de semnificatie minima. > 6/8*V m, -- V in > 7/8*V m, < 7/8*V m, 0 > /2*V m, -- V in > 5/8*V m, < 6/8*V m,0 -- V in < 5/8*V m, 0 V in > 3/8*V m, > /4*V m, -- V in < 3/8*V m, 0 < /2*V m, 0 -- V in > /8*V m, < /4*V m, 0 -- V in < /8*V m,0 MSB..... LSB fig.8. Succesiunea comparărilor la un convertor A/D cu aproximaţii succesive şi cu rezoluţia de 3 biti. In figura 2 se dă schema bloc pentru un convertor A/D cu aproximaţii succesive fără reacţie denumit cu propagare de tip serie, sau mai simplu convertor serie cu rezoluţia de 3 biti, pentru a exemplifica realizarea conversiei după succesiunea dată in figura. Schema este realizată cu trei comparatoare C 2, C, C 0 34

38 CIRCUITE DE CONVERSIE ANALOG-NUMERICA şi trei convertoare digital - analogice D/A, D/A 2, D/A 3 toate cu acelasi domeniu de variaţie maximă, V m. De exemplu, dacă 6/8*V m <V in <7/8V m, atunci comparatorul C 2 are la ieşire nivelul logic deoarece V in >V m /2 convertorul D/A 2 asigură la iesire nivelul 6/8*V m şi deci C are la iesire nivelul corespunzatorlui logic. Convertorul D/A 3 are la ieşire nivelul 7/8V m şi comparatorul C 0 este la ieşire cu nivelul 0 logic ( LSB = 0 ). fig Convertor A/D cu aproximaţii succesive pe trei biţi. Convertoarele A/D cu aproximaţii succesive sunt realizate de obicei cu un singur comparator şi cu reacţie, caz în care se cere o logică mai complicată şi evident creşte durata ciclului de conversie. O schemă simplificată pentru un astfel de convertor este data in figura 3. fig. 8.3 Convertor A/D cu aproximaţii succesive cu un singur comparator. SAR -- registru de aproximatii succesive 35

39 CIRCUITE DE CONVERSIE ANALOG-NUMERICA La comanda start, SAR dă la ieşire numărul , care comandă convertorul D/A, la ieşirea căruia se obţine o tensiune V m /2. Dacă tensiunea de intrare V in >V m /2, comparatorul îşi păstrează starea şi la al doilea impuls de tact SAR generează la ieşire numărul ; dacă V in <V m /2 comparatorul işi schimbă starea la ieşire, ceea ce determină ca SAR sa genereze numarul La ieşirea convertorului în cele 2 situaţii se obţin tensiunile 3/4V m sau, respectiv V m /4. Procesul de conversie se termină când se ajunge la ultimul bit. Durata ciclului de conversie la un convertor cu rezoluţia de n biti, depinde de duratele celor n incercări de comparaţie, care pot fi egale, aceasta depinzând de faptul dacă se schimbă sau nu starea la ieşirea comparatorului. Convertor A/D cu aproximaţii succesive cu comparare in curent. Pentru creşterea vitezei de conversie, la intrarea comparatorului se foloseşte direct curentul de iesire al covertorului, eliminându - se astfel influenţa timpului de răspuns al amplificatorului de la ieşirea convertorului D/A. Acest regim de lucru se exemplifică in figura 4. Tensiunea la ieşirea comparatorului este pozitivă când i 0 >i in. Această tensiune se limitează la +5V, tensiunea diodei Zener. Tensiunea negativă la ieşirea comparatorului este limitată la tensiunea de deschidere a diodei. fig. 8.4 Convertor A/D cu aproximaţii succesive cu comparare în curent. Convertoarele D/A cu aproximaţii succesive nu pot fi folosite în aplicaţii de tip histogramă în care se lucrează cu distribuţii de amplitudine. Aceasta se datorează treptelor de cuantificare inegale, ceea ce determină neliniaritate diferentială mare. II. PARTEA EXPERIMENTALA:. Se va studia convertorul analog numeric cu aproximaţii succesive prezentat în figura 5 şi se va explica funcţionarea lui. 36

40 CIRCUITE DE CONVERSIE ANALOG-NUMERICA 2.În urma analizei circuitului se va stabili treapta de cuantizare şi domeniul maxim de conversie 3.Completaţi tabelul TAB unde B 0 BB8 este numărul binar rezultat, N 0 este valoarea lui zecimală iar U in tensiunea analogică de intrare. TAB U in N 0 BB0 BB BB2 BB3 BB4 BB5 BB6 BB7 fig.8.5 APLICATII CU CIRCUITUL CONVERTOR ANALOG NUMERIC ADC

41 CIRCUITE DE CONVERSIE ANALOG-NUMERICA MODUL CONVERSIE CONTINUĂ fig

42 PORTUL PARALEL PC LUCRAREA NR. 9 STUDIUL PORTULUI PARALEL STANDARD PC APLICATIE- COMANDA UNUI MOTOR PAS CU PAS I.PARTEA TEORETICA. PORTUL PARALEL STANDARD PC Conţine o structură de trei regiştrii doi unidirecţionali şi unul bidirecţional adresabili ca orice locaţie de memorie de la adresele 378 H registrul de date (bidirecţional), 37A H - registrul de control (unidirecţional numai pentru scriere) şi 379 H registrul de stări (unidirecţional numai pentru citire). Trebuie remarcat faptul că regiştrii portului paralel au funcţile adaptate pentru imprimantă (de aici şi denumirea lor). Se va prezenta în continuare structura lor şi pinii de comandă cu o codificare specifică imprimantei. 37A H 379 H STRUCTURA PORTULUI PARALEL PC REGISTRUL DE CONTROL (NUMAI SCRIERE) bit Semnificatie pin 0 + STROBE + AUTO LINE INIT REGISTRUL DE STARI (NUMAI CITIRE) bit SLCT IN 7 + IRQ ENABLE Semnificatie pin - ERROR 5 + SLCT 3 + PE 2 - ACK 0 - BUSY REGISTRUL DE DATE (BIDIRECTIONAL) H b 7 9 b 6 8 b 5 7 b 4 6 b 3 b 2 b b fig Semnificatie pin ATENŢIE!: Pentru utilizarea portului paralel este necesară compatibilitatea de semnale TTL. Deoarece ieşirile portului nu suportă un curent mare este necesară interfaţarea lor cu celelalte blocuri ale S.A.D. prin intermediul amplificatoarelor de linie (ex. 7404). Masa va fi comună la pinul

43 PORTUL PARALEL PC fig.9.2 cupla paralela APLICATIE: COMANDA UNUI MOTOR PAS CU PAS Motorul electric pas cu pas este un convertor electromagnetic care realizează conversia impulsurilor de comandă aplicate fazelor motorului într-o mişcare de rotaţie ce constă din deplasări unghiulare discrete, de mărime egală şi care reprezintă paşii motorului. Numărul paşilor efectuaţi trebuie să corespundă, în cazul unei funcţionări corecte, cu numărul impulsurilor de comandă aplicate fazelor motorului. Majoritatea motoarelor pas cu pas sunt bidirecţionale şi permit o accelerare, oprire şi reversare rapidă fără pierderi de paşi, dacă sunt comandate cu o frecvenţă inferioară frecvenţei limită corespunzătoare regimului respectiv de funcţionare. Pentru extinderea funcţionării motoarelor pas cu pas la viteze mai mari decât viteza corespunzătoare frecvenţei limită, este necesară o accelerare prin creştere treptată a frecvenţei impulsurilor de comandă. Motoarele pas cu pas sunt utilizate în special în aplicaţiile unde se doreşte realizarea unei mişcări incrementale, folosind sisteme de comandă numerică. Avantajele utilizării motoarelor pas cu pas sunt următoarele: - asigură univocitatea conversiei impuls-deplasare şi pot fi utilizate în circuit deschis - gamă largă de frecvenţe de comandă - precizie şi putere de rezoluţie (număr de paşi pe rotaţie) mărite - permit porniri, opriri, reversări, fără pierderi de paşi 40

44 PORTUL PARALEL PC - memorează poziţia - sunt compatibile cu tehnica numerică Dezavantajele utilizării motoarelor pas cu pas sunt: - unghi de pas, deci increment de rotaţie, de valoare fixă pentru un motor dat - randament scăzut - capacitate limitată în ceea ce priveşte acţionarea unor sarcini cu inerţie mare - viteză de rotaţie relativ scăzută - necesită o schemă de comandă adaptată la tipul constructiv respectiv şi relativ complexă, pentru asigurarea unei funcţionări la viteze mari. Motoare pas cu pas cu reluctanţă variabilă fig.9.3.structură MPP cu reluctanţă variabilă Înfăşurarea Înfăşurarea Înfăşurarea Motoare unipolare fig.9.4. Structură MPP unipolare 4

45 PORTUL PARALEL PC Înfăşurarea a Înfăşurarea b Înfăşurarea 2a Înfăşurarea 2b timp ---> Înfăşurarea a Înfăşurarea b Înfăşurarea 2a Înfăşurarea 2b timp ---> Înfăşurarea a Înfăşurarea b Înfăşurarea 2a Înfăşurarea 2b timp ---> Motoare bipolare fig.9.5. Structură MPP bipolare Terminal a Terminal b Terminal 2a Terminal 2b timp ---> 42

46 PORTUL PARALEL PC În figura de mai jos este dată schema bloc a circuitului de comandă pentru un motor pas cu pas de tip bipolar cu magnet permanent. SURSA DE ALIMENTARE PC IZOLARE GALVANICĂ CONTROLLER CIRCUIT DE PUTERE MPP fig.9.6. Schema bloc Blocurile componente sunt: - ucomputer calculatorul - Controllerul integrează toate circuitele de control necesare pentru comanda motoarelor pas cu pas bipolare şi unipolare (în cazul prezentat este vorba de un motor bipolar) - Circuitul de putere punte duală alcătuită din tranzistoare de putere - Separator galvanic separare galvanică realizată cu optocuploare. - Sursa de alimentare asigură o tensiune de 2V şi una de 5V Controllerul circuitul L297 a fost proiectat pentru a fi utilizat în circuite cu punţi de tip dual sau cu circuite de putere discrete, pentru aplicaţii de comandă a motoarelor. El primeşte semnalul de ceas, semnalul de direcţie şi semnalul de mod de la un microcalculator şi generează semnalele de control pentru circuitele de putere. În plus el conţine şi două circuite chopper PWM pentru a regula curentul prin înfăşurări. Dacă modul pas întreg este selectat când translatorul este într-o stare corespunzătoare unui număr impar obţinem o secvenţă cu două faze active, cu pas întreg ca în figura de mai jos: 43

47 PORTUL PARALEL PC În contrast, modul o fază activă cu pas întreg este obţinut selectând modul pas întreg atunci când translatorul se află într-o stare corespunzătoare unui număr par: Schema electrica desfasurata: 44

48 PORTUL PARALEL PC Valideazã / Invalideazã circuitul Stabileste turatia Re se te a za circuitul Valideaza circuitul Ro tire continua inapoi Ro tire pas cu pas inapoi Sto p Ro tire continua inainte Ro tire pas cu pas inapoi 45

49 PORTUL PARALEL PC LUCRAREA NR. 0 STUDIUL PORTULUI PARALEL STANDARD PC APLICATIE- MASURAREA MOMENTULUI, FORTEI SI GREUTATII CU UN SISTEM DE ACHIZITIE MULTICANAL I.PARTEA TEORETICA. ELEMENTE DE TENSOMETRIE Efectul tensorezistiv, adică dependenţa rezistenţei de tensiunea mecanică, a fost descoperit de lordul Kelvin în anul 856, însă utilizarea practică a efectului în tensometrie începe din anul 920. Pentru majoritatea materialelor solide limita de elesticitate pentru care nu apare o deformaţie permanentă este corespunzătoare unei alungiti relative de 0,2% (2000μm/m), această limită corespunde pentru o solicitare de N/mm 2 la oţel, 30-20N/mm 2 la cupru etc. Parametrul care stabileşte prporţionalitatea între alungirea relativă şi tensiunea mecanică (Legea lui Hooke) este modulul de elasticitate a lui Young -E, care are valori de ordinul N/mm 2 pentru oţel şi N/mm 2 pentru cupru. O dată cu modificările de natură mecanică ale unui corp metalic sau semiconductor, supus unei solicitări mecanice, are loc şi o modificare a rezistivităţii acestuia. Funcţionarea traductoarelor tensometrice rezistive (numite şi timbre sau mărci tensometrice) se bazează pe fenomenul de modificare a rezistenţei unui material conductor sau semiconductor când acesta este supus unei deformaţii mecanice. Constructiv un timbru tensometric metalic este realizat dintr-o depunere în formă de zigzag a unui fir conductor sau folie pe un suport izolator, el lipindu-se de piesa a cărei deformaţie se măsoară. I.. Principiul de funcţionare a traductoarelor tensorezistive. Considerând un conductor uniform de secţiune S, lungime l şi rezistivitate ρ, variaţia rezistenţei sale datorată variaţiei dimensiunilor produse de alungirea Δl, va fi: ρ l l ΔR = Δl ρ ΔS + Δρ S S 2 S sau prin împărţire la R, variaţia relativă va fi: ΔR Δl Δ Δρ R = S l S + ρ întrucât: ΔS Δl = 2μ S l unde μ este coeficientul Poisson (raportul dintre contracţia transversală şi alungire) şi admiţând pentru rezistivitate o variaţie liniară cu volumul V, de forma: 46

50 PORTUL PARALEL PC rezultă în final expresia: unde: Δρ Δ Δ + Δ Δ = k V = k ls l S l = k( 2 μ) ρ V V l ΔR Δl Δ = [ 2μ+ k( 2μ)] = K l = Kε R l l ε σ = E ε - este deformaţia produsă de forţa ce acţionează pe unitatea de suprafaţă într-un solid, σ - este efortul unitar şi E modulul de elasticitate. Coeficintul K poartă denumirea de factor de marcă, depinde de natura materialului şi de tehnologia de realizare a mărcii şi reprezintă sensibilitatea acestui senzor (variaţia relativă de rezistenţă raportată la alungirea relativă). I.2. Adaptoare pentru traductoare tensometrice. Variaţile relativ mici ale rezistenţei mărcii tensometrice atunci când este supusă la deformaţii impun utilizarea unor adaptoare performante. În aceste adaptoare se pot diferenţia două blocuri distincte: o schemă de măsurare de tip punte Wheatstone, în care se conectează elementele sensibile, motiv pentru care se numeşte punte tensometrică, şi un circuit final de amplificare şi conversie în semnak util (semnal unificat). În fig.5.a este prezentată schema sfert de punte în care este plasat un singur senzor tensorezistiv exterior şi trei rezistenţe calibrate montate în adaptor. Montajul în semipunte (fig.5.b) este realizat din două rezistenţe tensorezistive exterioare şi două rezistenţe calibrate montate în adaptor. Puntea completă (fig.0.c) este relizată numai din senzori pe toate cele patru braţe ale punţii (punte cu toate braţele active). fig

51 PORTUL PARALEL PC PLACA DE ACHIZITIE CU SASE CANALE DE INTRARE. U= A 5V U= A 5V K= 2 n= 4 K= 2 n= R G=,3K R G=,3K AD623 - AD V V REF. + 2,500V 6 5 REF. + 2,500V 8 7 MMC405 3 D0 V 6 DD 4 D 6 5 INH D2 2 D3 D4 5 D5 3 Y 2 D6 4 D7 V SS V EE A B C V U= A 5V K= 2 n= 4 K= 2 n= R G=,3K R G=,3K AD623 - AD V V REF. + 2,500V 6 5 REF. + 2,500V ADC V 2 RD V 20 DD CS 0uF 7 V(-) IN 5 INTR AGND WR 3 8 D0 0 DGND D 2 D2 3 D3 4 6 V(+ ) 5 IN D4 6 D5 V REF/ 2 7 D6 8 D7 CLKR CLKN 9 4 START PORTUL PARALEL A H H U= A 5V 0K U= A 5V K= 2 n= R G=,3K AD V REF. + 2,500V 50p +5V U= A 5V K= 2 n= R G=,3K +5V AD623 +5V REF. + 2,500V REFERINTA. + 2,500V 4 - LM K 2K 0uF LM 336 Trafo 220/x2V 3PM05 220V c.a V 500uF 0.33uF 2 uf fig

52 PORTUL SERIAL PC LUCRAREA NR. STUDIUL PORTULUI SERIAL STANDARD PC APLICATIE- VOLTMETRU DIGITAL PE INTERFATA SERIALA I.PARTEA TEORETICA.. PORTUL SERIAL PC Transmisia se face secvenţial bit după bit pe un singur canal de transmisie. Obţinerea cuvântului serial la emisie se face prin intermediul unor regiştri cu încărcare paralelă şi deplasare serială (ex. CDB 495). Mecanismele de transmisie şi recepţie sunt prezentate în figura.. EMISIA PARALEL-SERIE CLOCK SERIAL OUT CLOCK SERIAL OUT RECEPTIA SERIE-PARALEL SERIAL INPUT CLOCK CLOCK SERIAL IMPUT fig... 49

53 PORTUL SERIAL PC 2. TRANSMISIA SERIALĂ ASINCRONĂ Pentru realizarea transmisiei seriale asincrone cuvântul util de date este împachetat cu biţi de start (bit), stop (2 biţi) şi de paritate ( bit par sau impar) ca în figura 2. Vitezele de transmisie (biţi/secundă) standard utilizate sunt: 50, 300, 600, 2400, 9600, 9200 şi biţi /secundă t BITDE START 3. INTERFAŢA RS 232/ V24 BITI DE DATE fig..2 BITI DE STOP BITDE PARITATE Codul RS 232 = codul EIA- Electrical Industries Association. Codul V24 = Codul European (similar cu RS 232). DTE = data terminal equipment = sistem terminal (modem, aplicaţii microsistem) DCE = data communication equipment = sistem de calcul (calculator). 3.. RS 232 Semnale şi alocarea pinilor: PIN CIRCUIT V24 ABREVIERI SENS DESCRIERE DTE: DCE 0 PGND = Protective earth 2 03 Tx Trasmitted data 3 04 Rx Received data 4 05 RTS Request to send 5 06 CTS Clear to send 6 07 DSR Data set ready 7 02 GND Signal earth 8 09 RLSD Received line signal detect 9 Unassigned 0 Unassigned 26 Unassigned 2 22 RLSD2 Secondary RLSD 3 2 CTS2 Secondary CTS 4 8 Tx2 Secondary Tx 5 4 TSET Transmitter signal element timing 6 9 Rx2 Secondary Rx 7 5 RSET Received signal element timing 8 Unassigned 9 20 RTS2 Secondary Rx DTR Data terminal ready 2 SQD Signal quality detector RI Ring indicator 23 DSRS Data signallind-rate selector 24 XTxCk External tranmitter clock 25 Unassigned 50

54 PORTUL SERIAL PC 3.2. Conectorii RS 232 CONECTOR DE 25 PINI CONECTOR DE 9 PINI PGND Tx Rx RTS CTS DSR SGND RLSD NC NC NC NC NC DCD 2 4 NC Rx 2 5 TxCk 3 Tx NC DTR 4 7 RxCk 5 SGND 5 NC NC 8 20 DTR 9 2 NC 0 22 RI 23 NC 2 24 NC 3 25 NC 6 DSR 7 RTS 8 CTS 9 RI 3.3. Cablul null-modem Tx Rx DTE CABLUL NULL - MODEM DCE 20 PROTOCOALE X-ON (cu cerere de intrerupere Ctrl-Q) X-OFF(fara cerere de intrerupere Ctrl-S) 3.4. Consideraţii electrice (nivele logice de tensiune) IESIRE - EMISIE INTRARE- RECEPTIE +5V + 5V DRIVER NIVEL LOGIC " TTL " NIVEL LOGIC " RS232 " NIVEL LOGIC " 0 " +5V -5V Margine de zgomot 2V NIVEL LOGIC " " NIVEL LOGIC " " -5V -5V NIVEL LOGIC " 0 " +5V +5V -5V V V 2 + 5V -5V V V 2 5

55 PORTUL SERIAL PC. INTERFATA SERIALA CU O CONVERSIE A/D PE 2 BITI UTILIZAND CIRCUITE DIN SERIA MAX. 52

56 PORTUL SERIAL PC 2. VOLTMETRU DIGITAL UTILIZÂND MICROCONTROLERUL C205 PE INTERFATA SERIALA MAX 232. VOLTMETRU SERIAL,059MHz 33pF33pF V CC 0uF C V CC uf uf uf MAX V CC K V CC 2,2K V CC pF 5 6 INTRARE ANALOGICA 7 ADC ,2K DCD Rx 2 Tx 3 DTR 4 SGND 5 6 DSR 7 RTS 8 CTS 9 RI

57 PORTUL SERIAL PC MICROCONTROLERUL C205 CONVERTORUL ANALOG-NUMERIC PE 8 BITI ADC0804 CIRCUITUL DE INTERFATRE SERIALA MAX232 54

58 HANDYSCOPE HS3 LUCRAREA NR. 2 ACHIZITIE DE DATE PE HANDYSCOPE H3 (USB PORT) 2. PREZENTARE GENERALA FUNCTIONAREA CA OSCILOSCOP 2.2. FUNCTIONAREA CA ANALIZOR DE SPECTRU 55

59 HANDYSCOPE HS FUNCTIONAREA CA VOLTMETRU 2.3. FUNCTIONAREA CA GENERATOR DE FUNCTII 56

4. CIRCUITE LOGICE ELEMENTRE 4.. CIRCUITE LOGICE CU COMPONENTE DISCRETE 4.. PORŢI LOGICE ELEMENTRE CU COMPONENTE PSIVE Componente electronice pasive sunt componente care nu au capacitatea de a amplifica

Διαβάστε περισσότερα

5.4. MULTIPLEXOARE A 0 A 1 A 2

5.4. MULTIPLEXOARE A 0 A 1 A 2 5.4. MULTIPLEXOARE Multiplexoarele (MUX) sunt circuite logice combinaţionale cu m intrări şi o singură ieşire, care permit transferul datelor de la una din intrări spre ieşirea unică. Selecţia intrării

Διαβάστε περισσότερα

10. STABILIZATOAE DE TENSIUNE 10.1 STABILIZATOAE DE TENSIUNE CU TANZISTOAE BIPOLAE Stabilizatorul de tensiune cu tranzistor compară în permanenţă valoare tensiunii de ieşire (stabilizate) cu tensiunea

Διαβάστε περισσότερα

1.7. AMPLIFICATOARE DE PUTERE ÎN CLASA A ŞI AB

1.7. AMPLIFICATOARE DE PUTERE ÎN CLASA A ŞI AB 1.7. AMLFCATOARE DE UTERE ÎN CLASA A Ş AB 1.7.1 Amplificatoare în clasa A La amplificatoarele din clasa A, forma de undă a tensiunii de ieşire este aceeaşi ca a tensiunii de intrare, deci întreg semnalul

Διαβάστε περισσότερα

5.5. REZOLVAREA CIRCUITELOR CU TRANZISTOARE BIPOLARE

5.5. REZOLVAREA CIRCUITELOR CU TRANZISTOARE BIPOLARE 5.5. A CIRCUITELOR CU TRANZISTOARE BIPOLARE PROBLEMA 1. În circuitul din figura 5.54 se cunosc valorile: μa a. Valoarea intensității curentului de colector I C. b. Valoarea tensiunii bază-emitor U BE.

Διαβάστε περισσότερα

4. Măsurarea tensiunilor şi a curenţilor electrici. Voltmetre electronice analogice

4. Măsurarea tensiunilor şi a curenţilor electrici. Voltmetre electronice analogice 4. Măsurarea tensiunilor şi a curenţilor electrici oltmetre electronice analogice oltmetre de curent continuu Ampl.c.c. x FTJ Protectie Atenuator calibrat Atenuatorul calibrat divizor rezistiv R in const.

Διαβάστε περισσότερα

Analiza în curent continuu a schemelor electronice Eugenie Posdărăscu - DCE SEM 1 electronica.geniu.ro

Analiza în curent continuu a schemelor electronice Eugenie Posdărăscu - DCE SEM 1 electronica.geniu.ro Analiza în curent continuu a schemelor electronice Eugenie Posdărăscu - DCE SEM Seminar S ANALA ÎN CUENT CONTNUU A SCHEMELO ELECTONCE S. ntroducere Pentru a analiza în curent continuu o schemă electronică,

Διαβάστε περισσότερα

V O. = v I v stabilizator

V O. = v I v stabilizator Stabilizatoare de tensiune continuă Un stabilizator de tensiune este un circuit electronic care păstrează (aproape) constantă tensiunea de ieșire la variaţia între anumite limite a tensiunii de intrare,

Διαβάστε περισσότερα

Fig Impedanţa condensatoarelor electrolitice SMD cu Al cu electrolit semiuscat în funcţie de frecvenţă [36].

Fig Impedanţa condensatoarelor electrolitice SMD cu Al cu electrolit semiuscat în funcţie de frecvenţă [36]. Componente şi circuite pasive Fig.3.85. Impedanţa condensatoarelor electrolitice SMD cu Al cu electrolit semiuscat în funcţie de frecvenţă [36]. Fig.3.86. Rezistenţa serie echivalentă pierderilor în funcţie

Διαβάστε περισσότερα

11.2 CIRCUITE PENTRU FORMAREA IMPULSURILOR Metoda formării impulsurilor se bazează pe obţinerea unei succesiuni periodice de impulsuri, plecând de la semnale periodice de altă formă, de obicei sinusoidale.

Διαβάστε περισσότερα

Ovidiu Gabriel Avădănei, Florin Mihai Tufescu,

Ovidiu Gabriel Avădănei, Florin Mihai Tufescu, vidiu Gabriel Avădănei, Florin Mihai Tufescu, Capitolul 6 Amplificatoare operaţionale 58. Să se calculeze coeficientul de amplificare în tensiune pentru amplficatorul inversor din fig.58, pentru care se

Διαβάστε περισσότερα

Aparate de măsurat. Măsurări electronice Rezumatul cursului 2. MEE - prof. dr. ing. Ioan D. Oltean 1

Aparate de măsurat. Măsurări electronice Rezumatul cursului 2. MEE - prof. dr. ing. Ioan D. Oltean 1 Aparate de măsurat Măsurări electronice Rezumatul cursului 2 MEE - prof. dr. ing. Ioan D. Oltean 1 1. Aparate cu instrument magnetoelectric 2. Ampermetre şi voltmetre 3. Ohmetre cu instrument magnetoelectric

Διαβάστε περισσότερα

11.3 CIRCUITE PENTRU GENERAREA IMPULSURILOR CIRCUITE BASCULANTE Circuitele basculante sunt circuite electronice prevăzute cu o buclă de reacţie pozitivă, folosite la generarea impulsurilor. Aceste circuite

Διαβάστε περισσότερα

Electronică anul II PROBLEME

Electronică anul II PROBLEME Electronică anul II PROBLEME 1. Găsiți expresiile analitice ale funcției de transfer şi defazajului dintre tensiunea de ieşire şi tensiunea de intrare pentru cuadrupolii din figurile de mai jos și reprezentați-le

Διαβάστε περισσότερα

Curs 2 DIODE. CIRCUITE DR

Curs 2 DIODE. CIRCUITE DR Curs 2 OE. CRCUTE R E CUPRN tructură. imbol Relația curent-tensiune Regimuri de funcționare Punct static de funcționare Parametrii diodei Modelul cu cădere de tensiune constantă Analiza circuitelor cu

Διαβάστε περισσότερα

Metode iterative pentru probleme neliniare - contractii

Metode iterative pentru probleme neliniare - contractii Metode iterative pentru probleme neliniare - contractii Problemele neliniare sunt in general rezolvate prin metode iterative si analiza convergentei acestor metode este o problema importanta. 1 Contractii

Διαβάστε περισσότερα

Problema a II - a (10 puncte) Diferite circuite electrice

Problema a II - a (10 puncte) Diferite circuite electrice Olimpiada de Fizică - Etapa pe judeţ 15 ianuarie 211 XI Problema a II - a (1 puncte) Diferite circuite electrice A. Un elev utilizează o sursă de tensiune (1), o cutie cu rezistenţe (2), un întrerupător

Διαβάστε περισσότερα

LUCRAREA NR. 4 STUDIUL AMPLIFICATORUL INSTRUMENTAL

LUCRAREA NR. 4 STUDIUL AMPLIFICATORUL INSTRUMENTAL LUCRAREA NR. 4 STUDIUL AMPLIFICATORUL INSTRUMENTAL 1. Scopul lucrării În această lucrare se studiază experimental amplificatorul instrumental programabil PGA202 produs de firma Texas Instruments. 2. Consideraţii

Διαβάστε περισσότερα

Capitolul 4 Amplificatoare elementare

Capitolul 4 Amplificatoare elementare Capitolul 4 mplificatoare elementare 4.. Etaje de amplificare cu un tranzistor 4... Etajul emitor comun V CC C B B C C L L o ( // ) V gm C i rπ // B // o L // C // L B ro i B E C E 4... Etajul colector

Διαβάστε περισσότερα

Lucrarea Nr. 5 Circuite simple cu diode (Aplicaţii)

Lucrarea Nr. 5 Circuite simple cu diode (Aplicaţii) ucrarea Nr. 5 Circuite simple cu diode (Aplicaţii) A.Scopul lucrării - Verificarea experimentală a rezultatelor obţinute prin analiza circuitelor cu diode modelate liniar pe porţiuni ;.Scurt breviar teoretic

Διαβάστε περισσότερα

COMPARATOARE DE TENSIUNE CU AO FĂRĂ REACŢIE

COMPARATOARE DE TENSIUNE CU AO FĂRĂ REACŢIE COMPARATOARE DE TENSIUNE CU AO FĂRĂ REACŢIE I. OBIECTIVE a) Determinarea caracteristicilor statice de transfer în tensiune pentru comparatoare cu AO fără reacţie. b) Determinarea tensiunilor de ieşire

Διαβάστε περισσότερα

Componente şi Circuite Electronice Pasive. Laborator 3. Divizorul de tensiune. Divizorul de curent

Componente şi Circuite Electronice Pasive. Laborator 3. Divizorul de tensiune. Divizorul de curent Laborator 3 Divizorul de tensiune. Divizorul de curent Obiective: o Conexiuni serie şi paralel, o Legea lui Ohm, o Divizorul de tensiune, o Divizorul de curent, o Implementarea experimentală a divizorului

Διαβάστε περισσότερα

5 Convertoare analog numerice

5 Convertoare analog numerice 5 Convertoare analog numerice 5.1 Caracteristici ale convertoarelor analog numerice Convertorul analog numeric (CAN) acceptă ca mărime de intrare un semnal analogic s i (tensiune sau curent) şi furnizează

Διαβάστε περισσότερα

Componente şi Circuite Electronice Pasive. Laborator 4. Măsurarea parametrilor mărimilor electrice

Componente şi Circuite Electronice Pasive. Laborator 4. Măsurarea parametrilor mărimilor electrice Laborator 4 Măsurarea parametrilor mărimilor electrice Obiective: o Semnalul sinusoidal, o Semnalul dreptunghiular, o Semnalul triunghiular, o Generarea diferitelor semnale folosind placa multifuncţională

Διαβάστε περισσότερα

CIRCUITE LOGICE CU TB

CIRCUITE LOGICE CU TB CIRCUITE LOGICE CU T I. OIECTIVE a) Determinarea experimentală a unor funcţii logice pentru circuite din familiile RTL, DTL. b) Determinarea dependenţei caracteristicilor statice de transfer în tensiune

Διαβάστε περισσότερα

Codificatorul SN74148 este un codificator zecimal-bcd de trei biţi (fig ). Figura Codificatorul integrat SN74148

Codificatorul SN74148 este un codificator zecimal-bcd de trei biţi (fig ). Figura Codificatorul integrat SN74148 5.2. CODIFICATOAE Codificatoarele (CD) sunt circuite logice combinaţionale cu n intrări şi m ieşiri care furnizează la ieşire un cod de m biţi atunci când numai una din cele n intrări este activă. De regulă

Διαβάστε περισσότερα

a. Caracteristicile mecanice a motorului de c.c. cu excitaţie independentă (sau derivaţie)

a. Caracteristicile mecanice a motorului de c.c. cu excitaţie independentă (sau derivaţie) Caracteristica mecanică defineşte dependenţa n=f(m) în condiţiile I e =ct., U=ct. Pentru determinarea ei vom defini, mai întâi caracteristicile: 1. de sarcină, numită şi caracteristica externă a motorului

Διαβάστε περισσότερα

Curs 10 Funcţii reale de mai multe variabile reale. Limite şi continuitate.

Curs 10 Funcţii reale de mai multe variabile reale. Limite şi continuitate. Curs 10 Funcţii reale de mai multe variabile reale. Limite şi continuitate. Facultatea de Hidrotehnică Universitatea Tehnică "Gh. Asachi" Iaşi 2014 Fie p, q N. Fie funcţia f : D R p R q. Avem următoarele

Διαβάστε περισσότερα

Lucrarea nr. 5 STABILIZATOARE DE TENSIUNE. 1. Scopurile lucrării: 2. Consideraţii teoretice. 2.1 Stabilizatorul derivaţie

Lucrarea nr. 5 STABILIZATOARE DE TENSIUNE. 1. Scopurile lucrării: 2. Consideraţii teoretice. 2.1 Stabilizatorul derivaţie Lucrarea nr. 5 STABILIZATOARE DE TENSIUNE 1. Scopurile lucrării: - studiul dependenţei dintre tensiunea stabilizată şi cea de intrare sau curentul de sarcină pentru stabilizatoare serie şi derivaţie; -

Διαβάστε περισσότερα

i R i Z D 1 Fig. 1 T 1 Fig. 2

i R i Z D 1 Fig. 1 T 1 Fig. 2 TABILIZATOAE DE TENINE ELECTONICĂ Lucrarea nr. 5 TABILIZATOAE DE TENINE 1. copurile lucrării: - studiul dependenţei dintre tensiunea stabilizată şi cea de intrare sau curentul de sarcină pentru stabilizatoare

Διαβάστε περισσότερα

Curs 4 Serii de numere reale

Curs 4 Serii de numere reale Curs 4 Serii de numere reale Facultatea de Hidrotehnică Universitatea Tehnică "Gh. Asachi" Iaşi 2014 Criteriul rădăcinii sau Criteriul lui Cauchy Teoremă (Criteriul rădăcinii) Fie x n o serie cu termeni

Διαβάστε περισσότερα

Electronică STUDIUL FENOMENULUI DE REDRESARE FILTRE ELECTRICE DE NETEZIRE

Electronică STUDIUL FENOMENULUI DE REDRESARE FILTRE ELECTRICE DE NETEZIRE STDIL FENOMENLI DE REDRESARE FILTRE ELECTRICE DE NETEZIRE Energia electrică este transportată şi distribuită la consumatori sub formă de tensiune alternativă. În multe aplicaţii este însă necesară utilizarea

Διαβάστε περισσότερα

Aplicaţii ale principiului I al termodinamicii la gazul ideal

Aplicaţii ale principiului I al termodinamicii la gazul ideal Aplicaţii ale principiului I al termodinamicii la gazul ideal Principiul I al termodinamicii exprimă legea conservării şi energiei dintr-o formă în alta şi se exprimă prin relaţia: ΔUQ-L, unde: ΔU-variaţia

Διαβάστε περισσότερα

Stabilizator cu diodă Zener

Stabilizator cu diodă Zener LABAT 3 Stabilizator cu diodă Zener Se studiază stabilizatorul parametric cu diodă Zener si apoi cel cu diodă Zener şi tranzistor. Se determină întâi tensiunea Zener a diodei şi se calculează apoi un stabilizator

Διαβάστε περισσότερα

REDRESOARE MONOFAZATE CU FILTRU CAPACITIV

REDRESOARE MONOFAZATE CU FILTRU CAPACITIV REDRESOARE MONOFAZATE CU FILTRU CAPACITIV I. OBIECTIVE a) Stabilirea dependenţei dintre tipul redresorului (monoalternanţă, bialternanţă) şi forma tensiunii redresate. b) Determinarea efectelor modificării

Διαβάστε περισσότερα

a. 11 % b. 12 % c. 13 % d. 14 %

a. 11 % b. 12 % c. 13 % d. 14 % 1. Un motor termic funcţionează după ciclul termodinamic reprezentat în sistemul de coordonate V-T în figura alăturată. Motorul termic utilizează ca substanţă de lucru un mol de gaz ideal având exponentul

Διαβάστε περισσότερα

MARCAREA REZISTOARELOR

MARCAREA REZISTOARELOR 1.2. MARCAREA REZISTOARELOR 1.2.1 MARCARE DIRECTĂ PRIN COD ALFANUMERIC. Acest cod este format din una sau mai multe cifre şi o literă. Litera poate fi plasată după grupul de cifre (situaţie în care valoarea

Διαβάστε περισσότερα

7. AMPLIFICATOARE DE SEMNAL CU TRANZISTOARE

7. AMPLIFICATOARE DE SEMNAL CU TRANZISTOARE 7. AMPLIFICATOARE DE SEMNAL CU TRANZISTOARE 7.1. GENERALITĂŢI PRIVIND AMPLIFICATOARELE DE SEMNAL MIC 7.1.1 MĂRIMI DE CURENT ALTERNATIV 7.1.2 CLASIFICARE 7.1.3 CONSTRUCŢIE 7.2 AMPLIFICATOARE DE SEMNAL MIC

Διαβάστε περισσότερα

M. Stef Probleme 3 11 decembrie Curentul alternativ. Figura pentru problema 1.

M. Stef Probleme 3 11 decembrie Curentul alternativ. Figura pentru problema 1. Curentul alternativ 1. Voltmetrele din montajul din figura 1 indică tensiunile efective U = 193 V, U 1 = 60 V și U 2 = 180 V, frecvența tensiunii aplicate fiind ν = 50 Hz. Cunoscând că R 1 = 20 Ω, să se

Διαβάστε περισσότερα

Planul determinat de normală şi un punct Ecuaţia generală Plane paralele Unghi diedru Planul determinat de 3 puncte necoliniare

Planul determinat de normală şi un punct Ecuaţia generală Plane paralele Unghi diedru Planul determinat de 3 puncte necoliniare 1 Planul în spaţiu Ecuaţia generală Plane paralele Unghi diedru 2 Ecuaţia generală Plane paralele Unghi diedru Fie reperul R(O, i, j, k ) în spaţiu. Numim normala a unui plan, un vector perpendicular pe

Διαβάστε περισσότερα

CIRCUITE CU PORŢI DE TRANSFER CMOS

CIRCUITE CU PORŢI DE TRANSFER CMOS CIRCUITE CU PORŢI DE TRANSFER CMOS I. OBIECTIVE a) Înţelegerea funcţionării porţii de transfer. b) Determinarea rezistenţelor porţii în starea de blocare, respectiv de conducţie. c) Înţelegerea modului

Διαβάστε περισσότερα

3.5. STABILIZATOARE DE TENSIUNE CU CIRCUITE INTEGRATE.

3.5. STABILIZATOARE DE TENSIUNE CU CIRCUITE INTEGRATE. 3.5. STABILIZATOARE DE TENSIUNE CU CIRCUITE INTEGRATE. 3.5.1 STABILIZATOARE DE TENSIUNE CU AMPLIFICATOARE OPERAȚIONALE. Principalele caracteristici a unui stabilizator de tensiune sunt: factorul de stabilizare

Διαβάστε περισσότερα

RĂSPUNS Modulul de rezistenţă este o caracteristică geometrică a secţiunii transversale, scrisă faţă de una dintre axele de inerţie principale:,

RĂSPUNS Modulul de rezistenţă este o caracteristică geometrică a secţiunii transversale, scrisă faţă de una dintre axele de inerţie principale:, REZISTENTA MATERIALELOR 1. Ce este modulul de rezistenţă? Exemplificaţi pentru o secţiune dreptunghiulară, respectiv dublu T. RĂSPUNS Modulul de rezistenţă este o caracteristică geometrică a secţiunii

Διαβάστε περισσότερα

CIRCUITE CU DZ ȘI LED-URI

CIRCUITE CU DZ ȘI LED-URI CICUITE CU DZ ȘI LED-UI I. OBIECTIVE a) Determinarea caracteristicii curent-tensiune pentru diode Zener. b) Determinarea funcționării diodelor Zener în circuite de limitare. c) Determinarea modului de

Διαβάστε περισσότερα

AMPLIFICATOR CU TRANZISTOR BIPOLAR ÎN CONEXIUNE CU EMITORUL COMUN

AMPLIFICATOR CU TRANZISTOR BIPOLAR ÎN CONEXIUNE CU EMITORUL COMUN AMPLIFICATOR CU TRANZISTOR BIPOLAR ÎN CONEXIUNE CU EMITORUL COMUN Montajul Experimental În laborator este realizat un amplificator cu tranzistor bipolar în conexiune cu emitorul comun (E.C.) cu o singură

Διαβάστε περισσότερα

DISTANŢA DINTRE DOUĂ DREPTE NECOPLANARE

DISTANŢA DINTRE DOUĂ DREPTE NECOPLANARE DISTANŢA DINTRE DOUĂ DREPTE NECOPLANARE ABSTRACT. Materialul prezintă o modalitate de a afla distanţa dintre două drepte necoplanare folosind volumul tetraedrului. Lecţia se adresează clasei a VIII-a Data:

Διαβάστε περισσότερα

Metode de interpolare bazate pe diferenţe divizate

Metode de interpolare bazate pe diferenţe divizate Metode de interpolare bazate pe diferenţe divizate Radu Trîmbiţaş 4 octombrie 2005 1 Forma Newton a polinomului de interpolare Lagrange Algoritmul nostru se bazează pe forma Newton a polinomului de interpolare

Διαβάστε περισσότερα

5. FUNCŢII IMPLICITE. EXTREME CONDIŢIONATE.

5. FUNCŢII IMPLICITE. EXTREME CONDIŢIONATE. 5 Eerciţii reolvate 5 UNCŢII IMPLICITE EXTREME CONDIŢIONATE Eerciţiul 5 Să se determine şi dacă () este o funcţie definită implicit de ecuaţia ( + ) ( + ) + Soluţie ie ( ) ( + ) ( + ) + ( )R Evident este

Διαβάστε περισσότερα

5.1 Sisteme de achiziţii de date

5.1 Sisteme de achiziţii de date 5. 3.Sistemul intrărilor analogice 5.1 Sisteme de achiziţii de date Sistemele de achiziţii de date (SAD) sunt circuite complexe, cu rolul de a realiza conversia analog-numerică (A/N) a unuia sau mai multor

Διαβάστε περισσότερα

wscopul lucrării: prezentarea modului de realizare şi de determinare a valorilor parametrilor generatoarelor de semnal.

wscopul lucrării: prezentarea modului de realizare şi de determinare a valorilor parametrilor generatoarelor de semnal. wscopul lucrării: prezentarea modului de realizare şi de determinare a valorilor parametrilor generatoarelor de semnal. Cuprins I. Generator de tensiune dreptunghiulară cu AO. II. Generator de tensiune

Διαβάστε περισσότερα

(a) se numeşte derivata parţială a funcţiei f în raport cu variabila x i în punctul a.

(a) se numeşte derivata parţială a funcţiei f în raport cu variabila x i în punctul a. Definiţie Spunem că: i) funcţia f are derivată parţială în punctul a în raport cu variabila i dacă funcţia de o variabilă ( ) are derivată în punctul a în sens obişnuit (ca funcţie reală de o variabilă

Διαβάστε περισσότερα

Circuite cu tranzistoare. 1. Inversorul CMOS

Circuite cu tranzistoare. 1. Inversorul CMOS Circuite cu tranzistoare 1. Inversorul CMOS MOSFET-urile cu canal indus N si P sunt folosite la familia CMOS de circuite integrate numerice datorită următoarelor avantaje: asigură o creştere a densităţii

Διαβάστε περισσότερα

Lucrarea Nr. 11 Amplificatoare de nivel mare

Lucrarea Nr. 11 Amplificatoare de nivel mare Lucrarea Nr. 11 Amplificatoare de nivel mare Scopul lucrării - asimilarea conceptului de nivel mare; - studiul etajului de putere clasa B; 1. Generalităţi Caracteristic etajelor de nivel mare este faptul

Διαβάστε περισσότερα

Probleme propuse IEM

Probleme propuse IEM Probleme propuse IEM Convertoare numeric-analogice 1. Unui CNA unipolar de 3 biţi cu i se aplică pe MSB un semnal periodic dreptunghiular cu perioada 1ms, factor de umplere 0,5, având cele două nivele

Διαβάστε περισσότερα

. TEMPOIZATOUL LM.. GENEALITĂŢI ircuitul de temporizare LM este un circuit integrat utilizat în foarte multe aplicaţii. În fig... sunt prezentate schema internă şi capsulele integratului LM. ()V+ LM Masă

Διαβάστε περισσότερα

Sisteme de achiziţii de date

Sisteme de achiziţii de date 1 Un multiplexor analogic (MUX) este un bloc funcţional cu n intrări şi o ieşire care la un moment dat permite transmiterea la ieşire doar a semnalului de la una din intrări. Din punct de vedere fizic,

Διαβάστε περισσότερα

Tranzistoare bipolare şi cu efect de câmp

Tranzistoare bipolare şi cu efect de câmp apitolul 3 apitolul 3 26. Pentru circuitul de polarizare din fig. 26 se cunosc: = 5, = 5, = 2KΩ, = 5KΩ, iar pentru tranzistor se cunosc următorii parametrii: β = 200, 0 = 0, μa, = 0,6. a) ă se determine

Διαβάστε περισσότερα

L2. REGIMUL DINAMIC AL TRANZISTORULUI BIPOLAR

L2. REGIMUL DINAMIC AL TRANZISTORULUI BIPOLAR L2. REGMUL DNAMC AL TRANZSTRULU BPLAR Se studiază regimul dinamic, la semnale mici, al tranzistorului bipolar la o frecvenţă joasă, fixă. Se determină principalii parametrii ai circuitului echivalent natural

Διαβάστε περισσότερα

2.2.1 Măsurători asupra semnalelor digitale

2.2.1 Măsurători asupra semnalelor digitale Lucrarea 2 Măsurători asupra semnalelor digitale 2.1 Obiective Lucrarea are ca obiectiv fixarea cunoştinţelor dobândite în lucrarea anterioară: Familiarizarea cu aparatele de laborator (generatorul de

Διαβάστε περισσότερα

Examen. Site Sambata, S14, ora (? secretariat) barem minim 7 prezente lista bonus-uri acumulate

Examen. Site   Sambata, S14, ora (? secretariat) barem minim 7 prezente lista bonus-uri acumulate Curs 12 2015/2016 Examen Sambata, S14, ora 10-11 (? secretariat) Site http://rf-opto.etti.tuiasi.ro barem minim 7 prezente lista bonus-uri acumulate min. 1pr. +1pr. Bonus T3 0.5p + X Curs 8-11 Caracteristica

Διαβάστε περισσότερα

Introducere. Tipuri de comparatoare.

Introducere. Tipuri de comparatoare. FLORIN MIHAI TUFESCU DISPOZITIVE ŞI CIRCUITE ELECTRONICE (II) 2. Circuite analogice de comutaţie. Circuitele cu funcţionare în regim de comutaţie au două stări stabile între care suferă o trecere rapidă

Διαβάστε περισσότερα

Erori si incertitudini de măsurare. Modele matematice Instrument: proiectare, fabricaţie, Interacţiune măsurand instrument:

Erori si incertitudini de măsurare. Modele matematice Instrument: proiectare, fabricaţie, Interacţiune măsurand instrument: Erori i incertitudini de măurare Sure: Modele matematice Intrument: proiectare, fabricaţie, Interacţiune măurandintrument: (tranfer informaţie tranfer energie) Influente externe: temperatura, preiune,

Διαβάστε περισσότερα

L6. PUNŢI DE CURENT ALTERNATIV

L6. PUNŢI DE CURENT ALTERNATIV niversitatea POLITEHNI din Timişoara epartamentul Măsurări şi Electronică Optică 6.1. Introducere teoretică L6. PNŢI E ENT LTENTIV Punţile de curent alternativ permit măsurarea impedanţelor. Măsurarea

Διαβάστε περισσότερα

5. Conversia analog numerică a semnalelor.

5. Conversia analog numerică a semnalelor. CONVERSIA ANALOG-NUMERICĂ A SEMNALELOR 5. Conversia analog numerică a semnalelor. 5.1. Introducere. Generalităţi asupra convertoarelor analognumerice (CAN) şi numeric analogice (CNA). Caracteristici de

Διαβάστε περισσότερα

IV. CUADRIPOLI SI FILTRE ELECTRICE CAP. 13. CUADRIPOLI ELECTRICI

IV. CUADRIPOLI SI FILTRE ELECTRICE CAP. 13. CUADRIPOLI ELECTRICI V. POL S FLTE ELETE P. 3. POL ELET reviar a) Forma fundamentala a ecuatiilor cuadripolilor si parametrii fundamentali: Prima forma fundamentala: doua forma fundamentala: b) Parametrii fundamentali au urmatoarele

Διαβάστε περισσότερα

Circuite electrice in regim permanent

Circuite electrice in regim permanent Ovidiu Gabriel Avădănei, Florin Mihai Tufescu, Electronică - Probleme apitolul. ircuite electrice in regim permanent. În fig. este prezentată diagrama fazorială a unui circuit serie. a) e fenomen este

Διαβάστε περισσότερα

SIGURANŢE CILINDRICE

SIGURANŢE CILINDRICE SIGURANŢE CILINDRICE SIGURANŢE CILINDRICE CH Curent nominal Caracteristici de declanşare 1-100A gg, am Aplicaţie: Siguranţele cilindrice reprezintă cea mai sigură protecţie a circuitelor electrice de control

Διαβάστε περισσότερα

Determinarea tensiunii de ieşire. Amplificarea în tensiune

Determinarea tensiunii de ieşire. Amplificarea în tensiune I.Circuitul sumator Circuitul sumator are structura din figura de mai jos. Circuitul are n intrări, la care se aplică n tensiuni de intrare şi o singură ieşire, la care este furnizată tensiunea de ieşire.

Διαβάστε περισσότερα

Tranzistoare bipolare cu joncţiuni

Tranzistoare bipolare cu joncţiuni Tranzistoare bipolare cu joncţiuni 1. Noţiuni introductive Tranzistorul bipolar cu joncţiuni, pe scurt, tranzistorul bipolar, este un dispozitiv semiconductor cu trei terminale, furnizat de către producători

Διαβάστε περισσότερα

Curs 14 Funcţii implicite. Facultatea de Hidrotehnică Universitatea Tehnică "Gh. Asachi"

Curs 14 Funcţii implicite. Facultatea de Hidrotehnică Universitatea Tehnică Gh. Asachi Curs 14 Funcţii implicite Facultatea de Hidrotehnică Universitatea Tehnică "Gh. Asachi" Iaşi 2014 Fie F : D R 2 R o funcţie de două variabile şi fie ecuaţia F (x, y) = 0. (1) Problemă În ce condiţii ecuaţia

Διαβάστε περισσότερα

Electronica si Interfete pentru sistemele incorporate. Interfeţe de proces

Electronica si Interfete pentru sistemele incorporate. Interfeţe de proces Electronica si Interfete pentru sistemele incorporate Interfeţe de proces Tematica propusa Circuite de iesire pentru comanda open collector push-pull (totem pole) high side drive, low side drive Comutatia

Διαβάστε περισσότερα

Seminar 5 Analiza stabilității sistemelor liniare

Seminar 5 Analiza stabilității sistemelor liniare Seminar 5 Analiza stabilității sistemelor liniare Noțiuni teoretice Criteriul Hurwitz de analiză a stabilității sistemelor liniare În cazul sistemelor liniare, stabilitatea este o condiție de localizare

Διαβάστε περισσότερα

Electronică Analogică. 5. Amplificatoare

Electronică Analogică. 5. Amplificatoare Electronică Analogică 5. Amplificatoare 5.1. Introducere Prin amplificare înţelegem procesul de mărire a valorilor instantanee ale unei puteri sau ale altei mărimi, fără a modifica modul de variaţie a

Διαβάστε περισσότερα

Curs 1 Şiruri de numere reale

Curs 1 Şiruri de numere reale Bibliografie G. Chiorescu, Analiză matematică. Teorie şi probleme. Calcul diferenţial, Editura PIM, Iaşi, 2006. R. Luca-Tudorache, Analiză matematică, Editura Tehnopress, Iaşi, 2005. M. Nicolescu, N. Roşculeţ,

Διαβάστε περισσότερα

CAPITOLUL 3. STABILIZATOARE DE TENSIUNE

CAPITOLUL 3. STABILIZATOARE DE TENSIUNE CAPTOLL 3. STABLZATOAE DE TENSNE 3.1. GENEALTĂȚ PVND STABLZATOAE DE TENSNE. Stabilizatoarele de tensiune sunt circuite electronice care furnizează la ieșire (pe rezistența de sarcină) o tensiune continuă

Διαβάστε περισσότερα

V.7. Condiţii necesare de optimalitate cazul funcţiilor diferenţiabile

V.7. Condiţii necesare de optimalitate cazul funcţiilor diferenţiabile Metode de Optimizare Curs V.7. Condiţii necesare de optimalitate cazul funcţiilor diferenţiabile Propoziţie 7. (Fritz-John). Fie X o submulţime deschisă a lui R n, f:x R o funcţie de clasă C şi ϕ = (ϕ,ϕ

Διαβάστε περισσότερα

(N) joncţiunea BC. polarizată invers I E = I C + I B. Figura 5.13 Prezentarea funcţionării tranzistorului NPN

(N) joncţiunea BC. polarizată invers I E = I C + I B. Figura 5.13 Prezentarea funcţionării tranzistorului NPN 5.1.3 FUNŢONAREA TRANZSTORULU POLAR Un tranzistor bipolar funcţionează corect, dacă joncţiunea bază-emitor este polarizată direct cu o tensiune mai mare decât tensiunea de prag, iar joncţiunea bază-colector

Διαβάστε περισσότερα

a n (ζ z 0 ) n. n=1 se numeste partea principala iar seria a n (z z 0 ) n se numeste partea

a n (ζ z 0 ) n. n=1 se numeste partea principala iar seria a n (z z 0 ) n se numeste partea Serii Laurent Definitie. Se numeste serie Laurent o serie de forma Seria n= (z z 0 ) n regulata (tayloriana) = (z z n= 0 ) + n se numeste partea principala iar seria se numeste partea Sa presupunem ca,

Διαβάστε περισσότερα

Seminariile Capitolul X. Integrale Curbilinii: Serii Laurent şi Teorema Reziduurilor

Seminariile Capitolul X. Integrale Curbilinii: Serii Laurent şi Teorema Reziduurilor Facultatea de Matematică Calcul Integral şi Elemente de Analiă Complexă, Semestrul I Lector dr. Lucian MATICIUC Seminariile 9 20 Capitolul X. Integrale Curbilinii: Serii Laurent şi Teorema Reiduurilor.

Διαβάστε περισσότερα

Analiza funcționării și proiectarea unui stabilizator de tensiune continuă realizat cu o diodă Zener

Analiza funcționării și proiectarea unui stabilizator de tensiune continuă realizat cu o diodă Zener Analiza funcționării și proiectarea unui stabilizator de tensiune continuă realizat cu o diodă Zener 1 Caracteristica statică a unei diode Zener În cadranul, dioda Zener (DZ) se comportă ca o diodă redresoare

Διαβάστε περισσότερα

VII.2. PROBLEME REZOLVATE

VII.2. PROBLEME REZOLVATE Teoria Circuitelor Electrice Aplicaţii V PROBEME REOVATE R7 În circuitul din fiura 7R se cunosc: R e t 0 sint [V] C C t 0 sint [A] Se cer: a rezolvarea circuitului cu metoda teoremelor Kirchhoff; rezolvarea

Διαβάστε περισσότερα

Sisteme diferenţiale liniare de ordinul 1

Sisteme diferenţiale liniare de ordinul 1 1 Metoda eliminării 2 Cazul valorilor proprii reale Cazul valorilor proprii nereale 3 Catedra de Matematică 2011 Forma generală a unui sistem liniar Considerăm sistemul y 1 (x) = a 11y 1 (x) + a 12 y 2

Διαβάστε περισσότερα

LUCRAREA NR. 1 STUDIUL SURSELOR DE CURENT

LUCRAREA NR. 1 STUDIUL SURSELOR DE CURENT LUCAEA N STUDUL SUSELO DE CUENT Scopul lucrării În această lucrare se studiază prin simulare o serie de surse de curent utilizate în cadrul circuitelor integrate analogice: sursa de curent standard, sursa

Διαβάστε περισσότερα

Fig Dependenţa curentului de fugă de temperatură. I 0 este curentul de fugă la θ = 25 C [30].

Fig Dependenţa curentului de fugă de temperatură. I 0 este curentul de fugă la θ = 25 C [30]. Fig.3.43. Dependenţa curentului de fugă de temperatură. I 0 este curentul de fugă la θ = 25 C [30]. Fig.3.44. Dependenţa curentului de fugă de raportul U/U R. I 0 este curentul de fugă la tensiunea nominală

Διαβάστε περισσότερα

TEORIA CIRCUITELOR ELECTRICE

TEORIA CIRCUITELOR ELECTRICE TEOA TEO EETE TE An - ETT S 9 onf. dr.ing.ec. laudia PĂA e-mail: laudia.pacurar@ethm.utcluj.ro TE EETE NAE ÎN EGM PEMANENT SNSODA /8 EZONANŢA ÎN TE EETE 3/8 ondiţia de realizare a rezonanţei ezonanţa =

Διαβάστε περισσότερα

Măsurări în Electronică şi Telecomunicaţii 4. Măsurarea impedanţelor

Măsurări în Electronică şi Telecomunicaţii 4. Măsurarea impedanţelor 4. Măsurarea impedanţelor 4.2. Măsurarea rezistenţelor în curent continuu Metoda comparaţiei ceastă metodă: se utilizează pentru măsurarea rezistenţelor ~ 0 montaj serie sau paralel. Montajul serie (metoda

Διαβάστε περισσότερα

Transformări de frecvenţă

Transformări de frecvenţă Lucrarea 22 Tranformări de frecvenţă Scopul lucrării: prezentarea metodei de inteză bazate pe utilizarea tranformărilor de frecvenţă şi exemplificarea aceteia cu ajutorul unui filtru trece-jo de tip Sallen-Key.

Διαβάστε περισσότερα

FENOMENE TRANZITORII Circuite RC şi RLC în regim nestaţionar

FENOMENE TRANZITORII Circuite RC şi RLC în regim nestaţionar Pagina 1 FNOMN TANZITOII ircuite şi L în regim nestaţionar 1. Baze teoretice A) ircuit : Descărcarea condensatorului ând comutatorul este pe poziţia 1 (FIG. 1b), energia potenţială a câmpului electric

Διαβάστε περισσότερα

III. Serii absolut convergente. Serii semiconvergente. ii) semiconvergentă dacă este convergentă iar seria modulelor divergentă.

III. Serii absolut convergente. Serii semiconvergente. ii) semiconvergentă dacă este convergentă iar seria modulelor divergentă. III. Serii absolut convergente. Serii semiconvergente. Definiţie. O serie a n se numeşte: i) absolut convergentă dacă seria modulelor a n este convergentă; ii) semiconvergentă dacă este convergentă iar

Διαβάστε περισσότερα

Platformă de e-learning și curriculă e-content pentru învățământul superior tehnic

Platformă de e-learning și curriculă e-content pentru învățământul superior tehnic Platformă de e-learning și curriculă e-content pentru învățământul superior tehnic Elemente de Electronică Analogică 35. Stabilizatoare de tensiune integrate STABILIZATOARE DE TENSIUNE INTEGRATE Stabilizatoarele

Διαβάστε περισσότερα

Lucrarea Nr. 10 Stabilizatoare de tensiune

Lucrarea Nr. 10 Stabilizatoare de tensiune ucrarea Nr. 10 Stabilizatoare de tensiune Scopul lucrării - studiul funcţionării diferitelor tipuri de stabilizatoare de tensiune; - determinarea parametrilor de calitate ai stabilizatoarelor analizate;

Διαβάστε περισσότερα

2.1 Amplificatorul de semnal mic cu cuplaj RC

2.1 Amplificatorul de semnal mic cu cuplaj RC Lucrarea nr.6 AMPLIFICATOAE DE SEMNAL MIC 1. Scopurile lucrării - ridicarea experimentală a caracteristicilor amplitudine-frecvenţă pentru amplificatorul cu cuplaj C şi amplificatorul selectiv; - determinarea

Διαβάστε περισσότερα

Functii definitie, proprietati, grafic, functii elementare A. Definitii, proprietatile functiilor X) functia f 1

Functii definitie, proprietati, grafic, functii elementare A. Definitii, proprietatile functiilor X) functia f 1 Functii definitie proprietati grafic functii elementare A. Definitii proprietatile functiilor. Fiind date doua multimi X si Y spunem ca am definit o functie (aplicatie) pe X cu valori in Y daca fiecarui

Διαβάστε περισσότερα

4.2. CIRCUITE LOGICE ÎN TEHNOLOGIE INTEGRATĂ

4.2. CIRCUITE LOGICE ÎN TEHNOLOGIE INTEGRATĂ 4.2. CIRCUITE LOGICE ÎN TEHNOLOGIE INTEGRTĂ În prezent, circuitele logice se realizează în exclusivitate prin tehnica integrării monolitice. În funcţie de tehnologia utilizată, circuitele logice integrate

Διαβάστε περισσότερα

Circuite elementare de formare a impulsurilor

Circuite elementare de formare a impulsurilor LABORATOR 1 Electronica digitala Circuite elementare de formare a impulsurilor Se vor studia câteva circuite simple de formare a impulsurilor şi anume circuitul de integrare a impulsurilor, cel de derivare

Διαβάστε περισσότερα

Exemple de probleme rezolvate pentru cursurile DEEA Tranzistoare bipolare cu joncţiuni

Exemple de probleme rezolvate pentru cursurile DEEA Tranzistoare bipolare cu joncţiuni Problema 1. Se dă circuitul de mai jos pentru care se cunosc: VCC10[V], 470[kΩ], RC2,7[kΩ]. Tranzistorul bipolar cu joncţiuni (TBJ) este de tipul BC170 şi are parametrii β100 şi VBE0,6[V]. 1. să se determine

Διαβάστε περισσότερα

Esalonul Redus pe Linii (ERL). Subspatii.

Esalonul Redus pe Linii (ERL). Subspatii. Seminarul 1 Esalonul Redus pe Linii (ERL). Subspatii. 1.1 Breviar teoretic 1.1.1 Esalonul Redus pe Linii (ERL) Definitia 1. O matrice A L R mxn este in forma de Esalon Redus pe Linii (ERL), daca indeplineste

Διαβάστε περισσότερα

Dispozitive electronice de putere

Dispozitive electronice de putere Lucrarea 1 Electronica de Putere Dispozitive electronice de putere Se compară calităţile de comutator ale principalelor ventile utilizate în EP şi anume tranzistorul bipolar, tranzistorul Darlington si

Διαβάστε περισσότερα

7. RETELE ELECTRICE TRIFAZATE 7.1. RETELE ELECTRICE TRIFAZATE IN REGIM PERMANENT SINUSOIDAL

7. RETELE ELECTRICE TRIFAZATE 7.1. RETELE ELECTRICE TRIFAZATE IN REGIM PERMANENT SINUSOIDAL 7. RETEE EECTRICE TRIFAZATE 7.. RETEE EECTRICE TRIFAZATE IN REGIM PERMANENT SINSOIDA 7... Retea trifazata. Sistem trifazat de tensiuni si curenti Ansamblul format din m circuite electrice monofazate in

Διαβάστε περισσότερα

CAPITOLUL 2. AMPLIFICATOARE OPERAȚIONALE

CAPITOLUL 2. AMPLIFICATOARE OPERAȚIONALE CAPITOLUL 2. AMPLIFICATOARE OPERAȚIONALE 2.1. GENERALITĂȚI PRIVIND AMPLIFICATOARELE OPERAȚIONALE 2.1.1 DEFINIȚIE. Amplificatoarele operaţionale sunt amplificatoare electronice de curent continuu, care

Διαβάστε περισσότερα