Memorijski CMOS sklopovi

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Memorijski CMOS sklopovi"

Transcript

1 Memorijski CMOS sklopovi Zadatak 1 U statičkoj RAM ćeliji na slici 1 dimenzije kanala tranzistora T 1 i T 3 su ( W / ) = 3 λ/λ, a tranzistora T, T 4, T 5 i T 6 su ( W / ) = 4 λ/λ pri čemu je λ = 0,1 μm. Ćelija radi s naponom napajanja U DD =1,8 V, a parametri tranzistora su K n = 8 μa/v, K p = 66 μa/v, 0 0 UGS 0n = UGS 0p = 0,45 V, U DSzasn = 0,45 V i U DSzasp = 0,60 V. Pretpostaviti da je u ćeliju zapisana logička 1. Dovođenjem napona ub = UDD i u B = 0 u ćeliju se zapisuje 0. Uz pretpostavku da je parazitni kapacitet izlaza Q jednak C Q =,5 ff, odrediti vrijeme Δt potrebno da se prilikom upisivanja napon u Q smanji na napon praga okidanja U PO invertora s tranzistorima T 1 i T. Pri analizi zanemariti utjecaj napona podloge na napon praga, te modulaciju dužina kanala tranzistora. Slika 1 CMOS statička RAM ćelija. Napon praga okidanja U PO je napon pri kojem su ulazni i izlazni naponi invertora s tranzistorima T 1 i T međusobno jednaki. Uz te napone oba tranzistora rade u zasićenju brzine nosilaca. Izjednačavanjem struja tih tranzistora, ID1 = ID, uz UGS1 = UPO i U = U U, dobiva se GS PO DD K U U U K U U U U 1 0 DSzasn 0 DSzasp n PO GS 0n DSzasn p PO DD GS 0p DSzasp 1 =. Uz 1

2 ( ) ( ) W 1 W / K p UDSzasp K p UDSzasp ,60 r = = = = 0,515, W / K U W K U 3 8 0,45 napon praga okidanja je U PO 1 n DSzasn n DSzasn 0 U DSzasn 0 U DSzasp UGS 0n + r UDD UGS 0p = = 1 + r 0,45 0,60 0, ,515 1,8 0, 45 = = 0,80 V. 1+ 0,515 Ako je u ćeliju zapisana 1, Q = 1 i Q = 0. Prema slici 1 vode tranzistori T 1 i T 4, a ne vode tranzistori T i T 3. Dovođenjem W = 1 uključuju se tranzistori T 5 i T 6 i u tom trenutku vrijedi shema prema slici. Kapacitet izlaza C Q počinje se preko tranzistora T 6 izbijati na vod bita B. U trenutku početka izbijanja t = 0 napon uq = UQ0 = UDD, na tranzistoru T 4 nema pada napona i struja id4 = ID40 = 0. Uz napon uds 6 = uq 0 = UDD, tranzistor T 6 radi u području zasićenja brzine nosilaca i njegova je struja Slika Shema CMOS RAM ćelije pri upisu logičke 0 na izlaz Q. i = I = K U U U = 6 0 DSzasn D6 D60 n DD GS 0n DSzasn 6 4 0,45 = 8 1,8 0,45 0,45 = 31 μa. Početna struja izbijanja kapaciteta je icq = ICQ0 = ID40 + ID60 = 0+ 31= 31 μa. Izbijanjem kapaciteta C Q napon u Q se smanjuje i nakon vremena Δt pada na napon praga okidanja U PO invertora s tranzistorima T 1 i T, uq = UQ t = UPO. U tom su trenutku naponi Δ

3 uds 4 = UPO UDD = 0,80 1,8 = 1,0 V i uds 6 = UPO 0= 0,80 V, te tranzistori T 4 i T 6 rade u području zasićenja brzina nosilaca. Uz pretpostavku da je u tom trenutku napon u Q još uvijek 0, struje tranzistora T 4 i T 6 su W4 0 U DSzasp id4 = ID4Δt = K p 0 UDD UGS 0p UDSzasp = 4 4 0,60 = 66 1,8 + 0,45 + ( 0,60) = 83, μa, i = I = K U U U = I = 31 μa. 6 0 DSzasn D6 D6Δt n DD GS 0n DSzasn D60 6 Struja izbijanja kapaciteta u trenutku Δt jednaka je icq = ICQΔt = ID4Δt + ID6Δt = 83, + 31 = 148 μa. Tijekom izbijanja kapaciteta C Q struja izbijanja i CQ se smanjuje, pri čemu se u intervalu vremena Δt može pretpostaviti prosječna vrijednost struje I CQsr ICQ0 + ICQΔt = = = 189 μa, pa se vrijeme Δt, potrebno da se prilikom upisivanja napon u Q smanji s napona U DD na napon praga okidanja U PO, može računati prema ( ), ( 1,8 0,80) CQΔuQ CQ UDD UPO Δ t = = = = 13, ps. 6 I I CQsr CQsr Padom napona u Q na napon praga okidanja U PO invertor s tranzistorima T 1 i T mijenja stanje. Time se inicira promjena stanja invertora s tranzistorima T 3 i T 4, a time i cijelog bistabila, pa napon u Q pada na 0. Zadatak Upis logičke 0 na izlaz Q statičke RAM ćelije ujedno je i upis logičke 1 na izlaz Q. Ponoviti proračun vremena upisa logičke 0 iz zadatka 1 u statičku RAM ćeliju sa slike 1, ali tako da se Δt odredi kao vrijeme potrebno da se prilikom upisivanja napon u Q poveća na napon praga okidanja U PO invertora s tranzistorima T 3 i T 4. Parazitni kapacitet izlaza Q jednak C Q =,5 ff, a svi ostali parametri jednaki su kao u zadatku 1. Parametri tranzistora T 3 i T 4, jednaki su parametrima tranzistora T 1 i T pa je napon praga okidanja U PO jednak za oba invertora. Napon U PO izračunat je u zadatku 1 i iznosi U = 0.80 V PO 3

4 Kada je u ćeliju zapisana 1, Q = 1 i Q = 0. Vode tranzistori T 1 i T 4, a ne vode tranzistori T i T 3. Kad se s W = 1 uključe tranzistori T 5 i T 6 vrijedi shema prema slici 3. Kapacitet izlaza C Q počinje se preko tranzistora T 5 nabijati na vod bita B. U trenutku početka nabijanja t = 0 napon uq = UQ0 = 0, pa na tranzistoru T 1 nema pada napona i struja id1 = ID10 = 0. Napon uds5 = UDD i tranzistor T 5 radi u području zasićenja brzine nosilaca. Struja tog tranzistora je Slika 3 Shema CMOS RAM ćelije pri upisu logičke 1 na izlaz Q. i = I = K U U U = 5 0 DSzasn D5 D50 n DD GS 0n DSzasn 5 4 0,45 = 8 1,8 0,45 0,45 = 31 μa. Početna struja nabijanja kapaciteta je i = I = I I = =. CQ 0 D50 D μa CQ Nabijanjem kapaciteta C napon u Q Q raste i nakon vremena Δt trebao bi doseći napon praga okidanja U PO invertora s tranzistorima T 3 i T 4, u Q = U QΔt = U PO. U tom su slučaju naponi uds1 = UPO = 0,80 V i uds 6 = UDD UPO = 1,8 0,80 = 1, 0 V, uz koje oba tranzistora rade u području zasićenja brzina nosilaca. Ako je pri tome napon u Q još uvijek jednak naponu U DD, struje tranzistora T 1 i T 5 su i = I = K U U U = 1 0 DSzasn D1 D1Δt n DD GS 0n DSzasn 1 3 0,45 = 8 1,8 0,45 0,45 = 173 μa, i = I = K U U U U = 5 0 DSzasn D5 D5Δt n DD PO GS0n DSzasn 5 4 0,45 = 8 1,8 0,80 0,45 0,45 = 66, μa, 4

5 pa je struja nabijanja kapaciteta jednaka i = I = I D5Δt I D1Δt = 66, 173 = 107 μa. CQ CQΔt Dobivena negativna struja predstavlja nerealno rješenje, odnosno ukazuje da priključkom na napon ub = UDD tranzistor T 5 ne može podići napon u Q na razinu napona praga okidanja U PO. To je u skladu s odabirom dimenzija tranzistora T 5 i T 1 u primjeru 7.1 predavanja, s kojima se osigurava nedestruktivno čitanje. Uz navedene dimenzije tranzistora rast napona u Q iznad napona U PO može biti iniciran samo posredno padom napona u Q ispod praga okidanja U PO. Time invertor s tranzistorima T 1 i T mijenja stanje, tj. prestaje voditi tranzistor T 1, a počinje voditi tranzistor T koji priteže napon u Q prema naponu U DD. Vrijeme pisanja ćelije određeno je vremenom Δt izračunatom u zadatku 1. Zadatak 3 Izračunati iznose napona logičkih 0 i 1 koji se zapisuju na kapacitetu C S dinamičke RAM 0 ćelije prema slici 4. Napon napajanja U DD = 1,8 V, a parametri tranzistora su U GS 0n = 0,45 V, 1/ γ = 0,35 V i φ 0 = 0,60 V. n n Slika 4 CMOS dinamička RAM ćelija. Upisivanje u ćeliju vrši se dovođenjem napona logičke 0 ili 1 na liniju bita, te uključenjem linije riječi s W = 1, čime se uključuje tranzistor T. Ako se na liniju bita dovede B = 0, odnosno U B = 0 tada se, uz W = 1, kapacitet C S preko tranzistora T isprazni i zapisani napon logičke 0 na kapacitetu je UCS = U =. 0 0 Ako je na liniji bita B = 1 napon je UB = UDD. Uključenjem tranzistora T, s W = 1, kapacitet C S počinje se puniti prema naponu U DD i napon U CS raste. Pri tome se napon U GS = U DD U CS smanjuje i kada napon na kapacitetu dosegne vrijednost UCS = UDD UGS 0n, napon 5

6 UGS = UGS 0n i tranzistor prestaje voditi. Napon na kapacitetu ne može dalje rasti i zapisani napon logičke 1 je U = U = U U. CS 1 DD GS 0n Budući da je pri tome napon uvoda tranzistora jednak naponu na kapacitetu, a podloga je spojena na masu napon i napon praga tranzistora je U = 0 U = U BS CS 1 ( ) ( ) 0 0 GS 0n GS 0n γ n φ0n 1 φ0n GS 0n γn φ0n DD GS 0n φ0n U = U + + U = U + + U U. Prema primjeru 5.4 iz predavanja gornja jednadžba može se pisati u obliku kvadratne jednadžbe ( γ φ ) γ ( γ φ ) γ ( φ ) 0 0 UGS 0n UGS 0n n 0n n UGS 0n + UGS 0n n 0n n 0n + UDD = 0. Uz zadane podatke vrijedi U ( 0,45 0,35 0,6) 0,35 U GS n ( ) ( ) GS 0n , 45 0,35 0, 6 0,35 0, 6 + 1,8 = 0, U GS 0n UGS 0n 0,35 0,6 = 0, 0,35 0,35 U GS 0n = + + 0,6 = 0,643 V, 4 te je zapisani napon logičke 1 UCS = U1 = UDD UGS 0n = 1,8 0, 643 = 1,16 V. Zbog povećanog napona praga zapisani napon logičke 1 dosta je niži od napona U DD. Zadatak 4 Na slici 5 prikazan je NII dekoder retka s adrese retka i 4 linije riječi. Koliki je ukupan broj tranzistora u NII dekoderu retka koji se adresira s K adresa retka? Koliki je broj tranzistora NII dekodera retka koji selektira 51 linija riječi? 6

7 Slika 5 NII dekoder retka. S K adresa retka selektira se M = K linija riječi. Svakoj liniji riječi pripada jedan pmos tranzistor i K nmos tranzistora. Za K adresa NII dekoder retka treba K pmos tranzistora i K K nmos tranzistora, odnosno ukupan broj tranzistora je ntr = ( K + 1) K. M = 51 linija riječi adresira se s K = logm = log51 = 9 adresa retka i ukupan broj tranzistora je ntr K = ( K + 1) = (9 + 1) = Zadatak 5 Na slici 6 prikazana su dva dekodera stupca: s NII dekoderom i prijenosnim tranzistorima i stablasti dekoder. Koliki je ukupan broj tranzistora u pojedinom od tih sklopova za 7

8 dekoder stupca koji se adresira s adresa stupca? Koliki je broj tranzistora u pojedinom od tih sklopova za selektiranje 56 linija bita? Slika 6 Dekoder stupca: a) s NII dekoderom i prijenosnim tranzistorima, b) stablasti. S adresa selektira se N = linija bita. Dekoder sa slike 6a koristi NII dekoder sa slike 5. Prema rezultatu zadatka 4 za adresa ukupan broj tranzistora NII dekodera je ( + 1). Tome treba dodati prijenosnih nmos tranzistora za spajanje linija bita s ulazno/izlaznim priključkom, pa je ukupan broj tranzistora u dekoderu stupca sa slike 6a jednak n = ( + 1) + = ( + ). tra drugu U stablastom dekoderu stupca sa slike 6b za prvu adresu treba 1 = tranzistora, za = 4 tranzistora itd. Za adresa broj tranzistora je n trb = ( ) = ( ) = ( 1). N = 56 linija bita adresira se s = log N = log56 = 8 adresa. Za dekoder stupca s NII dekoderom ukupan broj tranzistora je ntra = ( + 1) = (8+ 1) = 304, 8 a za stablasti dekoder stupca broj tranzistora je 8 ( ) n = 1 = ( 1) = 510. trb Stablasti dekoder za istu funkciju treba 4,5 puta manje tranzistora. 8

9 Zadatak 6 Koji je sadržaj pojedinih riječi W i pohranjen u pseudo-nmos NI ROM-u na slici 7? Slika 7 Pseudo-NMOS NI ROM. Prije čitanja memorije sve se linije riječi postavljaju u logičku 1, čime se uključuju sve mreže ponora i sve linije bita prelaze u logičku 0. Pojedina linija riječi W i aktivira se preklapanjem u logičku 0, čime se isključuju svi nmos tranzistori spojeni na tu liniju riječi i pripadne linije bita prelaze u logičku 1. Vodeći računa o tome sadržaj memorije na slici 7 prikazan je u tablici 1. Sadržaj je napisan u formi Wi = B3B B1B0. Tablica 1 Sadržaj pseudo-nmos NI ROM-a sa slike 7. Riječ Sadržaj W W W 1010 W

10 Zadatak 7 Nacrtati pseudo-nmos NII ROM sa sadržajem riječi W i prema tablici. Pojedine riječi dane su u formi Wi = B3B B1B0. Tablica Sadržaj pseudo-nmos NII ROM-a iz zadatka 7. Riječ Sadržaj W W W 0011 W Slika 8 Pseudo-NMOS NII ROM. Prije čitanja memorije sve su linije riječi u stanju logičke 0, čime su sve mreže ponora isključene i pmos tranzistori spajaju sve linije bita na napon napajanja, tj. u logičku 1. Pri čitanju memorije aktivira samo jedna linija riječi W i. nmos tranzistori čije su upravljačke elektrode spojene na tu liniju uključuju se i spajaju pripadne linije bita na masu, a ostale linije bita ostaju 10

11 spojene na napon napajanja. Vodeći računa o tome pseudo-nmos NII ROM sa sadržajem riječi W i prema tablici prikazan je na slici 8. Zadatak 8 Dio topologije pseudo-nmos NII ROM-a prikazan je na slici 9. Odrediti vremena kašnjenja na linijama riječi i linijama bita u ROM-u kapaciteta bita. ROM je izveden u 0,18 μm-skom CMOS uz λ = 0,1 μm. Gustoća kapaciteta CMOS strukture je C ox = 8,8 ff/μm, za prospojne linije polisilicija planparalelni kapacitet je C pppl = 105 af/μm, bočni kapacitet je c bpl = 38 af/μm i slojni otpor je R Spl = 7,8 Ω/, a za prospojne linije metala 1 planparalelni kapacitet je C ppm1 = 38 af/μm, bočni kapacitet je c bm1 = 1 af/μm i slojni otpor je R Sm1 = 0, 08 Ω/. Kapacitet odvoda nmos tranzistora je C dn = 0,5 ff, a za tranzistore s minimalnom dužinom kanala = λ nadomjesni otpori nmos i pmos tranzistora po jediničnoj širini kanala su R n 0 = kω μm i R p 0 = 4,5 kω μm. Omjer širine i dužine kanala pmos tranzistora ( W / ) p = 5,5. Slika 9 Topologija NII ROM-a. Model ćelije NII ROM-a za proračun kašnjenja na linijama riječi i linijama bita prikazan je na slici 10. Prema slici 9 linije riječi izvedene su s polisilicijem, a linije bita s metalom 1. Zbog većeg slojnog otpora polisilicija linija riječi modelirana je nadomjesnim otporom i kapacitetom, a zbog manjeg slojnog otpora metala linija bita modelirana je samo kapacitetom. U svakoj ćeliji širina polisilicijske linije riječi je λ, a dužina je 7 λ, od čega 4 λ pripada tranzistoru, a 3 λ prospoju. Otpor linije riječi je 11

12 Slika 10 Model ćelije NII ROM-a. a kapacitet prospojne linije riječi je R Wi W 7 i = RSpl = 7,8 = 7,3 Ω, W W i C = C W + c = 0, ,1 + 0, ,1 = 0,09 ff. Wli pppl Wl Wli bpl Wli Kapacitetu linije riječi doprinosi i kapacitet upravljačke elektrode nmos tranzistora. Uz dimenzije kanala ( W / ) = 4 λ/λ taj je kapacitet n CGn = Cox Wn n = 8,8 4 0,1 = 0,704 ff, pa je ukupni kapacitet linije riječi CWi = CWli + CGn = 0,09 + 0,704 = 0,733 ff. Pojedina linija riječi aktivira se dovođenjem logičke 1, odnosno njenim priključkom na napon U1 = UDD. Pri tome dolazi do nabijanja svih čvorova s upravljačkim elektrodama nmos tranzistora. Iako se NII ROM programira s postojanjem ili nepostojanjem tranzistora u pojedinom križanju linije riječi i linije bita, u izvedbi prema slici 9 na svakom križanju fizički su prisutni nmos tranzistori, ali na mjestima gdje ih ne bi trebalo biti nisu spojeni odvodom na liniju bita. To znači da je na svakom križanju prisutan izračunati kapacitet C Wi koji uključuje i kapacitet C Gn. inija riječi može se nadomjestiti RC lancem koji sadrži M = 51 segmenata s otporima R wi i kapacitetima C wi. Kašnjenje linije može se izračunati korištenjem Elmorove vremenske konstante M k dw 0,69 DM 0,69 Wi Wi 0,69( 1 ) Wi Wi k = 1 j = 1 t = t = C R = M C R = ( ) M M = 0,69 CWi RWi = 0,69 0, ,3 = 1,9 ns. Prema slici 9, širina metalne linije bita je 4 λ, a dužina u pojedinoj ćeliji je 11 λ. Otpor linije bita je 1

13 Taj otpor je zanemariv. Kapacitet linije bita je R Bi Bli ppm1 Bi Bi bm1 Bl Bi 11 = RSm1 = 0,08 = 0, Ω. W 4 Bi C = C W + c = 0, ,1 + 0, ,1 = 0,063 ff. Kapacitetu linije bita doprinosi i kapacitet odvoda nmos tranzistora kapacitet linije bita C = 0,5 ff pa je ukupni dn CBi = CBli + Cdn = 0, ,5 = 0,563 ff. Pri deaktiviranju svih linija riječi linije bita se nabijaju na napon napajanja preko pmos tranzistora. U najgorem slučaju uz postojanje svih M = 51 nmos tranzistora na liniji bita ukupan kapacitet linije bita je C B 15 = MC = 51 0, = 0,9 pf. Bi Uz minimalnu dužinu kanala pmos tranzistora p = λ = 0,1 = 0,m, širina kanala tog tranzistora je i njegov nadmjesni otpor je ( ) Vrijeme kašnjenja pri nabijaju linije bita je t W = W/ = 5,5 0,= 1,1 μm p R p p p Rp0 4,5 = = = 4,1 kω. W 1,1 p 3 1 = 0,69 R C = 0,69 4,1 10 0, 9 10 = 0,8 ns. dbnv p B Pri aktiviranju linije riječi i uključenja nmos tranzistora linija bita se izbija preko paralelne kombinacije nadomjesnih otpora nmos i pmos tranzistora. Širina kanala nmos tranzistora W = 4λ = 4 0,1= 0,4m i njegov nadomjesni otpor je n R n Rn0 = = = 5 kω. W 0,4 n Vrijeme kašnjenja pri izbijanju linije bita je 3 1 ( ) ( ) t = 0, 69 R R C = 0, ,1 10 0, 9 10 = 0, 45 ns. dbvn n p B 13

Unipolarni tranzistori - MOSFET

Unipolarni tranzistori - MOSFET nipolarni tranzistori - MOSFET ZT.. Prijenosna karakteristika MOSFET-a u području zasićenja prikazana je na slici. oboaćeni ili osiromašeni i obrazložiti. b olika je struja u točki, [m] 0,5 0,5,5, [V]

Διαβάστε περισσότερα

RAČUNSKE VEŽBE IZ PREDMETA POLUPROVODNIČKE KOMPONENTE (IV semestar modul EKM) IV deo. Miloš Marjanović

RAČUNSKE VEŽBE IZ PREDMETA POLUPROVODNIČKE KOMPONENTE (IV semestar modul EKM) IV deo. Miloš Marjanović Univerzitet u Nišu Elektronski fakultet RAČUNSKE VEŽBE IZ PREDMETA (IV semestar modul EKM) IV deo Miloš Marjanović MOSFET TRANZISTORI ZADATAK 35. NMOS tranzistor ima napon praga V T =2V i kroz njega protiče

Διαβάστε περισσότερα

BIPOLARNI TRANZISTOR Auditorne vježbe

BIPOLARNI TRANZISTOR Auditorne vježbe BPOLARN TRANZSTOR Auditorne vježbe Struje normalno polariziranog bipolarnog pnp tranzistora: p n p p - p n B0 struja emitera + n B + - + - U B B U B struja kolektora p + B0 struja baze B n + R - B0 gdje

Διαβάστε περισσότερα

nvt 1) ukoliko su poznate struje dioda. Struja diode D 1 je I 1 = I I 2 = 8mA. Sada je = 1,2mA.

nvt 1) ukoliko su poznate struje dioda. Struja diode D 1 je I 1 = I I 2 = 8mA. Sada je = 1,2mA. IOAE Dioda 8/9 I U kolu sa slike, diode D su identične Poznato je I=mA, I =ma, I S =fa na 7 o C i parametar n= a) Odrediti napon V I Kolika treba da bude struja I da bi izlazni napon V I iznosio 5mV? b)

Διαβάστε περισσότερα

UNIVERZITET U NIŠU ELEKTRONSKI FAKULTET SIGNALI I SISTEMI. Zbirka zadataka

UNIVERZITET U NIŠU ELEKTRONSKI FAKULTET SIGNALI I SISTEMI. Zbirka zadataka UNIVERZITET U NIŠU ELEKTRONSKI FAKULTET Goran Stančić SIGNALI I SISTEMI Zbirka zadataka NIŠ, 014. Sadržaj 1 Konvolucija Literatura 11 Indeks pojmova 11 3 4 Sadržaj 1 Konvolucija Zadatak 1. Odrediti konvoluciju

Διαβάστε περισσότερα

, Zagreb. Prvi kolokvij iz Analognih sklopova i Elektroničkih sklopova

, Zagreb. Prvi kolokvij iz Analognih sklopova i Elektroničkih sklopova Grupa A 29..206. agreb Prvi kolokvij Analognih sklopova i lektroničkih sklopova Kolokvij se vrednuje s ukupno 42 boda. rijednost pojedinog zadatka navedena je na kraju svakog zadatka.. a pojačalo na slici

Διαβάστε περισσότερα

FAKULTET PROMETNIH ZNANOSTI

FAKULTET PROMETNIH ZNANOSTI SVUČILIŠT U ZAGU FAKULTT POMTNIH ZNANOSTI predmet: Nastavnik: Prof. dr. sc. Zvonko Kavran zvonko.kavran@fpz.hr * Autorizirana predavanja 2016. 1 Pojačala - Pojačavaju ulazni signal - Zahtjev linearnost

Διαβάστε περισσότερα

VJEŽBE 3 BIPOLARNI TRANZISTORI. Slika 1. Postoje npn i pnp bipolarni tranziostori i njihovi simboli su dati na slici 2 i to npn lijevo i pnp desno.

VJEŽBE 3 BIPOLARNI TRANZISTORI. Slika 1. Postoje npn i pnp bipolarni tranziostori i njihovi simboli su dati na slici 2 i to npn lijevo i pnp desno. JŽ 3 POLAN TANZSTO ipolarni tranzistor se sastoji od dva pn spoja kod kojih je jedna oblast zajednička za oba i naziva se baza, slika 1 Slika 1 ipolarni tranzistor ima 3 izvoda: emitor (), kolektor (K)

Διαβάστε περισσότερα

2 tg x ctg x 1 = =, cos 2x Zbog četvrtog kvadranta rješenje je: 2 ctg x

2 tg x ctg x 1 = =, cos 2x Zbog četvrtog kvadranta rješenje je: 2 ctg x Zadatak (Darjan, medicinska škola) Izračunaj vrijednosti trigonometrijskih funkcija broja ako je 6 sin =,,. 6 Rješenje Ponovimo trigonometrijske funkcije dvostrukog kuta! Za argument vrijede sljedeće formule:

Διαβάστε περισσότερα

( , 2. kolokvij)

( , 2. kolokvij) A MATEMATIKA (0..20., 2. kolokvij). Zadana je funkcija y = cos 3 () 2e 2. (a) Odredite dy. (b) Koliki je nagib grafa te funkcije za = 0. (a) zadanu implicitno s 3 + 2 y = sin y, (b) zadanu parametarski

Διαβάστε περισσότερα

Pismeni ispit iz matematike Riješiti sistem jednačina i diskutovati rješenja sistema u zavisnosti od parametra: ( ) + 1.

Pismeni ispit iz matematike Riješiti sistem jednačina i diskutovati rješenja sistema u zavisnosti od parametra: ( ) + 1. Pismeni ispit iz matematike 0 008 GRUPA A Riješiti sistem jednačina i diskutovati rješenja sistema u zavisnosti od parametra: λ + z = Ispitati funkciju i nacrtati njen grafik: + ( λ ) + z = e Izračunati

Διαβάστε περισσότερα

Kontrolni zadatak (Tačka, prava, ravan, diedar, poliedar, ortogonalna projekcija), grupa A

Kontrolni zadatak (Tačka, prava, ravan, diedar, poliedar, ortogonalna projekcija), grupa A Kontrolni zadatak (Tačka, prava, ravan, diedar, poliedar, ortogonalna projekcija), grupa A Ime i prezime: 1. Prikazane su tačke A, B i C i prave a,b i c. Upiši simbole Î, Ï, Ì ili Ë tako da dobijeni iskazi

Διαβάστε περισσότερα

ELEKTROTEHNIČKI ODJEL

ELEKTROTEHNIČKI ODJEL MATEMATIKA. Neka je S skup svih živućih državljana Republike Hrvatske..04., a f preslikavanje koje svakom elementu skupa S pridružuje njegov horoskopski znak (bez podznaka). a) Pokažite da je f funkcija,

Διαβάστε περισσότερα

7 Algebarske jednadžbe

7 Algebarske jednadžbe 7 Algebarske jednadžbe 7.1 Nultočke polinoma Skup svih polinoma nad skupom kompleksnih brojeva označavamo sa C[x]. Definicija. Nultočka polinoma f C[x] je svaki kompleksni broj α takav da je f(α) = 0.

Διαβάστε περισσότερα

3.1 Granična vrednost funkcije u tački

3.1 Granična vrednost funkcije u tački 3 Granična vrednost i neprekidnost funkcija 2 3 Granična vrednost i neprekidnost funkcija 3. Granična vrednost funkcije u tački Neka je funkcija f(x) definisana u tačkama x za koje je 0 < x x 0 < r, ili

Διαβάστε περισσότερα

Elektronički Elementi i Sklopovi. Sadržaj predavanja: 1. Mreže sa kombiniranim DC i AC izvorima 2. Sklopovi sa Zenner diodama 3. Zennerov regulator

Elektronički Elementi i Sklopovi. Sadržaj predavanja: 1. Mreže sa kombiniranim DC i AC izvorima 2. Sklopovi sa Zenner diodama 3. Zennerov regulator Sadržaj predavanja: 1. Mreže sa kombiniranim DC i AC izvorima 2. Sklopovi sa Zenner diodama 3. Zennerov regulator Dosadašnja analiza je bila koncentrirana na DC analizu, tj. smatralo se da su elementi

Διαβάστε περισσότερα

IZRAČUNAVANJE POKAZATELJA NAČINA RADA NAČINA RADA (ISKORIŠĆENOSTI KAPACITETA, STEPENA OTVORENOSTI RADNIH MESTA I NIVOA ORGANIZOVANOSTI)

IZRAČUNAVANJE POKAZATELJA NAČINA RADA NAČINA RADA (ISKORIŠĆENOSTI KAPACITETA, STEPENA OTVORENOSTI RADNIH MESTA I NIVOA ORGANIZOVANOSTI) IZRAČUNAVANJE POKAZATELJA NAČINA RADA NAČINA RADA (ISKORIŠĆENOSTI KAPACITETA, STEPENA OTVORENOSTI RADNIH MESTA I NIVOA ORGANIZOVANOSTI) Izračunavanje pokazatelja načina rada OTVORENOG RM RASPOLOŽIVO RADNO

Διαβάστε περισσότερα

DISKRETNA MATEMATIKA - PREDAVANJE 7 - Jovanka Pantović

DISKRETNA MATEMATIKA - PREDAVANJE 7 - Jovanka Pantović DISKRETNA MATEMATIKA - PREDAVANJE 7 - Jovanka Pantović Novi Sad April 17, 2018 1 / 22 Teorija grafova April 17, 2018 2 / 22 Definicija Graf je ure dena trojka G = (V, G, ψ), gde je (i) V konačan skup čvorova,

Διαβάστε περισσότερα

S t r a n a 1. 1.Povezati jonsku jačinu rastvora: a) MgCl 2 b) Al 2 (SO 4 ) 3 sa njihovim molalitetima, m. za so tipa: M p X q. pa je jonska jačina:

S t r a n a 1. 1.Povezati jonsku jačinu rastvora: a) MgCl 2 b) Al 2 (SO 4 ) 3 sa njihovim molalitetima, m. za so tipa: M p X q. pa je jonska jačina: S t r a n a 1 1.Povezati jonsku jačinu rastvora: a MgCl b Al (SO 4 3 sa njihovim molalitetima, m za so tipa: M p X q pa je jonska jačina:. Izračunati mase; akno 3 bba(no 3 koje bi trebalo dodati, 0,110

Διαβάστε περισσότερα

Elektronički Elementi i Sklopovi

Elektronički Elementi i Sklopovi Elektronički Elementi i Sklopovi Sadržaj predavanja: 1. Teoretski zadaci sa diodama 2. Analiza linije tereta 3. Elektronički sklopovi sa diodama 4. I i ILI vrata 5. Poluvalni ispravljač Teoretski zadaci

Διαβάστε περισσότερα

STATIČKE KARAKTERISTIKE DIODA I TRANZISTORA

STATIČKE KARAKTERISTIKE DIODA I TRANZISTORA Katedra za elektroniku Elementi elektronike Laboratorijske vežbe Vežba br. 2 STATIČKE KARAKTERISTIKE DIODA I TRANZISTORA Datum: Vreme: Studenti: 1. grupa 2. grupa Dežurni: Ocena: Elementi elektronike -

Διαβάστε περισσότερα

Matematika 1 - vježbe. 11. prosinca 2015.

Matematika 1 - vježbe. 11. prosinca 2015. Matematika - vježbe. prosinca 5. Stupnjevi i radijani Ako je kut φ jednak i rad, tada je veza između i 6 = Zadatak.. Izrazite u stupnjevima: a) 5 b) 7 9 c). d) 7. a) 5 9 b) 7 6 6 = = 5 c). 6 8.5 d) 7.

Διαβάστε περισσότερα

- pravac n je zadan s točkom T(2,0) i koeficijentom smjera k=2. (30 bodova)

- pravac n je zadan s točkom T(2,0) i koeficijentom smjera k=2. (30 bodova) MEHANIKA 1 1. KOLOKVIJ 04/2008. grupa I 1. Zadane su dvije sile F i. Sila F = 4i + 6j [ N]. Sila je zadana s veličinom = i leži na pravcu koji s koordinatnom osi x zatvara kut od 30 (sve komponente sile

Διαβάστε περισσότερα

Sveučilište u Zagrebu. Zavod za elektroniku, mikroelektroniku, računalne i inteligentne sustave. Elektronika 1R

Sveučilište u Zagrebu. Zavod za elektroniku, mikroelektroniku, računalne i inteligentne sustave. Elektronika 1R Sveučilište u Zagrebu Fakultet elektrotehnike i računarstva Zavod za elektroniku, mikroelektroniku, računalne i inteligentne sustave Elektronika 1R Ž. Butković, J. Divković Pukšec, A. Barić 5. Unipolarni

Διαβάστε περισσότερα

Zadaci sa prethodnih prijemnih ispita iz matematike na Beogradskom univerzitetu

Zadaci sa prethodnih prijemnih ispita iz matematike na Beogradskom univerzitetu Zadaci sa prethodnih prijemnih ispita iz matematike na Beogradskom univerzitetu Trigonometrijske jednačine i nejednačine. Zadaci koji se rade bez upotrebe trigonometrijskih formula. 00. FF cos x sin x

Διαβάστε περισσότερα

ANALIZA RADA 6T_SRAM I 1T_DRAM MEMORIJSKE ĆELIJE

ANALIZA RADA 6T_SRAM I 1T_DRAM MEMORIJSKE ĆELIJE KATEDRA ZA ELEKTRONIKU Laboratorijske vežbe DIGITALNA ELEKTRONIKA (smer EL) ANALIZA RADA 6T_SRAM I 1T_DRAM MEMORIJSKE ĆELIJE NAPOMENA: Prilikom rada na računaru mora se poštovati sledeće: - napajanje na

Διαβάστε περισσότερα

TRIGONOMETRIJSKE FUNKCIJE I I.1.

TRIGONOMETRIJSKE FUNKCIJE I I.1. TRIGONOMETRIJSKE FUNKCIJE I I Odredi na brojevnoj trigonometrijskoj kružnici točku Et, za koju je sin t =,cost < 0 Za koje realne brojeve a postoji realan broj takav da je sin = a? Izračunaj: sin π tg

Διαβάστε περισσότερα

Veleučilište u Rijeci Stručni studij sigurnosti na radu Akad. god. 2011/2012. Matematika. Monotonost i ekstremi. Katica Jurasić. Rijeka, 2011.

Veleučilište u Rijeci Stručni studij sigurnosti na radu Akad. god. 2011/2012. Matematika. Monotonost i ekstremi. Katica Jurasić. Rijeka, 2011. Veleučilište u Rijeci Stručni studij sigurnosti na radu Akad. god. 2011/2012. Matematika Monotonost i ekstremi Katica Jurasić Rijeka, 2011. Ishodi učenja - predavanja Na kraju ovog predavanja moći ćete:,

Διαβάστε περισσότερα

I.13. Koliki je napon između neke tačke A čiji je potencijal 5 V i referentne tačke u odnosu na koju se taj potencijal računa?

I.13. Koliki je napon između neke tačke A čiji je potencijal 5 V i referentne tačke u odnosu na koju se taj potencijal računa? TET I.1. Šta je Kulonova sila? elektrostatička sila magnetna sila c) gravitaciona sila I.. Šta je elektrostatička sila? sila kojom međusobno eluju naelektrisanja u mirovanju sila kojom eluju naelektrisanja

Διαβάστε περισσότερα

Eliminacijski zadatak iz Matematike 1 za kemičare

Eliminacijski zadatak iz Matematike 1 za kemičare Za mnoge reakcije vrijedi Arrheniusova jednadžba, koja opisuje vezu koeficijenta brzine reakcije i temperature: K = Ae Ea/(RT ). - T termodinamička temperatura (u K), - R = 8, 3145 J K 1 mol 1 opća plinska

Διαβάστε περισσότερα

Program za tablično računanje Microsoft Excel

Program za tablično računanje Microsoft Excel Program za tablično računanje Microsoft Excel Teme Formule i funkcije Zbrajanje Oduzimanje Množenje Dijeljenje Izračun najveće vrijednosti Izračun najmanje vrijednosti 2 Formule i funkcije Naravno da je

Διαβάστε περισσότερα

Elektronički Elementi i Sklopovi

Elektronički Elementi i Sklopovi Sadržaj predavanja: 1. Strujna zrcala pomoću BJT tranzistora 2. Strujni izvori sa BJT tranzistorima 3. Tranzistor kao sklopka 4. Stabilizacija radne točke 5. Praktični sklopovi s tranzistorima Strujno

Διαβάστε περισσότερα

M086 LA 1 M106 GRP. Tema: Baza vektorskog prostora. Koordinatni sustav. Norma. CSB nejednakost

M086 LA 1 M106 GRP. Tema: Baza vektorskog prostora. Koordinatni sustav. Norma. CSB nejednakost M086 LA 1 M106 GRP Tema: CSB nejednakost. 19. 10. 2017. predavač: Rudolf Scitovski, Darija Marković asistent: Darija Brajković, Katarina Vincetić P 1 www.fizika.unios.hr/grpua/ 1 Baza vektorskog prostora.

Διαβάστε περισσότερα

Riješeni zadaci: Nizovi realnih brojeva

Riješeni zadaci: Nizovi realnih brojeva Riješei zadaci: Nizovi realih brojeva Nizovi, aritmetički iz, geometrijski iz Fukciju a : N R azivamo beskoači) iz realih brojeva i ozačavamo s a 1, a,..., a,... ili a ), pri čemu je a = a). Aritmetički

Διαβάστε περισσότερα

Otpornost R u kolu naizmjenične struje

Otpornost R u kolu naizmjenične struje Otpornost R u kolu naizmjenične struje Pretpostavimo da je otpornik R priključen na prostoperiodični napon: Po Omovom zakonu pad napona na otporniku je: ( ) = ( ω ) u t sin m t R ( ) = ( ) u t R i t Struja

Διαβάστε περισσότερα

SEMINAR IZ KOLEGIJA ANALITIČKA KEMIJA I. Studij Primijenjena kemija

SEMINAR IZ KOLEGIJA ANALITIČKA KEMIJA I. Studij Primijenjena kemija SEMINAR IZ OLEGIJA ANALITIČA EMIJA I Studij Primijenjena kemija 1. 0,1 mola NaOH je dodano 1 litri čiste vode. Izračunajte ph tako nastale otopine. NaOH 0,1 M NaOH Na OH Jak elektrolit!!! Disoira potpuno!!!

Διαβάστε περισσότερα

Osnovne teoreme diferencijalnog računa

Osnovne teoreme diferencijalnog računa Osnovne teoreme diferencijalnog računa Teorema Rolova) Neka je funkcija f definisana na [a, b], pri čemu važi f je neprekidna na [a, b], f je diferencijabilna na a, b) i fa) fb). Tada postoji ξ a, b) tako

Διαβάστε περισσότερα

π π ELEKTROTEHNIČKI ODJEL i) f (x) = x 3 x 2 x + 1, a = 1, b = 1;

π π ELEKTROTEHNIČKI ODJEL i) f (x) = x 3 x 2 x + 1, a = 1, b = 1; 1. Provjerite da funkcija f definirana na segmentu [a, b] zadovoljava uvjete Rolleova poučka, pa odredite barem jedan c a, b takav da je f '(c) = 0 ako je: a) f () = 1, a = 1, b = 1; b) f () = 4, a =,

Διαβάστε περισσότερα

radni nerecenzirani materijal za predavanja R(f) = {f(x) x D}

radni nerecenzirani materijal za predavanja R(f) = {f(x) x D} Matematika 1 Funkcije radni nerecenzirani materijal za predavanja Definicija 1. Neka su D i K bilo koja dva neprazna skupa. Postupak f koji svakom elementu x D pridružuje točno jedan element y K zovemo funkcija

Διαβάστε περισσότερα

MATRICE I DETERMINANTE - formule i zadaci - (Matrice i determinante) 1 / 15

MATRICE I DETERMINANTE - formule i zadaci - (Matrice i determinante) 1 / 15 MATRICE I DETERMINANTE - formule i zadaci - (Matrice i determinante) 1 / 15 Matrice - osnovni pojmovi (Matrice i determinante) 2 / 15 (Matrice i determinante) 2 / 15 Matrice - osnovni pojmovi Matrica reda

Διαβάστε περισσότερα

Tranzistori s efektom polja. Postupak. Spoj zajedničkog uvoda. Shema pokusa

Tranzistori s efektom polja. Postupak. Spoj zajedničkog uvoda. Shema pokusa Tranzistori s efektom polja Spoj zajedničkog uvoda U ovoj vježbi ispitujemo pojačanje signala uz pomoć FET-a u spoju zajedničkog uvoda. Shema pokusa Postupak Popis spojeva 1. Spojite pokusni uređaj na

Διαβάστε περισσότερα

Strukture podataka i algoritmi 1. kolokvij 16. studenog Zadatak 1

Strukture podataka i algoritmi 1. kolokvij 16. studenog Zadatak 1 Strukture podataka i algoritmi 1. kolokvij Na kolokviju je dozvoljeno koristiti samo pribor za pisanje i službeni šalabahter. Predajete samo papire koje ste dobili. Rezultati i uvid u kolokvije: ponedjeljak,

Διαβάστε περισσότερα

( ) ( ) 2 UNIVERZITET U ZENICI POLITEHNIČKI FAKULTET. Zadaci za pripremu polaganja kvalifikacionog ispita iz Matematike. 1. Riješiti jednačine: 4

( ) ( ) 2 UNIVERZITET U ZENICI POLITEHNIČKI FAKULTET. Zadaci za pripremu polaganja kvalifikacionog ispita iz Matematike. 1. Riješiti jednačine: 4 UNIVERZITET U ZENICI POLITEHNIČKI FAKULTET Riješiti jednačine: a) 5 = b) ( ) 3 = c) + 3+ = 7 log3 č) = 8 + 5 ć) sin cos = d) 5cos 6cos + 3 = dž) = đ) + = 3 e) 6 log + log + log = 7 f) ( ) ( ) g) ( ) log

Διαβάστε περισσότερα

Ĉetverokut - DOMAĆA ZADAĆA. Nakon odgledanih videa trebali biste biti u stanju samostalno riješiti sljedeće zadatke.

Ĉetverokut - DOMAĆA ZADAĆA. Nakon odgledanih videa trebali biste biti u stanju samostalno riješiti sljedeće zadatke. Ĉetverokut - DOMAĆA ZADAĆA Nakon odgledanih videa trebali biste biti u stanju samostalno riješiti sljedeće zadatke. 1. Duljine dijagonala paralelograma jednake su 6,4 cm i 11 cm, a duljina jedne njegove

Διαβάστε περισσότερα

Akvizicija tereta. 5660t. Y= masa drva, X=masa cementa. Na brod će se ukrcati 1733 tona drva i 3927 tona cementa.

Akvizicija tereta. 5660t. Y= masa drva, X=masa cementa. Na brod će se ukrcati 1733 tona drva i 3927 tona cementa. Akvizicija tereta. Korisna nosivost broda je 6 t, a na brodu ia 8 cu. ft. prostora raspoloživog za sještaj tereta pod palubu. Navedeni brod treba krcati drvo i ceent, a na palubu ože aksialno ukrcati 34

Διαβάστε περισσότερα

Ispitivanje toka i skiciranje grafika funkcija

Ispitivanje toka i skiciranje grafika funkcija Ispitivanje toka i skiciranje grafika funkcija Za skiciranje grafika funkcije potrebno je ispitati svako od sledećih svojstava: Oblast definisanosti: D f = { R f R}. Parnost, neparnost, periodičnost. 3

Διαβάστε περισσότερα

5. Karakteristične funkcije

5. Karakteristične funkcije 5. Karakteristične funkcije Profesor Milan Merkle emerkle@etf.rs milanmerkle.etf.rs Verovatnoća i Statistika-proleće 2018 Milan Merkle Karakteristične funkcije ETF Beograd 1 / 10 Definicija Karakteristična

Διαβάστε περισσότερα

Ovisnost ustaljenih stanja uzlaznog pretvarača 16V/0,16A o sklopnoj frekvenciji

Ovisnost ustaljenih stanja uzlaznog pretvarača 16V/0,16A o sklopnoj frekvenciji Ovisnost ustaljenih stanja uzlaznog pretvarača 16V/0,16A o sklopnoj frekvenciji Električna shema temeljnog spoja Električna shema fizički realiziranog uzlaznog pretvarača +E L E p V 2 P 2 3 4 6 2 1 1 10

Διαβάστε περισσότερα

OSNOVI ELEKTRONIKE VEŽBA BROJ 2 DIODA I TRANZISTOR

OSNOVI ELEKTRONIKE VEŽBA BROJ 2 DIODA I TRANZISTOR ELEKTROTEHNIČKI FAKULTET U BEOGRADU KATEDRA ZA ELEKTRONIKU OSNOVI ELEKTRONIKE ODSEK ZA SOFTVERSKO INŽENJERSTVO LABORATORIJSKE VEŽBE VEŽBA BROJ 2 DIODA I TRANZISTOR 1. 2. IME I PREZIME BR. INDEKSA GRUPA

Διαβάστε περισσότερα

TRIGONOMETRIJA TROKUTA

TRIGONOMETRIJA TROKUTA TRIGONOMETRIJA TROKUTA Standardne oznake u trokutuu ABC: a, b, c stranice trokuta α, β, γ kutovi trokuta t,t,t v,v,v s α,s β,s γ R r s težišnice trokuta visine trokuta simetrale kutova polumjer opisane

Διαβάστε περισσότερα

PARCIJALNI IZVODI I DIFERENCIJALI. Sama definicija parcijalnog izvoda i diferencijala je malo teža, mi se njome ovde nećemo baviti a vi ćete je,

PARCIJALNI IZVODI I DIFERENCIJALI. Sama definicija parcijalnog izvoda i diferencijala je malo teža, mi se njome ovde nećemo baviti a vi ćete je, PARCIJALNI IZVODI I DIFERENCIJALI Sama definicija parcijalnog ivoda i diferencijala je malo teža, mi se njome ovde nećemo baviti a vi ćete je, naravno, naučiti onako kako vaš profesor ahteva. Mi ćemo probati

Διαβάστε περισσότερα

Mehatronika - Metode i Sklopovi za Povezivanje Senzora i Aktuatora. Sadržaj predavanja: 1. Operacijsko pojačalo

Mehatronika - Metode i Sklopovi za Povezivanje Senzora i Aktuatora. Sadržaj predavanja: 1. Operacijsko pojačalo Mehatronika - Metode i Sklopovi za Povezivanje Senzora i Aktuatora Sadržaj predavanja: 1. Operacijsko pojačalo Operacijsko Pojačalo Kod operacijsko pojačala izlazni napon je proporcionalan diferencijalu

Διαβάστε περισσότερα

41. Jednačine koje se svode na kvadratne

41. Jednačine koje se svode na kvadratne . Jednačine koje se svode na kvadrane Simerične recipročne) jednačine Jednačine oblika a n b n c n... c b a nazivamo simerične jednačine, zbog simeričnosi koeficijenaa koeficijeni uz jednaki). k i n k

Διαβάστε περισσότερα

RIJEŠENI ZADACI I TEORIJA IZ

RIJEŠENI ZADACI I TEORIJA IZ RIJEŠENI ZADACI I TEORIJA IZ LOGARITAMSKA FUNKCIJA SVOJSTVA LOGARITAMSKE FUNKCIJE OSNOVE TRIGONOMETRIJE PRAVOKUTNOG TROKUTA - DEFINICIJA TRIGONOMETRIJSKIH FUNKCIJA - VRIJEDNOSTI TRIGONOMETRIJSKIH FUNKCIJA

Διαβάστε περισσότερα

Riješeni zadaci: Limes funkcije. Neprekidnost

Riješeni zadaci: Limes funkcije. Neprekidnost Riješeni zadaci: Limes funkcije. Neprekidnost Limes funkcije Neka je 0 [a, b] i f : D R, gdje je D = [a, b] ili D = [a, b] \ { 0 }. Kažemo da je es funkcije f u točki 0 jednak L i pišemo f ) = L, ako za

Διαβάστε περισσότερα

21. ŠKOLSKO/OPĆINSKO/GRADSKO NATJECANJE IZ GEOGRAFIJE GODINE 8. RAZRED TOČNI ODGOVORI

21. ŠKOLSKO/OPĆINSKO/GRADSKO NATJECANJE IZ GEOGRAFIJE GODINE 8. RAZRED TOČNI ODGOVORI 21. ŠKOLSKO/OPĆINSKO/GRADSKO NATJECANJE IZ GEOGRAFIJE 2014. GODINE 8. RAZRED TOČNI ODGOVORI Bodovanje za sve zadatke: - boduju se samo točni odgovori - dodatne upute navedene su za pojedine skupine zadataka

Διαβάστε περισσότερα

Linearna algebra 2 prvi kolokvij,

Linearna algebra 2 prvi kolokvij, 1 2 3 4 5 Σ jmbag smjer studija Linearna algebra 2 prvi kolokvij, 7. 11. 2012. 1. (10 bodova) Neka je dano preslikavanje s : R 2 R 2 R, s (x, y) = (Ax y), pri čemu je A: R 2 R 2 linearan operator oblika

Διαβάστε περισσότερα

Kaskadna kompenzacija SAU

Kaskadna kompenzacija SAU Kaskadna kompenzacija SAU U inženjerskoj praksi, naročito u sistemima regulacije elektromotornih pogona i tehnoloških procesa, veoma često se primenjuje metoda kaskadne kompenzacije, u čijoj osnovi su

Διαβάστε περισσότερα

Apsolutno neprekidne raspodele Raspodele apsolutno neprekidnih sluqajnih promenljivih nazivaju se apsolutno neprekidnim raspodelama.

Apsolutno neprekidne raspodele Raspodele apsolutno neprekidnih sluqajnih promenljivih nazivaju se apsolutno neprekidnim raspodelama. Apsolutno neprekidne raspodele Raspodele apsolutno neprekidnih sluqajnih promenljivih nazivaju se apsolutno neprekidnim raspodelama. a b Verovatno a da sluqajna promenljiva X uzima vrednost iz intervala

Διαβάστε περισσότερα

Pismeni ispit iz matematike GRUPA A 1. Napisati u trigonometrijskom i eksponencijalnom obliku kompleksni broj, zatim naći 4 z.

Pismeni ispit iz matematike GRUPA A 1. Napisati u trigonometrijskom i eksponencijalnom obliku kompleksni broj, zatim naći 4 z. Pismeni ispit iz matematike 06 007 Napisati u trigonometrijskom i eksponencijalnom obliku kompleksni broj z = + i, zatim naći z Ispitati funkciju i nacrtati grafik : = ( ) y e + 6 Izračunati integral:

Διαβάστε περισσότερα

numeričkih deskriptivnih mera.

numeričkih deskriptivnih mera. DESKRIPTIVNA STATISTIKA Numeričku seriju podataka opisujemo pomoću Numeričku seriju podataka opisujemo pomoću numeričkih deskriptivnih mera. Pokazatelji centralne tendencije Aritmetička sredina, Medijana,

Διαβάστε περισσότερα

9.6 Potpuni matematički model NMOS tranzistora. i G =0 i B =0. odreza (cutoff) Jednačine (9.19) 0 u GS V TN. linearna Jednačine (9.

9.6 Potpuni matematički model NMOS tranzistora. i G =0 i B =0. odreza (cutoff) Jednačine (9.19) 0 u GS V TN. linearna Jednačine (9. 9.6 Potpuni matematički model NMOS tranzistora Jednačine od (9.18) do (9.1) prikazane su u tabelarno u tabelama T 9.1 i T 9. i predstavljaju kompletan model i-u ponašanja NMOS tranzistora, gdje vrijedi

Διαβάστε περισσότερα

Novi Sad god Broj 1 / 06 Veljko Milković Bulevar cara Lazara 56 Novi Sad. Izveštaj o merenju

Novi Sad god Broj 1 / 06 Veljko Milković Bulevar cara Lazara 56 Novi Sad. Izveštaj o merenju Broj 1 / 06 Dana 2.06.2014. godine izmereno je vreme zaustavljanja elektromotora koji je radio u praznom hodu. Iz gradske mreže 230 V, 50 Hz napajan je monofazni asinhroni motor sa dva brusna kamena. Kada

Διαβάστε περισσότερα

1 Promjena baze vektora

1 Promjena baze vektora Promjena baze vektora Neka su dane dvije različite uredene baze u R n, označimo ih s A = (a, a,, a n i B = (b, b,, b n Svaki vektor v R n ima medusobno različite koordinatne zapise u bazama A i B Zapis

Διαβάστε περισσότερα

Alarmni sustavi 07/08 predavanja 12. i 13. Detekcija metala, izvori napajanja u sustavima TZ

Alarmni sustavi 07/08 predavanja 12. i 13. Detekcija metala, izvori napajanja u sustavima TZ Alarmni sustavi 07/08 predavanja 12. i 13. Detekcija metala, izvori napajanja u sustavima TZ pred.mr.sc Ivica Kuric Detekcija metala instrument koji detektira promjene u magnetskom polju generirane prisutnošću

Διαβάστε περισσότερα

OSNOVI ELEKTRONIKE VEŽBA BROJ 1 OSNOVNA KOLA SA DIODAMA

OSNOVI ELEKTRONIKE VEŽBA BROJ 1 OSNOVNA KOLA SA DIODAMA ELEKTROTEHNIČKI FAKULTET U BEOGRADU KATEDRA ZA ELEKTRONIKU OSNOVI ELEKTRONIKE SVI ODSECI OSIM ODSEKA ZA ELEKTRONIKU LABORATORIJSKE VEŽBE VEŽBA BROJ 1 OSNOVNA KOLA SA DIODAMA Autori: Goran Savić i Milan

Διαβάστε περισσότερα

Elementi elektronike septembar 2014 REŠENJA. Za vrednosti ulaznog napona

Elementi elektronike septembar 2014 REŠENJA. Za vrednosti ulaznog napona lementi elektronike septembar 2014 ŠNJA. Za rednosti ulaznog napona V transistor je isključen, i rednost napona na izlazu je BT V 5 V Kada ulazni napon dostigne napon uključenja tranzistora, transistor

Διαβάστε περισσότερα

radni nerecenzirani materijal za predavanja

radni nerecenzirani materijal za predavanja Matematika 1 Funkcije radni nerecenzirani materijal za predavanja Definicija 1. Kažemo da je funkcija f : a, b R u točki x 0 a, b postiže lokalni minimum ako postoji okolina O(x 0 ) broja x 0 takva da je

Διαβάστε περισσότερα

Osnovni primer. (Z, +,,, 0, 1) je komutativan prsten sa jedinicom: množenje je distributivno prema sabiranju

Osnovni primer. (Z, +,,, 0, 1) je komutativan prsten sa jedinicom: množenje je distributivno prema sabiranju RAČUN OSTATAKA 1 1 Prsten celih brojeva Z := N + {} N + = {, 3, 2, 1,, 1, 2, 3,...} Osnovni primer. (Z, +,,,, 1) je komutativan prsten sa jedinicom: sabiranje (S1) asocijativnost x + (y + z) = (x + y)

Διαβάστε περισσότερα

Periodičke izmjenične veličine

Periodičke izmjenične veličine EHNČK FAKULE SVEUČLŠA U RJEC Zavod za elekroenergeiku Sudij: Preddiploski sručni sudij elekroehnike Kolegij: Osnove elekroehnike Nosielj kolegija: Branka Dobraš Periodičke izjenične veličine Osnove elekroehnike

Διαβάστε περισσότερα

ANALIZA TTL, DTL I ECL LOGIČKIH KOLA

ANALIZA TTL, DTL I ECL LOGIČKIH KOLA ANALIZA TTL, DTL I ECL LOGIČKIH KOLA Zadatak 1 Za DTL logičko kolo sa slike 1.1, odrediti: a) Logičku funkciju kola i režime rada svih tranzistora za sve kombinacije logičkih nivoa na ulazu kola. b) Odrediti

Διαβάστε περισσότερα

OM2 V3 Ime i prezime: Index br: I SAVIJANJE SILAMA TANKOZIDNIH ŠTAPOVA

OM2 V3 Ime i prezime: Index br: I SAVIJANJE SILAMA TANKOZIDNIH ŠTAPOVA OM V me i preime: nde br: 1.0.01. 0.0.01. SAVJANJE SLAMA TANKOZDNH ŠTAPOVA A. TANKOZDN ŠTAPOV PROZVOLJNOG OTVORENOG POPREČNOG PRESEKA Preposavka: Smičući napon je konsanan po debljini ida (duž pravca upravnog

Διαβάστε περισσότερα

INTEGRALNI RAČUN. Teorije, metodike i povijest infinitezimalnih računa. Lucija Mijić 17. veljače 2011.

INTEGRALNI RAČUN. Teorije, metodike i povijest infinitezimalnih računa. Lucija Mijić 17. veljače 2011. INTEGRALNI RAČUN Teorije, metodike i povijest infinitezimalnih računa Lucija Mijić lucija@ktf-split.hr 17. veljače 2011. Pogledajmo Predstavimo gornju sumu sa Dodamo još jedan Dobivamo pravokutnik sa Odnosno

Διαβάστε περισσότερα

Iskazna logika 3. Matematička logika u računarstvu. novembar 2012

Iskazna logika 3. Matematička logika u računarstvu. novembar 2012 Iskazna logika 3 Matematička logika u računarstvu Department of Mathematics and Informatics, Faculty of Science,, Serbia novembar 2012 Deduktivni sistemi 1 Definicija Deduktivni sistem (ili formalna teorija)

Διαβάστε περισσότερα

a M a A. Može se pokazati da je supremum (ako postoji) jedinstven pa uvodimo oznaku sup A.

a M a A. Može se pokazati da je supremum (ako postoji) jedinstven pa uvodimo oznaku sup A. 3 Infimum i supremum Definicija. Neka je A R. Kažemo da je M R supremum skupa A ako je (i) M gornja meda skupa A, tj. a M a A. (ii) M najmanja gornja meda skupa A, tj. ( ε > 0)( a A) takav da je a > M

Διαβάστε περισσότερα

Linearna algebra 2 prvi kolokvij,

Linearna algebra 2 prvi kolokvij, Linearna algebra 2 prvi kolokvij, 27.. 20.. Za koji cijeli broj t je funkcija f : R 4 R 4 R definirana s f(x, y) = x y (t + )x 2 y 2 + x y (t 2 + t)x 4 y 4, x = (x, x 2, x, x 4 ), y = (y, y 2, y, y 4 )

Διαβάστε περισσότερα

ZBIRKA POTPUNO RIJEŠENIH ZADATAKA

ZBIRKA POTPUNO RIJEŠENIH ZADATAKA **** IVANA SRAGA **** 1992.-2011. ZBIRKA POTPUNO RIJEŠENIH ZADATAKA PRIRUČNIK ZA SAMOSTALNO UČENJE POTPUNO RIJEŠENI ZADACI PO ŽUTOJ ZBIRCI INTERNA SKRIPTA CENTRA ZA PODUKU α M.I.M.-Sraga - 1992.-2011.

Διαβάστε περισσότερα

18. listopada listopada / 13

18. listopada listopada / 13 18. listopada 2016. 18. listopada 2016. 1 / 13 Neprekidne funkcije Važnu klasu funkcija tvore neprekidne funkcije. To su funkcije f kod kojih mala promjena u nezavisnoj varijabli x uzrokuje malu promjenu

Διαβάστε περισσότερα

Obrada signala

Obrada signala Obrada signala 1 18.1.17. Greška kvantizacije Pretpostavka je da greška kvantizacije ima uniformnu raspodelu 7 6 5 4 -X m p x 1,, za x druge vrednosti x 3 x X m 1 X m = 3 x Greška kvantizacije x x x p

Διαβάστε περισσότερα

Trigonometrija 2. Adicijske formule. Formule dvostrukog kuta Formule polovičnog kuta Pretvaranje sume(razlike u produkt i obrnuto

Trigonometrija 2. Adicijske formule. Formule dvostrukog kuta Formule polovičnog kuta Pretvaranje sume(razlike u produkt i obrnuto Trigonometrija Adicijske formule Formule dvostrukog kuta Formule polovičnog kuta Pretvaranje sume(razlike u produkt i obrnuto Razumijevanje postupka izrade složenijeg matematičkog problema iz osnova trigonometrije

Διαβάστε περισσότερα

IZVODI ZADACI (I deo)

IZVODI ZADACI (I deo) IZVODI ZADACI (I deo) Najpre da se podsetimo tablice i osnovnih pravila:. C`=0. `=. ( )`= 4. ( n )`=n n-. (a )`=a lna 6. (e )`=e 7. (log a )`= 8. (ln)`= ` ln a (>0) 9. = ( 0) 0. `= (>0) (ovde je >0 i a

Διαβάστε περισσότερα

( , treći kolokvij) 3. Na dite lokalne ekstreme funkcije z = x 4 + y 4 2x 2 + 2y 2 3. (20 bodova)

( , treći kolokvij) 3. Na dite lokalne ekstreme funkcije z = x 4 + y 4 2x 2 + 2y 2 3. (20 bodova) A MATEMATIKA (.6.., treći kolokvij. Zadana je funkcija z = e + + sin(. Izračunajte a z (,, b z (,, c z.. Za funkciju z = 3 + na dite a diferencijal dz, b dz u točki T(, za priraste d =. i d =.. c Za koliko

Διαβάστε περισσότερα

10. STABILNOST KOSINA

10. STABILNOST KOSINA MEHANIKA TLA: Stabilnot koina 101 10. STABILNOST KOSINA 10.1 Metode proračuna koina Problem analize tabilnoti zemljanih maa vodi e na određivanje odnoa između rapoložive mičuće čvrtoće i proečnog mičućeg

Διαβάστε περισσότερα

Elementi spektralne teorije matrica

Elementi spektralne teorije matrica Elementi spektralne teorije matrica Neka je X konačno dimenzionalan vektorski prostor nad poljem K i neka je A : X X linearni operator. Definicija. Skalar λ K i nenula vektor u X se nazivaju sopstvena

Διαβάστε περισσότερα

DIMENZIONISANJE PRAVOUGAONIH POPREČNIH PRESEKA NAPREGNUTIH NA PRAVO SLOŽENO SAVIJANJE

DIMENZIONISANJE PRAVOUGAONIH POPREČNIH PRESEKA NAPREGNUTIH NA PRAVO SLOŽENO SAVIJANJE TEORIJA ETONSKIH KONSTRUKCIJA T- DIENZIONISANJE PRAVOUGAONIH POPREČNIH PRESEKA NAPREGNUTIH NA PRAVO SLOŽENO SAVIJANJE 3.5 f "2" η y 2 D G N z d y A "" 0 Z a a G - tačka presek koja određje položaj sistemne

Διαβάστε περισσότερα

Operacije s matricama

Operacije s matricama Linearna algebra I Operacije s matricama Korolar 3.1.5. Množenje matrica u vektorskom prostoru M n (F) ima sljedeća svojstva: (1) A(B + C) = AB + AC, A, B, C M n (F); (2) (A + B)C = AC + BC, A, B, C M

Διαβάστε περισσότερα

IspitivaƬe funkcija: 1. Oblast definisanosti funkcije (ili domen funkcije) D f

IspitivaƬe funkcija: 1. Oblast definisanosti funkcije (ili domen funkcije) D f IspitivaƬe funkcija: 1. Oblast definisanosti funkcije (ili domen funkcije) D f IspitivaƬe funkcija: 1. Oblast definisanosti funkcije (ili domen funkcije) D f 2. Nule i znak funkcije; presek sa y-osom IspitivaƬe

Διαβάστε περισσότερα

Osnove mikroelektronike

Osnove mikroelektronike Osnove mikroelektronike Z. Prijić T. Pešić Elektronski fakultet Niš Katedra za mikroelektroniku Predavanja 2006. Sadržaj 1 MOSFET - model za male signale 2 Struja kroz i disipacija snage Model za male

Διαβάστε περισσότερα

RAČUNSKE VEŽBE IZ PREDMETA OSNOVI ELEKTRONIKE

RAČUNSKE VEŽBE IZ PREDMETA OSNOVI ELEKTRONIKE ELEKTRONSKI FAKULTET NIŠ KATEDRA ZA ELEKTRONIKU predmet: OSNOVI ELEKTRONIKE studijske grupe: EMT, EKM Godina 2014/2015 RAČUNSKE VEŽBE IZ PREDMETA OSNOVI ELEKTRONIKE 1 1. ZADATAK Na slici je prikazano električno

Διαβάστε περισσότερα

PRIMJER 3. MATLAB filtdemo

PRIMJER 3. MATLAB filtdemo PRIMJER 3. MATLAB filtdemo Prijenosna funkcija (IIR) Hz () =, 6 +, 3 z +, 78 z +, 3 z +, 53 z +, 3 z +, 78 z +, 3 z +, 6 z, 95 z +, 74 z +, z +, 9 z +, 4 z +, 5 z +, 3 z +, 4 z 3 4 5 6 7 8 3 4 5 6 7 8

Διαβάστε περισσότερα

Tranzistori u digitalnoj logici

Tranzistori u digitalnoj logici Tranzistori u digitalnoj logici Za studente koji žele znati malo detaljnije koja je funkcija tranzistora u digitalnim sklopovima, u nastavku je opisan pojednostavljen način rada tranzistora. Pri tome je

Διαβάστε περισσότερα

2. Ako je funkcija f(x) parna onda se Fourierov red funkcije f(x) reducira na Fourierov kosinusni red. f(x) cos

2. Ako je funkcija f(x) parna onda se Fourierov red funkcije f(x) reducira na Fourierov kosinusni red. f(x) cos . KOLOKVIJ PRIMIJENJENA MATEMATIKA FOURIEROVE TRANSFORMACIJE 1. Za periodičnu funkciju f(x) s periodom p=l Fourierov red je gdje su a,a n, b n Fourierovi koeficijenti od f(x) gdje su a =, a n =, b n =..

Διαβάστε περισσότερα

Elektronički Elementi i Sklopovi. Sadržaj predavanja: 1. MOSFET tranzistor obogaćenog tipa 2. CMOS 3. MESFET tranzistor 4. DC analiza FET tranzistora

Elektronički Elementi i Sklopovi. Sadržaj predavanja: 1. MOSFET tranzistor obogaćenog tipa 2. CMOS 3. MESFET tranzistor 4. DC analiza FET tranzistora Sadržaj predavanja: 1. MOSFET tranzistor obogaćenog tipa 2. CMOS 3. MESFET tranzistor 4. DC analiza FET tranzistora MOSFET tranzistor obogaćenog tipa Konstrukcija MOSFET tranzistora obogaćenog tipa je

Διαβάστε περισσότερα

IZVODI ZADACI ( IV deo) Rešenje: Najpre ćemo logaritmovati ovu jednakost sa ln ( to beše prirodni logaritam za osnovu e) a zatim ćemo

IZVODI ZADACI ( IV deo) Rešenje: Najpre ćemo logaritmovati ovu jednakost sa ln ( to beše prirodni logaritam za osnovu e) a zatim ćemo IZVODI ZADACI ( IV deo) LOGARITAMSKI IZVOD Logariamskim izvodom funkcije f(), gde je >0 i, nazivamo izvod logarima e funkcije, o jes: (ln ) f ( ) f ( ) Primer. Nadji izvod funkcije Najpre ćemo logarimovai

Διαβάστε περισσότερα

Konstruisanje. Dobro došli na... SREDNJA MAŠINSKA ŠKOLA NOVI SAD DEPARTMAN ZA PROJEKTOVANJE I KONSTRUISANJE

Konstruisanje. Dobro došli na... SREDNJA MAŠINSKA ŠKOLA NOVI SAD DEPARTMAN ZA PROJEKTOVANJE I KONSTRUISANJE Dobro došli na... Konstruisanje GRANIČNI I KRITIČNI NAPON slajd 2 Kritični naponi Izazivaju kritične promene oblika Delovi ne mogu ispravno da vrše funkciju Izazivaju plastične deformacije Može doći i

Διαβάστε περισσότερα

MEHANIKA FLUIDA. Prosti cevovodi

MEHANIKA FLUIDA. Prosti cevovodi MEHANIKA FLUIDA Prosti ceooi zaatak Naći brzin oe kroz naglaak izlaznog prečnika =5 mm, postaljenog na kraj gmenog crea prečnika D=0 mm i žine L=5 m na čijem je prenjem el građen entil koeficijenta otpora

Διαβάστε περισσότερα

Iz zadatka se uočava da je doslo do tropolnog kratkog spoja na sabirnicama B, pa je zamjenska šema,

Iz zadatka se uočava da je doslo do tropolnog kratkog spoja na sabirnicama B, pa je zamjenska šema, . Na slici je jednopolno prikazan trofazni EES sa svim potrebnim parametrima. U režimu rada neposredno prije nastanka KS kroz prekidač protiče struja (168-j140)A u naznačenom smjeru. Fazni stav struje

Διαβάστε περισσότερα

Trofazni sustav. Uvodni pojmovi. Uvodni pojmovi. Uvodni pojmovi

Trofazni sustav. Uvodni pojmovi. Uvodni pojmovi. Uvodni pojmovi tranica: X - 1 tranica: X - 2 rofazni sustav inijski i fazni naponi i struje poj zvijezda poj trokut imetrično i nesimetrično opterećenje naga trofaznog sustava Uvodni pojmovi rofazni sustav napajanja

Διαβάστε περισσότερα

Elektronički Elementi i Sklopovi. Sadržaj predavanja: 1. Punovalni ispravljač 2. Rezni sklopovi 3. Pritezni sklopovi

Elektronički Elementi i Sklopovi. Sadržaj predavanja: 1. Punovalni ispravljač 2. Rezni sklopovi 3. Pritezni sklopovi Sadržaj predavanja: 1. Punovalni ispravljač 2. Rezni sklopovi 3. Pritezni sklopovi Najčešći sklop punovalnog ispravljača se može realizirati pomoću 4 diode i otpornika: Na slici je ulazni signal sinusodialanog

Διαβάστε περισσότερα

Računarska grafika. Rasterizacija linije

Računarska grafika. Rasterizacija linije Računarska grafika Osnovni inkrementalni algoritam Drugi naziv u literaturi digitalni diferencijalni analizator (DDA) Pretpostavke (privremena ograničenja koja se mogu otkloniti jednostavnim uopštavanjem

Διαβάστε περισσότερα