ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ"

Transcript

1 ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΣΥΝΔΥΑΣΤΙΚΗ ΛΟΓΙΚΗ 2017, Δρ. Ηρακλής Σπηλιώτης

2 Συνδυαστικά και ακολουθιακά κυκλώματα Τα λογικά κυκλώματα χωρίζονται σε συνδυαστικά (combinatorial) και ακολουθιακά (sequential). Σε ένα συνδυαστικό κύκλωμα οι έξοδοι σε κάθε χρονική στιγμή καθορίζονται από τις τρέχουσες εισόδους. Ένα ακολουθιακό κύκλωμα περιέχει στοιχεία μνήμης, με αποτέλεσμα οι έξοδοι του να εξαρτώνται από τις τρέχουσες αλλά και από προηγούμενες εισόδους. Τα ακολουθιακά κυκλώματα είναι τα δομικά στοιχεία των ψηφιακών συστημάτων.

3 Συνδυαστικά κυκλώματα Κάθε συνδυαστικό κύκλωμα αποτελείται από ένα σύνολο διασυνδεδεμένων πυλών. Οι n δυαδικές μεταβλητές εισόδου προέρχονται από εξωτερικές πηγές. Οι m μεταβλητές εξόδου παράγονται από τη συνδυαστική λογική και τροφοδοτούν άλλα λογικά κυκλώματα. Για n μεταβλητές εισόδου, υπάρχουν 2 n πιθανοί δυαδικοί συνδυασμοί τιμών εισόδου. Για κάθε συνδυασμό τιμών εισόδου, παράγεται ένας συνδυασμός τιμών εξόδου. Κάθε συνδυαστικό κύκλωμα μπορεί να καθορισθεί από ένα πίνακα αληθείας που δίνει τις εξόδους για κάθε συνδυασμό εισόδων. Επίσης κάθε συνδυαστικό κύκλωμα μπορεί επίσης να περιγραφεί με m λογικές συναρτήσεις, μία για κάθε έξοδο. Κάθε λογική συνάρτηση έχει ως ανεξάρτητες μεταβλητές τις n εισόδους.

4 Συνδυαστικά κυκλώματα Στα ψηφιακά κυκλώματα οι λογικές τιμές αντιστοιχούν σε ηλεκτρικές τάσεις ή άλλα φυσικά σήματα. Θα ασχοληθούμε με: Ανάλυση της συμπεριφοράς ενός λογικού κυκλώματος. Σύνθεση ενός κυκλωματος που θα έχει μια συγκεκριμένη συμπεριφορά.

5 Διαδικασία ανάλυσης Ξεκινάμε από ένα λογικό διάγραμμα. Καταλήγουμε σε λογικές συναρτήσεις ή σε πίνακα αληθείας ή σε λεκτική περιγραφή του κυκλώματος. Ελέγχουμε αν το κύκλωμα είναι συνδυαστικό: το λογικό διάγραμμα ενός συνδυαστικού κυκλώματος έχει μόνο λογικές πύλες, χωρίς διαδρομές ανάδρασης, βρόχους ή στοιχεία μνήμης. Αν το κύκλωμα είναι ακολουθιακό χρειάζεται άλλες μεθόδους. Δίνουμε ονόματα στις εξόδους των πυλών. Προσδιορίζουμε τις συναρτήσεις που αντιστοιχούν στις εξόδους των πυλών. Προχωράμε στο επόμενο επίπεδο πυλών (αν υπάρχει) μέχρι να φθάσουμε στις εξόδους του κυκλώματος.

6 Διαδικασία ανάλυσης

7 Διαδικασία ανάλυσης Μπορούμε να προσδιορίσουμε τον πίνακα αληθείας, με τα ακόλουθα βήματα: 1. Σχηματίζουμε τον πίνακα και τοποθετούμε τους 2 n συνδυασμούς των εισόδων. 2. Δίνουμε ονόματα στις εξόδους των πυλών. 3. Παράγουμε τον πίνακα αληθείας για τις πύλες που εξαρτώνται μόνο από τις εισόδους. 4. Παράγουμε τον πίνακα αληθείας για τις υπόλοιπες πύλες, μέχρι να προσδιορίσουμε τις τιμές των εξόδων.

8 Διαδικασία ανάλυσης

9 Διαδικασία σχεδίασης Η σχεδίαση ενός συνδυαστικού κυκλώματος ξεκινά από τις προδιαγραφές και καταλήγει σε ένα λογικό διάγραμμα ή σε λογικές συναρτήσεις οι οποίες είναι ισοδύναμες με το λογικό διάγραμμα. Τα βήματα είναι τα εξής: 1. Από τις προδιαγραφές καθορίζουμε τον απαιτούμενο αριθμό εισόδων και εξόδων και δίνουμε κατάλληλα ονόματα σε αυτά τα σήματα. 2. Κατασκευάζουμε τον πίνακα αληθείας, που ορίζει τις σχέσεις εισόδων και εξόδων. 3. Βρίσκουμε τις απλοποιημένες συναρτήσεις Boole των εξόδων. 4. Σχεδιάζουμε το λογικό διάγραμμα και επαληθεύουμε την ορθότητα της σχεδίασης.

10 Διαδικασία σχεδίασης Ορισμένα σημεία που πρέπει να προσέχουμε: Είναι σημαντικό οι προδιαγραφές του ζητούμενου κυκλώματος, να μην είναι ελλιπείς και να μετατρέπονται σε πίνακα αληθείας με σωστό τρόπο. Κατά την απλοποίηση λογικών συναρτήσεων μπορεί να καταλήξουμε σε περισσότερες από μία ισοδύναμες απλοποιημένες εκφράσεις, όπου καλούμαστε να επιλέξουμε μόνο μία. Στη σχεδίαση ενός κυκλώματος υπάρχουν συγκεκριμένοι περιορισμοί όπως πλήθος πυλών, πλήθoυς εισόδων πυλών, της ικανότητας οδήγησης κάθε πύλης δηλαδή σε πόσες πύλες μπορεί να συνδεθεί η έξοδος μιας πύλης και άλλα. Δεν υπάρχει ένας γενικός κανόνας για την επίτευξη της αποδοτικής λύσης.

11 Διαδικασία σχεδίασης Παράδειγμα μετατροπής κώδικα BCD σε Excess-3 (συν-3). Και στους δύο κώδικες χρησιμοποιούνται 4 bit για την αναπαράσταση δεκαδικών ψηφίων. Από τις 2 4 =16 καταστάσεις χρησιμοποιούνται μόνο οι 10, οι έξι είναι αχρησιμοποίητες και θεωρούνται αδιάφοροι όροι. Ονομάζουμε A,B,C,D τις εισόδους και w,x,y,z τις εξόδους. Σχηματίζουμε τον πίνακα αληθείας.

12 Διαδικασία σχεδίασης Για κάθε έξοδο στον πίνακα αληθείας σχηματίζουμε τον αντίστοιχο χάρτη, με σκοπό να καταλήξουμε σε μια απλοποιημένη συνάρτηση. Οι αδιάφοροι όροι τοποθετούνται στους χάρτες ως Χ. Για κάθε έξοδο καταλήγουμε στην απλοποιημένη συνάρτηση που φαίνεται στον αντίστοιχο χάρτη.

13 Διαδικασία σχεδίασης Από τις λογικές συναρτήσεις των εξόδων σχηματίζουμε το λογικό διάγραμμα. Στη συνέχεια θα μελετήσουμε ορισμένα συχνά χρησιμοποιούμενα συνδυαστικά κυκλώματα.

14 Δυαδικός αθροιστής - αφαιρέτης Υπάρχουν οι εξής τέσσερις περιπτώσεις κατά την πρόσθεση δύο δυαδικών ψηφίων: = 0, = 1, = 1, = 10 Στην τελευταία περίπτωση το άθροισμα έχει δύο ψηφία. Το πιο σημαντικό ονομάζεται κρατούμενο (carry). Όταν προσθέτουμε δύο αριθμούς των n ψηφίων, το άθροισμα στη γενική περίπτωση έχει n+1 ψηφία. Αν οι δύο αριθμοί έχουν περισσότερα από 1 ψηφία, το κρατούμενο που προκύπτει προστίθεται στο ζεύγος των αμέσως περισσότερο σημαντικών ψηφίων. Το κύκλωμα που εκτελεί την πρόσθεση 2 ψηφίων ονομάζεται ημιαθροιστής (half adder). Το κύκλωμα που εκτελεί την πρόσθεση 3 ψηφίων (2 των προσθετέων και ένα κρατούμενο) ονομάζεται πλήρης αθροιστής (full adder). Ένας πλήρης αθροιστής υλοποιείται με το συνδυασμό 2 ημιαθροιστών, έτσι προκύπτει και το όνομα ημιαθροιστής.

15 Ημιαθροιστής Το κύκλωμα πρέπει να έχει 2 εισόδους x,y και δύο εξόδους, άθροισμα S και κρατούμενο C. Δημιουργούμε τον πίνακα αληθείας, εξάγουμε τις απλοποιημένες συναρτήσεις και καταλήγουμε στο λογικό διάγραμμα.

16 Πλήρης αθροιστής Ο πλήρης αθροιστής παράγει το άθροισμα τριών bit x,y,z. Τα x,y είναι τα bit των δύο προσθετέων, το z είναι το κρατούμενο από την προηγούμενη τάξη. Απαιτούνται δύο έξοδοι, κρατούμενο C και άθροισμα S.

17 Πλήρης αθροιστής Ο πλήρης αθροιστής μπορεί να υλοποιηθεί με δύο ημιαθροιστές και μια πύλη OR.

18 Δυαδικός αθροιστής Είναι ένα ψηφιακό κύκλωμα που αθροίζει δύο δυαδικούς αριθμούς n ψηφίων. Μπορεί να κατασκευασθεί με n πλήρεις αθροιστές συνδεδεμένους σε σειρά. Το κρατούμενο εξόδου του i-οστού αθροιστή, συνδέεται στο κρατούμενο εισόδου του (i+1)-οστού αθροιστή. Για i=0, δηλαδή για το λιγότερο σημαντικό ψηφίο (LSBit) επειδή δεν υπάρχει κρατούμενο εισόδου, μπορεί να χρησιμοποιηθεί ημιαθροιστής. Στον παρακάτω πίνακα βλέπουμε τη πρόσθεση δύο αριθμών 4 ψηφίων, Α=1011, Β=0011 που μας δίνει άθροισμα S=1110.

19 Δυαδικός αθροιστής Στο σχήμα βλέπουμε το λογικό διάγραμμα ενός δυαδικού αθροιστή 4 bit, που αποτελείται από 4 πλήρεις αθροιστές (Full Adder, FA). Η πρόσθεση γίνεται παράλληλα, δηλαδή ταυτόχρονα προστίθενται όλα τα ζεύγη A i +B i. Το αρχικό κρατούμενο C 0 διαδίδεται μετασχηματισμένο μέσα από τους πλήρεις αθροιστές και καταλήγει στην έξοδο του δυαδικού αθροιστή ως κρατούμενο εξόδου C 4. Για το λόγο αυτό ονομάζεται και αθροιστής ριπής κρατουμένου.

20 Διάδοση κρατούμενου Παρατηρούμε ότι η πρόσθεση στο δυαδικό αθροιστή γινεται παράλληλα, αλλά η διάδοση κρατούμενων από το C 0 μέχρι το τελικό C n-1, καθυστερεί τόσο ώστε το αρχικό κρατούμενο να περάσει μέσα από όλους τους αθροιστές, δημιουργώντας σε κάθε αθροιστή ένα νέο κρατούμενο κ.ο.κ. Επειδή και το S i σε κάθε επίπεδο εξαρτάται από το κρατούμενο το οποίο εφαρμόζεται στον αθροιστή, καταλήγουμε στο ότι η τελική τιμή του αθροίσματος S θα σταθεροποιηθεί όταν όλα τα κρατούμενα υπολογισθούν και διαδοθούν. Ο αριθμός των επιπέδων πυλών που πρέπει να λειτουργήσουν για να γίνει η διάδοση, βρίσκεται από το κύκλωμα του πλήρους αθροιστή και το πλήθος των bit του δυαδικού αθροιστή. Ο χρόνος διάδοσης κρατούμενων είναι σημαντικό χαρακτηριστικό του δυαδικού αθροιστή, επειδή περιορίζει την ταχύτητα με την οποία μπορούν να προστεθούν δύο αριθμοί. Αυτό γιατί το τελικό άθροισμα θεωρείται σωστό μόνο αν έχουν προλάβει τα κρατούμενα να διαδοθούν μέσω των πυλών. Επειδή στα ψηφιακά κυκλώματα πολλές λειτουργίες υλοποιούνται με διαδοχικές προσθέσεις, ο χρόνος που απαιτείται για να υλοποιηθεί η λειτουργία μιάς πρόσθεσης είναι κρίσιμος.

21 Διάδοση κρατούμενου Στο κύκλωμα του πλήρη αθροιστή, το κρατούμενο εισόδου C i διαδίδεται μέσω μιας πύλης AND και μιας πύλης OR, δηλαδή από δύο επίπεδα πυλών για να παραχθεί το κρατούμενο εξόδου C i+1. Σε έναν δυαδικό αθροιστή των n bit, υπάρχουν 2n επίπεδα πυλών. Αν η καθυστέρηση διάδοσης της κάθε πύλης είναι 15ns, τότε για έναν αθροιστή των 32 bit, απαιτούνται 960ns για τη δημιουργία του τελικού αθροίσματος. Επομένως ο μέγιστος ρυθμός λειτουργίας του αθροιστή περιορίζεται στις ~10 6 προσθέσεις/sec.

22 Πρόβλεψη κρατούμενου (carry look-ahead) Μια λύση στο πρόβημα της καθυστέρησης διάδοσης κρατούμενου, είναι η πρόβλεψη κρατούμενου (carry look-ahead). Στο κύκλωμα του πλήρη αθροιστή του σχήματος έχουν ορισθεί τα σήματα P i και G i. To G i είναι σήμα παραγωγής κρατούμενου (όταν ΑΒ=1) ανεξάρτητα από το κρατούμενο εισόδου C i. Το P i είναι σήμα διάδοσης κρατούμενου, επειδή προσδιορίζει αν ένα κρατούμενο εισόδου C i θα περάσει στην έξοδο C i+1 ως 1 στην επόμενη βαθμίδα πρόσθεσης.

23 Γεννήτρια πρόβλεψης κρατούμενου Αν θεωρήσουμε έναν αθροιστή των 4 bit, τότε με τις αλγεβρικές εκφράσεις που είδαμε προκύπτουν οι ακόλουθες εξισώσεις για τα κρατούμενα εισόδου κάθε αθροιστή: Παρατηρούμε ότι κάθε κρατούμενο υλοποιείται ως άθροισμα γινομένων, δηλαδή με πύλες δύο επιπέδων. Επίσης παρατηρούμε πως τα C1, C2, C3 παράγονται ταυτόχρονα. Το επιπλέον κόστος που προκύπτει από την αυξημένη πολυπλοκότητα αντισταθμίζεται από την επιτάχυνση της πράξης της πρόσθεσης. Οι συναρτήσεις Boole για τα κρατούμενα υλοποιούνται από το κύκλωμα του σχήματος, που ονομάζεται γεννήτρια κρατούμενου.

24 Δυαδικός αθροιστής με πρόβλεψη κρατούμενου Στο σχήμα βλέπουμε έναν δυαδικό αθροιστή 4 bit με πρόβλεψη κρατούμενου. Οι πύλες AND παράγουν τα σήματα G i παραγωγής κρατούμενου. Οι πύλες XOR παράγουν τα σήματα P i διάδοσης κρατούμενου.

25 Δυαδικός αφαιρέτης Για να κάνουμε την αφαίρεση Α-Β, μπορούμε να υπολογίσουμε το συμπλήρωμα ως προς 2 του Β και να το προσθέσουμε στο Α, δηλαδή Α + Β 2s όπως έχουμε δει στην ενότητα για τους προσημασμένους αριθμούς και τα συμπληρώματα. Το συμπλήρωμα ως προς 1 του Β, υλοποιείται με αντιστροφή κάθε bit του αριθμού Β. Το συμπλήρωμα ως προς 2 του Β, προκύπτει αν προσθέσουμε 1 στο συμπλήρωμα ως προς 1 του Β, B 2s = B 1s + 1. Το κύκλωμα του δυαδικού αφαιρέτη μπορεί να προκύψει από έναν δυαδικό αθροιστή, τα bit του Β εμφανίζονται συμπληρωμένα και το αρχικό κρατούμενο εισόδου C 0 είναι 1. Δηλαδή η πράξη που εκτελείται είναι Α + Β 1s + 1 = A + B 2s. Για μη προσημασμένους αριθμούς το αποτέλεσμα είναι Α - Β αν Α Β και (Β - Α) 2s αν Α < Β. Για προσημασμένους αριθμούς το αποτέλεσμα είναι Α - Β εφόσον δεν παρουσιαστεί υπερχείλιση.

26 Δυαδικός αφαιρέτης Γνωρίζουμε ότι x 1=x και x 0=x. Όταν το σήμα ελέγχου Μ = C 0 = 1, τότε το κύκλωμα του σχήματος λειτουργεί ως δυαδικός αφαιρέτης. Όταν Μ = 0, το κύκλωμα λειτουργεί ως αθροιστής. Παρατηρούμε πως το ίδιο κύκλωμα μπορεί να χρησιμοποιηθεί και για τις δύο πράξεις. Η πύλη XOR με έξοδο V, αφορά τον εντοπισμός πιθανής υπερχείλισης.

27 Υπερχείλιση Όταν προστίθενται δύο αριθμοί n ψηφίων και το άθροισμα απαιτεί n+1 ψηφία, τότε συμβαίνει υπερχείλιση (overflow). Η υπερχείλιση είναι πρόβλημα στους ψηφιακούς υπολογιστές, επειδή το πλήθος των bit είναι καθορισμένο. Η ανίχνευση υπερχείλισης σε άθροισμα μη-προσημασμένων αριθμών, γίνεται με την εξέταση του τελικού κρατούμενου. Σε έναν προσημασμένο το πιο αριστερό bit είναι bit προσήμου. Επίσης οι αρνητικοί αριθμοί είναι πάντοτε σε μορφή συμπληρώματος ως προς 2. Αν οι δύο αριθμοί είναι ετερόσημοι, δε μπορεί να συμβεί υπερχείλιση γιατί το μέτρο του αθροίσματος είναι μικρότερο από το μέτρο του μεγαλύτερου αριθμού. Αν οι δύο αριθμοί είναι ομόσημοι τότε μπορεί να συμβεί υπερχείλιση.

28 Υπερχείλιση Ένας καταχωρητής των 8 bit αποθηκεύει προσημασμένους αριθμούς του εύρους [ , ]. Δύο προσημασμένοι αριθμοί των 8 bit, , αποθηκεύονται σε καταχωρητές των 8 bit. Το άθροισμα των δύο αριθμών , υπερβαίνει τη χωρητικότητα του καταχωρητή 8 bit. Επίσης το άθροισμα των αριθμών ( ) + ( ) = , υπερβαίνει τη χωρητικότητα του καταχωρητή 8 bit.

29 Υπερχείλιση Η υπερχείλιση σε άθροισμα προσημασμένων αριθμών, ανιχνεύεται αν το κρατούμενο στη θέση προσήμου (τελικό κρατούμενο) και το κρατούμενο στην προηγούμενη θέση είναι διαφορετικά. Στο δυαδικό αθροιστή αφαιρέτη υπάρχει η πύλη XOR, όταν V=0 δεν έχει συμβεί υπερχείλιση. Για μη προσημασμένους αριθμούς, όταν V=1, το αποτέλεσμα της πράξης χρειάζεται n+1 bit δηλαδή έχει συμβεί υπερχείλιση. Για προσημασμένους αριθμούς όταν C=1, έχει προκύψει κρατούμενο 1 από πρόσθεση ή δανεικό ψηφίο από αφαίρεση.

30 Δεκαδικός αθροιστής BCD Θεωρούμε την πρόσθεση δύο ψηφίων BCD και ενός κρατούμενου εισόδου. Το μέγιστο αποτέλεσμα είναι 9+9+1=19. Αν χρησιμοποιήσουμε δυαδικό αθροιστή 4 bit, θα λάβουμε το άθροισμα στο δυαδικό σύστημα με τα bit ΚΖ 8 Ζ 4 Ζ 2 Ζ 1. Το Κ είναι κρατούμενο, οι δείκτες στα ψηφία Ζ είναι τα βάρη του κώδικα BCD. Θα πρέπει να αντιστοιχηθεί το δυαδικό άθροισμα στο άθροισμα BCD. Αν το δυαδικό άθροισμα είναι μεγαλύτερο από = 9 10, δεν αναπαριστά έγκυρο αριθμό BCD. Αν προσθέσουμε το 6 10 τότε διορθώνουμε και το νέο άθροισμα δίνει σωστό BCD αριθμό και κρατούμενο εξόδου. Εξετάζοντας τον πίνακα παρατηρούμε πως οι τιμές που χρειάζονται διόρθωση έχουν: Κ=1 ή Ζ 8 Ζ 4 =1 ή Ζ 8 Ζ 2 =1. Η συνθήκη για να γίνει διόρθωση είναι: C = Κ + Ζ 8 Ζ 4 + Ζ 8 Ζ 2

31 Δεκαδικός αθροιστής BCD Η συνθήκη C = Κ + Ζ 8 Ζ 4 + Ζ 8 Ζ 2, αποτελεί και τελικό κρατούμενο εξόδου. Στο κύκλωμα του σχήματος, όταν το τελικό κρατούμενο εξόδου C = 0 ο δεύτερος αθροιστής προσθέτει το , στο ήδη παραχθέν άθροισμα Ζ 8 Ζ 4 Ζ 2 Ζ 1 και δε το μεταβάλλει. Όταν C=1, τότε ο κάτω αθροιστής προσθέτει το 6 10 = στο ήδη παραχθέν άθροισμα Ζ 8 Ζ 4 Ζ 2 Ζ 1. Το κρατούμενο του δεύτερου αθροιστή αγνοείται γιατί δίνει την ίδια πlηροφορία με το C. Για την κατασκευή παράλληλου δεκαδικού αθροιστή n δεκαδικών ψηφίων, απαιτούνται n στάδια αθροιστών BCD, το κρατούμενο εξόδου από κάθε στάδιο συνδέεται σαν κρατούμενο εισόδου στο επόμενο στάδιο.

32 Δυαδικός πολλαπλασιαστής Ο δυαδικός πολλαπλασιασμός εκτελείται κάθετα όπως των δεκαδικών αριθμών. Κάθε ψηφίο του πολλαπλασιαστή πολλαπλασιάζεται με τον πολλαπλασιαστέο, με πρώτο το ελάχιστα σημαντικό bit. Τα μερικά γινόμενα μετατοπίζονται μια θέση αριστερά και στη συνέχεια αθροίζονται και προκύπτει το γινόμενο. Στο σχήμα φαίνεται ο πολλαπλασιασμός δύο αριθμών των 2 bit και ένα κύκλωμα για τον πολλαπλασιασμό τους. Χρησιμοποιούνται 2 ημιαθροιστές. Συνήθως απαιτούνται πλήρεις αθροιστές, αν τα μερικά γινόμενα έχουν περισσότερα από 2 bit. Για τον Μ bit στον πολλαπλασιαστή και Ν bit στον πολλαπλασιαστέο, απαιτούνται ΜxN πύλες AND, Μ-1 αθροιστές των Ν bit και παράγεται ένα γινόμενο των M+N bit.

33 Δυαδικός πολλαπλασιαστής Στο σχήμα βλέπουμε ένα κύκλωμα πολλαπλασιασμού όπου ο πολλαπλασιαστής Α έχει 3 bit και ο πολλαπλασιαστέος B έχει 4 bit. Απαιτούνται: 12 πύλες AND 2 αθροιστές των 4 bit To γινόμενο έχει εύρος 12 bit.

34 Συγκριτής μέτρου δύο αριθμών Ο συγκριτής δύο αριθμών προσδιορίζει τη σχέση μεγέθους δύο αριθμών Α,Β, δηλαδή αν είναι ίσοι ή ποιός είναι μεγαλύτερος. Οι είσοδοι του συγκριτή είναι οι δύο αριθμοί. Υπάρχουν τρεις έξοδοι, οι οποίες δείχνουν αν A<B, A=B, A>B. O πίνακας αληθείας του κυκλώματος, αν οι αριθμοί αποτελούνται από n bit, έχει 2 2n γραμμές, οπότε η διαδικασία υλοποίησης είναι δύσκολη. Ο συγκριτής ως κύκλωμα έχει μια κανονικότητα στη δομή του, που επιτρέπει τη σχεδίαση με τρόπο παρόμοιο με αυτόν που υλοποιούμε μτ το μυαλό μας. Έστω Α=Α 3 Α 2 Α 1 Α 0 και Β=Β 3 Β 2 Β 1 Β 0. Οι αριθμοί είναι ίσοι αν όλα τα ψηφία ίδιας σημαντικότητας είναι ίσα, x i = A i B i + A i B i, i=0,1,2,3. Οι αριθμοί είναι ίσοι αν: (Α=Β) = x 3 x 2 x 1 x 0. Ελέγχουμε αν Α>Β, αν το πιο σημαντικό ψηφίο του Α είναι μεγαλύτερο από του Β, αν είναι ίσα ελέγχουμε τα επόμενα έως ότου βρεθεί ένα ζεύγος άνισων ψηφίων και ισχύει Α i >B i. Η διαδικασία που περιγράψαμε, μπορεί να εκφρασθεί με τις ακόλουθες λογικές συναρτήσεις:

35 Συγκριτής μέτρου δύο αριθμών Στο σχήμα φαίνεται το λογικό διάγραμμα συγκριτή δύο αριθμών 4 bit. Υπάρχει σημαντικός βαθμός επαναληψιμότητας (A i B i, A i B i ) και ο αριθμός των πυλών είναι μικρός. Οι μεταβλητές x i παράγονται με XNOR, x i = (A i B i + A i B i ) Για την κατασκευή συγκριτή περισσότερων bit, η παραπάνω μέθοδος μπορεί να επεκταθεί.

36 Αποκωδικοποιητής Ένας δυαδικός κώδικας των n bit μπορεί να αναπαραστήσει έως 2 n διακριτά στοιχεία κωδικοποιημένης πληροφορίας. Ο αποκωδικοποιητής (decoder) είναι συνδυαστικό κύκλωμα που μετατρέπει κωδικοποημένη δυαδική πληροφορία n γραμμών εισόδου, σε ισοδύναμη πληροφορίας που τοποθετείτι σε έως 2 n γραμμές εξόδου. Εάν για την κωδικοποιημένη πληροφορίας των n bit δε χρησιμοποιούνται όλοι οι δυνατοί συνδυασμοί, τότε ο αποκωδικοποιητής μπορεί να έχει λιγότερες εξόδους από 2 n. Οι αποκωδικοποιητές χαρακτηρίζονται από το πλήθος των σημάτων εισόδου εξόδου, έτσι τους αποκαλούμε αποκωδικοποιητές n-σε-m, όπου m 2 n.

37 Αποκωδικοποιητής Δεξιά φαίνεται αποκωδικοποιητής 3-σε-8. Το κύκλωμα παράγει κάθε φορά έναν ελαχιστόρο των τριών μεταβλητών εισόδου. Κάθε φορά μόνο μια έξοδος έχει τιμή 1, όλες οι υπόλοιπες έχουν τιμή 0. Κάτω φαίνεται ο πίνακας αληθείας του κυκλώματος.

38 Αποκωδικοποιητής Στο σχήμα φαίνεται αποκωδικοποιητής 2-σε-4 κατασκευασμένος με πύλες NAND. Οι έξοδοι του αποκωδικοποιητή δίνονται στη συμπληρωμένη μορφή τους. Επίσης περιλαμβάνει είσοδο επίτρεψης (enable) Ε, η οποία όταν Ε=0 επιτρέπει τη λειτουργία, ενώ όταν Ε=1 κάθε έξοδος του κυκλώματος είναι 1. Επίσης παρουσιάζεται και ο πίνακας αληθείας. Κάθε αποκωδικοποιητής μπορεί να λειτουργήσει ως αποπλέκτης (demultiplexer). Συγκεκριμένα εδώ, αν θεωρήσουμε το Ε ως σειριακή είσοδο δεδομένων, και το ΑΒ ως εισόδους επιλογής, τότε ανάλογα με την τιμή ΑΒ τα δεδομένα της εισόδου Ε θα εμφανίζονται μόνο σε μία έκοδο και όλοες οι άλλες έξοδοι θα είναι 1. π.χ. Αν ΑΒ=01, η έξοδος D 1 θα εμφάνιζε τα σειριακά δεδομένα του Ε. Ένας αποκωδικοποιητής με είσοδο επίτρεψης ονομάζεται αποκωδικοποιητής αποπλέκτης.

39 Αποκωδικοποιητής Αποκωδικοποιητές με είσοδο επίτρεψης, μπορούν να διασυνδέονται για τη δημιουργία μεγαλύτερων αποκωδικοποιητών. Οι δύο decoders 3-σε-8 σχηματίζουν έναν decoder 4-σε-16. Για w=0, ενεργοποιείται ο decoder με εξόδους D 0 -D 7, ενώ όταν w=1, ενεργοποιείται ο decoder με εξόδους D 8 -D 15. Οι είσοδοι επίτρεψης (enable) είναι χρήσιμο χαρακτηριστικό των κυκλωμάτων. Υπάρχουν και άλλα κυκλώματα όπου οι είσοδοι επίτρεψης, κάνουν εφικτή τη διασύνδεση κυκλωμάτων.

40 Υλοποίηση λογικών συναρτήσεων με αποκωδικοποιητή Ο αποκωδικοποιητής παράγει τους 2 n ελαχιστόρους των n μεταβλητών. Έστω μια συνάρτηση Boole σε μορφή αθροίσματος ελαχιστόρων. Αν χρησιμοποιήσουμε έναν decoder και μια πύλη OR που θα αθροίζει τους ελαχιστόρους υλοποιούμε τη συνάρτηση. Για παράδειγμα ο πλήρης αθροιστής, S(x,y,z)=Σ(1,2,4,7), C(x,y,z,)=Σ(3,5,6,7) που υλοποιείται με decoder 3-σε-8 και δύο πύλες OR. Ανάλογα με τις τιμές των εισόδων x, y, z παράγονται τα σήματα εξόδου S, C. Αν μια συνάρτηση F έχει πολλούς έστω k ελαχιστόρους και απαιτεί πύλη OR με k εισόδους, μπορεί να εκφρασθεί σε μορφή συμπληρώματος F με 2 n -k ελαχιστόρους. Στην περίπτωση αυτή χρησιμοποιούμε πύλη NOR για το άθροισμα ώστε ή έξοδος να είναι (F ) =F. Αν ο decoder είναι κατασκευασμένος με NAND, τότε αντί για OR χρησιμοποιείται NAND για την άθροιση, γιατί NAND NAND ισοδυναμεί με AND OR.

41 Κωδικοποιητής Ο κωδικοποιητής (encoder) είναι το αντίστροφο κύκλωμα του αποκωδικοποιητή. Έχει 2 n γραμμές εισόδου και n γραμμές εξόδου. Ο πίνακας αληθείας αντιστοιχεί σε έναν κωδικοποιητή οκταδικού σε δυαδικό. Μία είσοδος έχει την τιμή 1 κάθε χρονική στιγμή. Οι τρεις έξοδοι προσδιορίζονται από τον πίνακα αληθείας με τις ακόλουθες εξισώσεις και το κύκλωμα μπορεί να υλοποιηθεί με τρεις πύλες OR.

42 Κωδικοποιητής Στο προηγούμενο κύκλωμα αν δύο είσοδοι γίνουν 1, π.χ. D 3 και D 6 τότε η έξοδος θα είναι 111, το οποίο δεν αναπαριστά ούτε το 3 ούτε το 6. Για να αποφευχθεί η ασάφεια ορίζεται μια σειρά προτεραιότητας εισόδων, ώστε να κωδικοποιείται μόνο μια είσοδος κάθε στιγμή. Αν οι είσοδοι με μεγαλύτερους δείκτες έχουν μεγαλύτερη προτεραιότητα, τότε στην περίπτωση D 3 =D 6 =1, η έξοδος θα γίνει 110=6 10 γιατί το D 6 έχει μεγαλύτερη προτεραιότητα από το D 3. Μια άλλη ασάφεια μπορεί να προκύψει όταν όλες οι είσοδοι είναι 0. Στην περίπτωση αυτή προκύπτει έξοδος 000, δηλαδή σαν αν είναι D 0 =1. Το πρόβλημα μπορεί να λυθεί αν χρησιμοποιηθεί μια ακόμα έξοδος που θα δείχνει αν τουλάχιστον μια είσοδος είναι 1.

43 Κωδικοποιητής προτεραιότητας Ο κωδικοποιητής προτεραιότητας (priority encoder) περιλαμβάνει την έννοια της προτεραιότητας των εισόδων. Αν δύο η περισσότερες είσοδοι είναι ταυτόχρονα 1, θα κωδικοποιηθεί η είσοδος με τη μεγαλύτερη προτεραιότητα. Έστω κωδικοποιητής προτεραιότητας με εισόδους D 0 D 1 D 2 D 3 και εξόδους x, y, V. Η έξοδος V γίνεται 1 όταν τουλάχιστον μια είσοδος είναι 1, αλλιώς V=0. Από τον πίνακα αληθείας παρατηρούμε: Όσο μεγαλύτερη η τιμή του δείκτη τόσο μεγαλύτερη η προτεραιότητα της εισόδου. Αντί για 16 γραμμές χρησιμοποιούμε μόνο 4, με χρήση των αδιάφορων όρων που σημειώνονται με Χ. Όταν D3=1 δε μας ενδιαφέρουν τα D 0 D 1 D 2 κ.ο.κ.

44 Πολυπλέκτης Ο πολυπλέκτης (multiplexer) έχει 2 n σήματα εισόδου, ένα σήμα εισόδου που ονομάζεται σήμα επιλογής n bit και μια έξοδο. Ανάλογα με την τιμή του σήματος επιλογής, επιλέγεται ένα από τα 2 n σήματα εισόδου και κατευθύνεται στην έξοδο. Ο πολυπλέκτης 2-σε-1 του σχήματος (a), έχει δύο σήματα εισόδου, ένα σήμα επιλογής S 1 bit και ένα σήμα εξόδου. Όταν S=0 τότε Υ=Ι 0, αλλιώς όταν S=1 τότε Υ=Ι 1. Το σύμβολο του πολυπλέκτη έχει τραπεζοειδές σχήμα, όπως φαίνεται στο σχήμα (b).

45 Πολυπλέκτης Ο πολυπλέκτης 4-σε-1 έχει 4 εισόδους I 3, I 2, I 1, I 0 και μια έξοδο Υ. Επειδή έχει 4 εισόδους, το σήμα επιλογής S αποτελείται από 2 bit S 1 S 0. Τα bit S 1 S 0 αποκωδικοποιούνται έτσι ώστε να επιλέγουν μόνο μία από τις 4 πύλες AND. Οι άλλες 3 πύλες AND έχουν τουλάχιστον μια μηδενική είσοδο και επομένως μηδενική έξοδο. Η πύλη OR στην έξοδο αθροίζει τις εξόδους των πυλών AND και παρέχει την έξοδο του πολυπλέκτη. Ο πολυπλέκτης αποκαλείται και επιλογέας δεδομένων (data selector), επειδή επιλέγει μία από πολλές εισόδους και οδηγεί τις πληροφορίες της επιλεγμένης εισόδου στην έξοδο.

46 Πολυπλέκτης Η δομή ενός πολυπλέκτη θυμίζει αποκωδικοποιητή. Πράγματι το σήμα επιλογής του πολυπλέκτη ο τρόπος αποκωδικοποίησης του είναι το κύκλωμα του αποκωδικοποιητή, όπου μόνο μια πύλη AND μπορεί να είναι ενεργοποιημένη. Στις πύλες ΑΝD του πολυπλέκτη εφαρμόζονται και τα σήματα εισόδου και επίσης υπάρχει και μια πύλη OR. Οι πολυπλέκτες μπορούν να έχουν είσοδό επίτρεψης, η οποία όταν είναι σε ενεργή κατάσταση το κύκλωμα λειτουργεί ως πολυπλέκτηςκαι όταν είναι σε μη ενεργή κατάσταση οι έξοδοι είναι όλες 0.

47 Πολυπλέκτης Οι πολυπλέκτες μπορούν να συνδυάζονται για να σχηματίζουν πολυπλέκτες με είτε με περισσότερες εισόδους είτε με περισσότερα bit ανά είσοδο. Στο σχήμα φαίνεται πολυπλέκτης 2- σε-1, όπου κάθε είσοδος είναι σήμα 4 bit. Ο πολυπλέκτης αυτός έχει 4 πολυπλέκτες 2-σε-1 όπου κάθε είσοδος είναι 1 bit. Επίσης υπάρχει το σήμα επίτρεψης E, δηλαδή λειτουργεί όταν Ε=0, αλλιώς όταν Ε=1 οι έξοδοι είναι 0.

48 Υλοποίηση λογικών συναρτήσεων με πολυπλέκτη Όπως είδαμε και στους αποκωδικοποιητές οι οποίοι μπορούν να υλοποιήσουν μια λογική συνάρτηση, έτσι και ένας πολυπλέκτης με n-1 bit σήμα επιλογής, μπορεί να χρησιμοποιηθεί για την υλοποίηση λογικών συναρτήσεων n μεταβλητών. Η διαδικασία υλοποίησης μιας λογικής συνάρτησης με πολύπλέκτη είναι η ακόλουθη: Χρησιμοποιούμε πίνακα αληθείας για να περιγράψουμε τη λογική συνάρτηση. Συνδέουμε τις n-1 μεταβλητές στις εισόδους του σήματος επιλογής του πολυπλέκτη. Για κάθε συνδυασμό των μεταβλητών επιλογής, υπολογίζουμε την επιθυμητή έξοδο του κυκλώματος ως συνάρτηση της n-οστής μεταβλητής. Η έκφραση αυτή είναι 0, 1, η μεταβλητή ή το συμπλήρωμα της. Οι τιμές αυτές εφαρμόζονται στις εισόδους δεδομένων του πολυπλέκτη.

49 Υλοποίηση λογικών συναρτήσεων με πολυπλέκτη Έστω η συνάρτηση τριών μεταβλητών F(x,y,z)=Σ(1,2,6,7) Επιλέγουμε ένα πολυπλέκτη 4-σε-1. Συνδέουμε τις μεταβλητές x,y στο σήμα επιλογής του πολυπλέκτη S 1 S 0. Κατασκευάζουμε τον πίνακα αληθείας και για κάθε τιμή των μεταβλητών του γινομένου xy, προσπαθούμε να γράψουμε της F σαν συνάρτηση μόνο του z. Υπάρχουν 4 μόνο τιμές, οι οποίες είναι F={0,1,z,z ). Στη συνέχεια συνδέουμε στην αντίστοιχη είσοδο του πολυπλέκτη την τιμή της F που βρήκαμε.

50 Υλοποίηση λογικών συναρτήσεων με πολυπλέκτη Έστω F(A,B,C,D)=Σ(1,3,4,11,12,13,14,15). Υπάρχουν 4 μεταβλητές, άρα χρησιμοποιούμε πολυπλέκτη με 3 bit σήμα επιλογής, δηλαδή 8-σε-1. Στην είσοδο επιλογής S 2 S 1 S 0 εφαρμόζουμε τις μεταβλητές ABC. Κατασκευάζουμε τον πίνακα αληθείας και για κάθε συνδυασμό των ΑΒC εκφράζουμε την F ως συνάρτηση του D και συνδέουμε στην αντίστοιχη είσοδο του πολυπλέκτη την τιμή αυτή.

51 Τρισταθείς πύλες Οι πύλες τριών καταστάσεων (ή τρισταθείς) έχουν 3 δυνατές καταστάσεις. Οι δύο καταστάσεις είναι το λογικό 0 ή το λογικό 1. Η τρίτη κατάσταση είναι η υψηλή αντίσταση εξόδου (high impedance), συμβολίζεται με Ζ, όπου: 1. Η έξοδος συμπεριφέρεται ως ανοικτό κύκλωμα 2. Το τρισταθές κύκλωμα δε συμμετέχει στον καθορισμό της λογικής τιμής της εξόδου του 3. Το κύκλωμα που συνδέεται στην έξοδο της τρισταθούς πύλης, δεν επηρεάζεται καθόλου από τις εισόδους της πύλης. Οι τρισταθείς πύλες μπορούν να υλοποιήσουν μια λογική πράξη, αλλά ο πιο χρησιμοποιούμενος τύπος είναι ο τρισταθής απομονωτής (buffer). Το σήμα ελέγχου C, καθορίζει τη λειτουργία. Όταν C=1, τότε Y=A. Όταν C=0, τότε Y=Ζ.

52 Τρισταθείς πύλες Η κατασκευή πολυπλεκτών με τρισταθείς πύλες γίνεται βραχυκυκλώνοντας τις εξόδους των απομονωτών, δημιουργώντας ένα κοινό κόμβο εξόδου. Δεν υπάρχει κίνδυνος υπερφόρτωσης των εξόδων των αντίστοιχων πυλών με τρισταθείς απομονωτές (σε αντίθεση με χρήση συμβατικών πυλών). Στο σχήμα (a), φαίνεται ένας πολυπλέκτης 2-σε-1 και στο σχήμα (β) ένας πολυπλέκτης 4-σε-1. Ο αποκωδικοποιητής στο σχήμα (b), διασφαλίζει ότι η έξοδος μόνο ενός τρισταθούς απομονωτή είναι ενεργή κάθε χρονική στιγμή.

53 Ασκήσεις

54 Ασκήσεις

55 Ασκήσεις

56 Ασκήσεις

57 Ασκήσεις

58 Ασκήσεις

59 Ασκήσεις

60 Ασκήσεις

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Συνδυαστική Λογική. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Συνδυαστική Λογική. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Συνδυαστική Λογική Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Ψηφιακά Κυκλώματα Τα ψηφιακά κυκλώματα διακρίνονται σε συνδυαστικά (combinational)

Διαβάστε περισσότερα

9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ

9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ 61 9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ I. Βασική Θεωρία Οι πύλες NAND και NOR ονομάζονται οικουμενικές πύλες (universal gates) γιατί κάθε συνδυαστικό κύκλωμα μπορεί να υλοποιηθεί

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων

Ψηφιακά Συστήματα. 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων Ψηφιακά Συστήματα 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 12: Σύνοψη Θεμάτων Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http://arch.icte.uowm.gr/mdasyg

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ. ΜΑΘΗΜΑ 2 ο. ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ

ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ. ΜΑΘΗΜΑ 2 ο. ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ ΜΑΘΗΜΑ 2 ο ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ 2009-10 ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ 1 Άλγεβρα Βοοle η θεωρητική βάση των λογικών κυκλωμάτων Η άλγεβρα Βοοle ορίζεται επάνω στο σύνολο

Διαβάστε περισσότερα

1 η Θεµατική Ενότητα : Αριθµητικά Κυκλώµατα. Επιµέλεια διαφανειών: Χρ. Καβουσιανός

1 η Θεµατική Ενότητα : Αριθµητικά Κυκλώµατα. Επιµέλεια διαφανειών: Χρ. Καβουσιανός η Θεµατική Ενότητα : Αριθµητικά Κυκλώµατα Επιµέλεια διαφανειών: Χρ. Καβουσιανός Άθροιση + + + + a +b 2c+s + Κρατούµενο προηγούµενης βαθµίδας κρατούµενο άθροισµα Μεταφέρεται στην επόµενη βαθµίδα σηµαντικότητας

Διαβάστε περισσότερα

2 η Θεµατική Ενότητα : Σύνθετα Συνδυαστικά Κυκλώµατα. Επιµέλεια διαφανειών: Χρ. Καβουσιανός

2 η Θεµατική Ενότητα : Σύνθετα Συνδυαστικά Κυκλώµατα. Επιµέλεια διαφανειών: Χρ. Καβουσιανός 2 η Θεµατική Ενότητα : Σύνθετα Συνδυαστικά Κυκλώµατα Επιµέλεια διαφανειών: Χρ. Καβουσιανός Σύνθετα Συνδυαστικά Κυκλώµατα Πύλες AND Πύλες OR Πύλες NAND Τυχαία Λογική Πύλες NOR Πύλες XNOR Η ολοκληρωµένη

Διαβάστε περισσότερα

Ενότητα 7 ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΕΣ - ΚΩΔΙΚΟΠΟΙΗΤΕΣ ΑΠΟΠΛΕΚΤΕΣ - ΠΟΛΥΠΛΕΚΤΕΣ

Ενότητα 7 ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΕΣ - ΚΩΔΙΚΟΠΟΙΗΤΕΣ ΑΠΟΠΛΕΚΤΕΣ - ΠΟΛΥΠΛΕΚΤΕΣ Ενότητα 7 ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΕΣ - ΚΩΔΙΚΟΠΟΙΗΤΕΣ ΑΠΟΠΛΕΚΤΕΣ - ΠΟΛΥΠΛΕΚΤΕΣ Γενικές Γραμμές Δυαδικοί Αριθμοί έναντι Δυαδικών Κωδίκων Δυαδικοί Αποκωδικοποιητές Υλοποίηση Συνδυαστικής Λογικής με Δυαδικό Αποκωδικοποιητή

Διαβάστε περισσότερα

Αθροιστές. Ημιαθροιστής

Αθροιστές. Ημιαθροιστής Αθροιστές Η πιο βασική αριθμητική πράξη είναι η πρόσθεση. Για την πρόσθεση δύο δυαδικών ψηφίων υπάρχουν τέσσερις δυνατές περιπτώσεις: +=, +=, +=, +=. Οι τρεις πρώτες πράξεις δημιουργούν ένα άθροισμα που

Διαβάστε περισσότερα

Συνδυαστικά Κυκλώματα

Συνδυαστικά Κυκλώματα 3 Συνδυαστικά Κυκλώματα 3.1. ΣΥΝΔΥΑΣΤΙΚΗ Λ ΟΓΙΚΗ Συνδυαστικά κυκλώματα ονομάζονται τα ψηφιακά κυκλώματα των οποίων οι τιμές της εξόδου ή των εξόδων τους διαμορφώνονται αποκλειστικά, οποιαδήποτε στιγμή,

Διαβάστε περισσότερα

Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 2013 Διάρκεια εξέτασης : 160 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών:

Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 2013 Διάρκεια εξέτασης : 160 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών: Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 23 Διάρκεια εξέτασης : 6 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών: Θέμα (,5 μονάδες) Στις εισόδους του ακόλουθου κυκλώματος c b a εφαρμόζονται οι κάτωθι κυματομορφές.

Διαβάστε περισσότερα

Ψηφιακή Λογική και Σχεδίαση

Ψηφιακή Λογική και Σχεδίαση Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 26-7 Ψηφιακή Λογική και Σχεδίαση (σχεδίαση συνδυαστικών κυκλωμάτων) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Το τρανζίστορ

Διαβάστε περισσότερα

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες Πρώτο Κεφάλαιο Εισαγωγή στα Ψηφιακά Συστήματα 1.1 Αναλογικά και Ψηφιακά Σήματα και Συστήματα... 1 1.2 Βασικά Ψηφιακά Κυκλώματα... 3 1.3 Ολοκληρωμένα κυκλώματα... 4 1.4 Τυπωμένα κυκλώματα... 7 1.5 Εργαλεία

Διαβάστε περισσότερα

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

Κυκλώµατα µε MSI. υαδικός Αθροιστής & Αφαιρέτης

Κυκλώµατα µε MSI. υαδικός Αθροιστής & Αφαιρέτης 5 η Θεµατική Ενότητα : Συνδυαστικά Κυκλώµατα µε MSI υαδικός Αθροιστής & Αφαιρέτης A i B i FA S i C i C i+1 D Σειριακός Αθροιστής Σειριακός Αθροιστής: απαιτεί 1 πλήρη αθροιστή, 1 στοιχείο µνήµης και παράγει

Διαβάστε περισσότερα

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A].

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A]. Κανονική μορφή συνάρτησης λογικής 5. Η κανονική μορφή μιας λογικής συνάρτησης (ΛΣ) ως άθροισμα ελαχιστόρων, από τον πίνακα αληθείας προκύπτει ως εξής: ) Παράγουμε ένα [A] όρων από την κάθε σειρά για την

Διαβάστε περισσότερα

4.1 Θεωρητική εισαγωγή

4.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 4 ΥΑ ΙΚΟΣ ΑΘΡΟΙΣΤΗΣ-ΑΦΑΙΡΕΤΗΣ Σκοπός: Να µελετηθούν αριθµητικά κυκλώµατα δυαδικής πρόσθεσης και αφαίρεσης. Να σχεδιαστούν τα κυκλώµατα από τους πίνακες αληθείας

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ ΠΕΡΙΕΧΟΜΕΝΑ Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ 1.1 Εισαγωγή...11 1.2 Τα κύρια αριθμητικά Συστήματα...12 1.3 Μετατροπή αριθμών μεταξύ των αριθμητικών συστημάτων...13 1.3.1 Μετατροπή ακέραιων

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

Πράξεις με δυαδικούς αριθμούς

Πράξεις με δυαδικούς αριθμούς Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 25-6 Πράξεις με δυαδικούς αριθμούς (αριθμητικές πράξεις) http://di.ionio.gr/~mistral/tp/csintro/ Μ.Στεφανιδάκης Πράξεις με δυαδικούς

Διαβάστε περισσότερα

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 1) Να μετατρέψετε τον δεκαδικό αριθμό (60,25) 10, στον αντίστοιχο δυαδικό 11111,11 111001,01 111100,01 100111,1 111100,01 2)

Διαβάστε περισσότερα

PLD. Εισαγωγή. 5 η Θεµατική Ενότητα : Συνδυαστικά. PLAs. PLDs FPGAs

PLD. Εισαγωγή. 5 η Θεµατική Ενότητα : Συνδυαστικά. PLAs. PLDs FPGAs 5 η Θεµατική Ενότητα : Συνδυαστικά Κυκλώµατα µε MSI και Εισαγωγή Οι προγραµµατιζόµενες διατάξεις είναι ολοκληρωµένα µε εσωτερικές πύλες οι οποίες µπορούν να υλοποιήσουν οποιαδήποτε συνάρτηση αν υποστούν

Διαβάστε περισσότερα

Συνδυαστικά Λογικά Κυκλώματα

Συνδυαστικά Λογικά Κυκλώματα Συνδυαστικά Λογικά Κυκλώματα Ένα συνδυαστικό λογικό κύκλωμα συντίθεται από λογικές πύλες, δέχεται εισόδους και παράγει μία ή περισσότερες εξόδους. Στα συνδυαστικά λογικά κυκλώματα οι έξοδοι σε κάθε χρονική

Διαβάστε περισσότερα

Γ2.1 Στοιχεία Αρχιτεκτονικής. Γ Λυκείου Κατεύθυνσης

Γ2.1 Στοιχεία Αρχιτεκτονικής. Γ Λυκείου Κατεύθυνσης Γ2.1 Στοιχεία Αρχιτεκτονικής Γ Λυκείου Κατεύθυνσης Ορισμός άλγεβρας Boole Η άλγεβρα Boole ορίζεται, ως μία αλγεβρική δομή A, όπου: (α) Το Α είναι ένα σύνολο στοιχείων που περιέχει δύο τουλάχιστον στοιχεία

Διαβάστε περισσότερα

a -j a 5 a 4 a 3 a 2 a 1 a 0, a -1 a -2 a -3

a -j a 5 a 4 a 3 a 2 a 1 a 0, a -1 a -2 a -3 ΑΣΚΗΣΗ 5 ΑΘΡΟΙΣΤΕΣ - ΑΦΑΙΡΕΤΕΣ 5.1. ΣΚΟΠΟΣ Η πραγματοποίηση της αριθμητικής πρόσθεσης και αφαίρεσης με λογικά κυκλώματα. 5.2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΗΣΗΣ: Κάθε σύστημα αρίθμησης χαρακτηρίζεται

Διαβάστε περισσότερα

ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ. Κεφάλαιο 3

ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ. Κεφάλαιο 3 ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ Κεφάλαιο 3 Κεντρική Μονάδα Επεξεργασίας Κεντρική Μονάδα Επεξεργασίας Μονάδα επεξεργασίας δεδομένων Μονάδα ελέγχου Μονάδα επεξεργασίας δεδομένων Δομή Αριθμητικής Λογικής Μονάδας

Διαβάστε περισσότερα

i Το τρανζίστορ αυτό είναι τύπου NMOS. Υπάρχει και το συμπληρωματικό PMOS. ; Τι συμβαίνει στο τρανζίστορ PMOS; Το τρανζίστορ MOS(FET)

i Το τρανζίστορ αυτό είναι τύπου NMOS. Υπάρχει και το συμπληρωματικό PMOS. ; Τι συμβαίνει στο τρανζίστορ PMOS; Το τρανζίστορ MOS(FET) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 25-6 Το τρανζίστορ MOS(FET) πύλη (gate) Ψηφιακή και Σχεδίαση πηγή (source) καταβόθρα (drai) (σχεδίαση συνδυαστικών κυκλωμάτων) http://di.ioio.gr/~mistral/tp/comparch/

Διαβάστε περισσότερα

! Εάν ο αριθμός διαθέτει περισσότερα bits, χρησιμοποιούμε μεγαλύτερες δυνάμεις του 2. ! Προσοχή στη θέση του περισσότερο σημαντικού bit!

! Εάν ο αριθμός διαθέτει περισσότερα bits, χρησιμοποιούμε μεγαλύτερες δυνάμεις του 2. ! Προσοχή στη θέση του περισσότερο σημαντικού bit! Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 25-6 Πράξεις με δυαδικούς αριθμούς (αριθμητικές ) http://di.ionio.gr/~mistral/tp/csintro/ Αριθμοί Πράξεις με δυαδικούς αριθμούς

Διαβάστε περισσότερα

K24 Ψηφιακά Ηλεκτρονικά 6: Πολυπλέκτες/Αποπολυπλέκτες

K24 Ψηφιακά Ηλεκτρονικά 6: Πολυπλέκτες/Αποπολυπλέκτες K24 Ψηφιακά Ηλεκτρονικά 6: Πολυπλέκτες/Αποπολυπλέκτες TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ ΤΕΧΝΟΛΟΓΙΚΟ Περιεχόμενα 1 2 3 4 Λειτουργία Πολυπλέκτης (Mul plexer) Ο

Διαβάστε περισσότερα

Επανάληψη Βασικών Στοιχείων Ψηφιακής Λογικής

Επανάληψη Βασικών Στοιχείων Ψηφιακής Λογικής Επανάληψη Βασικών Στοιχείων Ψηφιακής Λογικής Αριθµοί Διαφόρων Βάσεων Δυαδικά Συστήµατα 2 Υπολογιστική Ακρίβεια Ο αριθµός των δυαδικών ψηφίων αναπαράστασης αριθµών καθορίζει την ακρίβεια των αριθµών σε

Διαβάστε περισσότερα

3. Απλοποίηση Συναρτήσεων Boole

3. Απλοποίηση Συναρτήσεων Boole 3. Απλοποίηση Συναρτήσεων Boole 3. Μέθοδος του χάρτη Η πολυπλοκότητα ψηφιακών πυλών που υλοποιούν μια συνάρτηση Boole σχετίζεται άμεσα με την πολύπλοκότητα της αλγεβρικής της έκφρασης. Η αλγεβρική αναπαράσταση

Διαβάστε περισσότερα

ΜΕΡΟΣ 1 ο : Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα

ΜΕΡΟΣ 1 ο : Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα ΜΕΡΟΣ 1 ο : Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα 1. Για a=1, b=1 και c=0, υπολογίστε τις τιμές των λογικών παραστάσεων ab c, a+b +c, a+b c και ab +c Δώστε τα σύνολα τιμών των δυαδικών

Διαβάστε περισσότερα

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f.

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f. 6. Καταχωρητές Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f. Καταχωρητής 4 ψηφίων Καταχωρητής με παράλληλη φόρτωση Η εισαγωγή

Διαβάστε περισσότερα

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Θεµατική Ενότητα ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Ακαδηµαϊκό Έτος 2006 2007 Γραπτή Εργασία #2 Ηµεροµηνία Παράδοσης 28-0 - 2007 ΠΛΗ 2: Ψηφιακά Συστήµατα ΠΡΟΤΕΙΝΟΜΕΝΕΣ ΛΥΣΕΙΣ Άσκηση : [5 µονάδες] Έχετε στη

Διαβάστε περισσότερα

Ψηφιακά Κυκλώματα (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική

Ψηφιακά Κυκλώματα (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική Ψηφιακά Κυκλώματα ( ο μέρος) ΜΥΥ-6 Εισαγωγή στους Η/Υ και στην Πληροφορική Ψηφιακά κυκλώματα Οι δύο λογικές τιμές, αντιστοιχούν σε ηλεκτρικές τάσεις Υλοποιούνται με τρανζίστορ ή διόδους: ελεγχόμενοι διακόπτες

Διαβάστε περισσότερα

Ενότητα 9 ΑΡΙΘΜΗΤΙΚΑ & ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ

Ενότητα 9 ΑΡΙΘΜΗΤΙΚΑ & ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ Ενότητα 9 ΑΡΙΘΜΗΤΙΚΑ & ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ Γενικές Γραμμές Προσημασμένοι Ακέραιοι Δυαδικοί Αριθμοί Ημιαθροιστής - Ημιαφαιρέτης Πλήρης Αθροιστής - Πλήρης Αφαιρέτης Αθροιστής Διάδοσης Κρατούμενου Επαναληπτικές

Διαβάστε περισσότερα

Κεφάλαιο 4. Λογική Σχεδίαση

Κεφάλαιο 4. Λογική Σχεδίαση Κεφάλαιο 4 Λογική Σχεδίαση 4.1 Εισαγωγή Λογικές συναρτήσεις ονομάζουμε εκείνες για τις οποίες μπορούμε να αποφασίσουμε αν είναι αληθείς ή όχι. Χειριζόμαστε τις λογικές προτάσεις στην συγγραφή λογισμικού

Διαβάστε περισσότερα

ΠΛΗ10 Κεφάλαιο 2. ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών

ΠΛΗ10 Κεφάλαιο 2. ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: 2 2.3 : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών Στόχοι Μαθήματος: Να γνωρίσετε τις βασικές αρχές αριθμητικής των Η/Υ. Ποια είναι τα κυκλώματα

Διαβάστε περισσότερα

ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ & ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ

ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ & ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ & ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΚΩΔΙΚΟΠΟΙΗΤΗΣ ΠΟΛΥΠΛΕΚΤΗΣ ΑΠΟΠΛΕΚΤΗΣ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ 1) Κωδικοποιητής Ο κωδικοποιητής

Διαβάστε περισσότερα

e-book ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΕΙΣ

e-book ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΕΙΣ e-book ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΕΙΣ 1. Να μετατρέψετε τον δεκαδικό 16.25 σε δυαδικό. 2. Να μετατρέψετε τον δεκαδικό 18.75 σε δυαδικό και τον δεκαδικό 268 σε δεκαεξαδικό. 3. Να βρεθεί η βάση εκείνου του αριθμητικού

Διαβάστε περισσότερα

6.1 Θεωρητική εισαγωγή

6.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 6 ΑΠΟΚΩ ΙΚΟΠΟΙΗΤΕΣ ΚΑΙ ΠΟΛΥΠΛΕΚΤΕΣ Σκοπός: Η κατανόηση της λειτουργίας των κυκλωµάτων ψηφιακής πολυπλεξίας και αποκωδικοποίησης και η εξοικείωση µε τους ολοκληρωµένους

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 6: Δυαδικές Πράξεις, Συμπλήρωμα του 2, Δυαδικοί Αποκωδικοποιητές, Κωδικοποιητές, Πολυπλέκτες Δρ. Μηνάς Δασυγένης @ieee.ormdasygg

Διαβάστε περισσότερα

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 28 Αριθμητικές Συναρτήσεις και Κυκλώματα Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Πρόσθεση

Διαβάστε περισσότερα

K15 Ψηφιακή Λογική Σχεδίαση 7-8: Ανάλυση και σύνθεση συνδυαστικών λογικών κυκλωμάτων

K15 Ψηφιακή Λογική Σχεδίαση 7-8: Ανάλυση και σύνθεση συνδυαστικών λογικών κυκλωμάτων K15 Ψηφιακή Λογική Σχεδίαση 7-8: Ανάλυση και σύνθεση συνδυαστικών λογικών κυκλωμάτων Γιάννης Λιαπέρδος TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ Η έννοια του συνδυαστικού

Διαβάστε περισσότερα

K24 Ψηφιακά Ηλεκτρονικά 4: Σχεδίαση Συνδυαστικών Κυκλωμάτων

K24 Ψηφιακά Ηλεκτρονικά 4: Σχεδίαση Συνδυαστικών Κυκλωμάτων K24 Ψηφιακά Ηλεκτρονικά 4: Σχεδίαση Συνδυαστικών Κυκλωμάτων TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ ΤΕΧΝΟΛΟΓΙΚΟ Περιεχόμενα 1 2 3 4 Ένα ψηφιακό κύκλωμα με n εισόδους

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ Κ. Δεμέστιχας Εργαστήριο Πληροφορικής Γεωπονικό Πανεπιστήμιο Αθηνών Επικοινωνία μέσω e-mail: cdemest@aua.gr, cdemest@cn.ntua.gr 1 5. ΑΛΓΕΒΡΑ BOOLE ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕΡΟΣ Β 2 Επαναληπτική

Διαβάστε περισσότερα

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία ΗΜΥ 00 Εισαγωγή στην Τεχνολογία Στέλιος Τιμοθέου ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΑ ΘΕΜΑΤΑ ΜΑΣ ΣΗΜΕΡΑ Δυαδική λογική Πύλες AND, OR, NOT, NAND,

Διαβάστε περισσότερα

Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα

Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα 1. Για a=1, b=1 και c=0, υπολογίστε τις τιμές των λογικών παραστάσεων ab c, a+b +c, a+b c και ab +c Δώστε τα σύνολα τιμών των δυαδικών μεταβλητών a,

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 4 ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΩΝ ΛΟΓΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ

ΑΣΚΗΣΗ 4 ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΩΝ ΛΟΓΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ ΑΣΚΗΣΗ 4 ΣΧΕΔΙΑΣΗ ΑΡΙΘΜΗΤΙΚΩΝ ΛΟΓΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Αντικείμενο της άσκησης: Λογική και μεθοδολογία σχεδίασης αριθμητικών λογικών κυκλωμάτων και λειτουργική εξομοίωση με το λογισμικό EWB.. Αθροιστές. Σχεδίαση

Διαβάστε περισσότερα

Πανεπιστήμιο Θεσσαλίας Τμήμα Μηχανικών Η/Υ, Τηλεπικοινωνιών και Δικτύων

Πανεπιστήμιο Θεσσαλίας Τμήμα Μηχανικών Η/Υ, Τηλεπικοινωνιών και Δικτύων Πανεπιστήμιο Θεσσαλίας Τμήμα Μηχανικών Η/Υ, Τηλεπικοινωνιών και Δικτύων Οργάνωση Η/Υ Ενότητα 3η: Αριθμητικές Πράξεις και Μονοπάτι Επεξεργασίας Δεδομένων Άσκηση 1: Δείξτε πώς μπορούμε να υλοποιήσουμε ένα

Διαβάστε περισσότερα

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM).

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM). Μνήμες Ένα από τα βασικά πλεονεκτήματα των ψηφιακών συστημάτων σε σχέση με τα αναλογικά, είναι η ευκολία αποθήκευσης μεγάλων ποσοτήτων πληροφοριών, είτε προσωρινά είτε μόνιμα Οι πληροφορίες αποθηκεύονται

Διαβάστε περισσότερα

Εισαγωγή στην πληροφορική

Εισαγωγή στην πληροφορική Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Εισαγωγή στην πληροφορική Ενότητα 4: Ψηφιακή Λογική, Άλγεβρα Boole, Πίνακες Αλήθειας (Μέρος B) Αγγελίδης Παντελής Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών

Διαβάστε περισσότερα

Κεφάλαιο 2. Συστήματα Αρίθμησης και Αναπαράσταση Πληροφορίας. Περιεχόμενα. 2.1 Αριθμητικά Συστήματα. Εισαγωγή

Κεφάλαιο 2. Συστήματα Αρίθμησης και Αναπαράσταση Πληροφορίας. Περιεχόμενα. 2.1 Αριθμητικά Συστήματα. Εισαγωγή Κεφάλαιο. Συστήματα Αρίθμησης και Αναπαράσταση Πληροφορίας Περιεχόμενα. Αριθμητικά συστήματα. Μετατροπή αριθμών από ένα σύστημα σε άλλο.3 Πράξεις στο δυαδικό σύστημα.4 Πράξεις στο δεκαεξαδικό σύστημα.5

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Απλοποίηση Συναρτήσεων Boole. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Απλοποίηση Συναρτήσεων Boole. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Απλοποίηση Συναρτήσεων Boole Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Απλοποίηση Συναρτήσεων Boole Η πολυπλοκότητα του κυκλώματος

Διαβάστε περισσότερα

C D C D C D C D A B

C D C D C D C D A B Απλοποίηση µέσω Πίνακα Karnaugh: Παράδειγµα - 2 Στον παρακάτω πίνακα έχει ήδη γίνει το «βήμα- 1». Επομένως: Βήμα 2: Δεν υπάρχουν απομονωμένα κελιά. Βήμα 3: Στο ζεύγος (3,7) το κελί 3 γειτνιάζει μόνο με

Διαβάστε περισσότερα

4 η Θεµατική Ενότητα : Συνδυαστική Λογική. Επιµέλεια διαφανειών: Χρ. Καβουσιανός

4 η Θεµατική Ενότητα : Συνδυαστική Λογική. Επιµέλεια διαφανειών: Χρ. Καβουσιανός 4 η Θεµατική Ενότητα : Συνδυαστική Λογική Επιµέλεια διαφανειών: Χρ. Καβουσιανός Λογικά Κυκλώµατα Ø Τα λογικά κυκλώµατα διακρίνονται σε συνδυαστικά (combinational) και ακολουθιακά (sequential). Ø Τα συνδυαστικά

Διαβάστε περισσότερα

Ενότητα 8 Η ΠΥΛΗ XOR ΚΑΙ ΟΙ ΕΦΑΡΜΟΓΕΣ ΤΗΣ ΚΩΔΙΚΟΠΟΙΗΣΗ

Ενότητα 8 Η ΠΥΛΗ XOR ΚΑΙ ΟΙ ΕΦΑΡΜΟΓΕΣ ΤΗΣ ΚΩΔΙΚΟΠΟΙΗΣΗ Ενότητα 8 Η ΠΛΗ XOR ΚΑΙ ΟΙ ΕΦΑΡΜΟΓΕΣ ΤΗΣ ΚΩΔΙΚΟΠΟΙΗΣΗ Γενικές Γραμμές Πύλες XOR και XNOR λοποιήσεις με AND-OR-INV Κώδικας Ισοτιμίας (Parity) Άρτια και Περιττή Συνάρτηση Κυκλώματα ανίχνευσης λαθών Συγκριτές

Διαβάστε περισσότερα

ΣΥΝΔΙΑΣΤΙΚΑ ΚΥΚΛΩΜΑΤΑ

ΣΥΝΔΙΑΣΤΙΚΑ ΚΥΚΛΩΜΑΤΑ ΣΥΝΔΙΑΣΤΙΚΑ ΚΥΚΛΩΜΑΤΑ Οι έξοδοί τους είναι συναρτήσεις αποκλειστικά των εισόδων τους Χαρακτηρίζονται από μία καθυστέρηση στη διάδοση του σήματος της τάξης των ns Συνδιαστικά Κυκλώματα O ΣΥΓΚΡΙΤΗΣ Συγκρίνει

Διαβάστε περισσότερα

Ψηφιακή Σχεδίαση Εργαστηριο 1. Τμήμα: Μηχανικών Πληροφορικής κ Τηλεπικοινωνιών Διδάσκων: Δρ. Σωτήριος Κοντογιαννης Μάθημα 2 ου εξαμήνου

Ψηφιακή Σχεδίαση Εργαστηριο 1. Τμήμα: Μηχανικών Πληροφορικής κ Τηλεπικοινωνιών Διδάσκων: Δρ. Σωτήριος Κοντογιαννης Μάθημα 2 ου εξαμήνου Ψηφιακή Σχεδίαση Εργαστηριο 1 Τμήμα: Μηχανικών Πληροφορικής κ Τηλεπικοινωνιών Διδάσκων: Δρ. Σωτήριος Κοντογιαννης Μάθημα 2 ου εξαμήνου ΛΟΓΙΚΕΣ ΠΥΛΕΣ ΕΡΓΑΛΕΙΑ ΕΡΓΑΣΤΗΡΙΟ Το εργαλείο που θα χρησιμοποιηθεί

Διαβάστε περισσότερα

Ενότητα 4 ΛΟΓΙΚΕΣ ΣΥΝΑΡΤΗΣΕΙΣ ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ ΔΥΟ ΕΠΙΠΕΔΩΝ

Ενότητα 4 ΛΟΓΙΚΕΣ ΣΥΝΑΡΤΗΣΕΙΣ ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ ΔΥΟ ΕΠΙΠΕΔΩΝ Ενότητα 4 ΛΟΓΙΚΕΣ ΣΥΝΑΡΤΗΣΕΙΣ ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ ΔΥΟ ΕΠΙΠΕΔΩΝ Γενικές Γραμμές Λογικές Συναρτήσεις 2 Επιπέδων Συμπλήρωμα Λογικής Συνάρτησης Πίνακας Αλήθειας Κανονική Μορφή Αθροίσματος Γινομένων Λίστα Ελαχιστόρων

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Άλλες Αριθμητικές Συναρτήσεις/Κυκλώματα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Άλλες Αριθμητικές Συναρτήσεις/Κυκλώματα ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Αριθμητικές Συναρτήσεις και Κυκλώματα Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Πρόσθεση υαδική Πρόσθεση

Διαβάστε περισσότερα

7. Ψηφιακά Ηλεκτρονικά

7. Ψηφιακά Ηλεκτρονικά 1 7. Ψηφιακά Ηλεκτρονικά 7.1 Εισαγωγή Στα προηγούμενα μελετήσαμε τη λειτουργία του τρανζίστορ στην ενεργό περιοχή, χαρακτηριστικό της οποίας είναι ότι τα σήματα εισόδου και εξόδου μπορούν να λάβουν συνεχείς

Διαβάστε περισσότερα

1 η Θεµατική Ενότητα : Δυαδικά Συστήµατα

1 η Θεµατική Ενότητα : Δυαδικά Συστήµατα 1 η Θεµατική Ενότητα : Δυαδικά Συστήµατα Δεκαδικοί Αριθµοί Βάση : 10 Ψηφία : 0, 1, 2, 3, 4, 5, 6, 7, 8, 9 Αριθµοί: Συντελεστές Χ δυνάµεις του 10 7392.25 = 7x10 3 + 3x10 2 + 9x10 1 + 2x10 0 + 2x10-1 + 5x10-2

Διαβάστε περισσότερα

Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές

Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές στους Ηλεκτρονικούς Υπολογιστές http://courseware.mech.tua.gr/ml232/ 3 ο Μάθημα Λεωνίδας Αλεξόπουλος Λέκτορας ΕΜΠ E-mail: leo@mail.tua.gr URL: http://users.tua.gr/leo Λογικές Πράξεις Λογικές Συναρτήσεις

Διαβάστε περισσότερα

Λογική Σχεδίαση Ψηφιακών Συστημάτων

Λογική Σχεδίαση Ψηφιακών Συστημάτων Πανεπιστήμιο Θεσσαλίας Τμήμα Πληροφορικής Λογική Σχεδίαση Ψηφιακών Συστημάτων Σταμούλης Γεώργιος georges@uth.gr Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Δυαδικοί Αριθμοί Η γενική αναπαράσταση ενός οποιουδήποτε

Διαβάστε περισσότερα

Βοηθητικές Σημειώσεις στη ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ

Βοηθητικές Σημειώσεις στη ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ Βοηθητικές Σημειώσεις στη ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΠΜΣ στις Τεχνολογίες και Συστήματα Ευρυζωνικών Εφαρμογών και Υπηρεσιών Διδάσκων : Παρασκευάς Κίτσος Επίκουρος Καθηγητής pkitsos@teimes.gr 1 Τμήμα των διαλέξεων

Διαβάστε περισσότερα

Συνδυαστική λογική και βασικά λογικά κυκλώματα. URL:

Συνδυαστική λογική και βασικά λογικά κυκλώματα.   URL: DeÔtero Ex mhno FoÐthshc Συνδυαστική λογική και βασικά λογικά κυκλώματα Ge rgioc Q. Alexandrìpouloc Lèktorac P.D. 47/8 e-mail: alexandg@uop.gr URL: http://users.iit.demokritos.gr/~alexandg Tm ma Epist

Διαβάστε περισσότερα

Τμήμα Οικιακής Οικονομίας και Οικολογίας. Αναπαράσταση Αριθμών

Τμήμα Οικιακής Οικονομίας και Οικολογίας. Αναπαράσταση Αριθμών Αναπαράσταση Αριθμών Δεκαδικό και Δυαδικό Δεκαδικό σύστημα Δεκαδικό και Δυαδικό Μετατροπή Για τη μετατροπή ενός αριθμού από το δυαδικό σύστημα στο δεκαδικό, πολλαπλασιάζουμε κάθε δυαδικό ψηφίο του αριθμού

Διαβάστε περισσότερα

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ Τµήµα Ηλεκτρολόγων Μηχανικών Εργαστήριο Ενσύρµατης Τηλεπικοινωνίας ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ Μάθηµα 4: Συνδυαστική Λογική ιδάσκων: Καθηγητής Ν. Φακωτάκης 4.1 Συνδυαστικά κυκλώµατα Λογικά κυκλώµατα για ψηφιακό

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΠΡΟΓΡΑΜΜΑ ΣΠΟΥΔΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΘΕΜΑΤΙΚΗ ΕΝΟΤΗΤΑ: ΠΛΗ-21

ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΠΡΟΓΡΑΜΜΑ ΣΠΟΥΔΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΘΕΜΑΤΙΚΗ ΕΝΟΤΗΤΑ: ΠΛΗ-21 ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΠΡΟΓΡΑΜΜΑ ΣΠΟΥΔΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΘΕΜΑΤΙΚΗ ΕΝΟΤΗΤΑ: ΠΛΗ-2 ΨΗΦΙΑΚΗ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΕΙΙΣ ΓΡΑΠΤΩΝ ΕΡΓΑΣΙΙΩΝ & ΘΕΜΑΤΩΝ ΕΞΕΤΑΣΕΩΝ ΣΥΝΤΕΛΕΣΤΕΣ

Διαβάστε περισσότερα

Δυαδικό Σύστημα Αρίθμησης

Δυαδικό Σύστημα Αρίθμησης Δυαδικό Σύστημα Αρίθμησης Το δυαδικό σύστημα αρίθμησης χρησιμοποιεί δύο ψηφία. Το 0 και το 1. Τα ψηφία ενός αριθμού στο δυαδικό σύστημα αρίθμησης αντιστοιχίζονται σε δυνάμεις του 2. Μονάδες, δυάδες, τετράδες,

Διαβάστε περισσότερα

Αριθμητικά Συστήματα

Αριθμητικά Συστήματα Αριθμητικά Συστήματα Σε οποιοδήποτε αριθμητικό σύστημα, με βάση τον αριθμό Β, ένας ακέραιος αριθμός με πλήθος ψηφίων ν, εκφράζεται ως ακολούθως: α ν-1 α ν-2 α 1 α 0 = α ν-1 Β ν-1 + α ν-2 Β ν-2 + + α 1

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 9: Ελαχιστοποίηση και Κωδικοποίηση Καταστάσεων, Σχεδίαση με D flip-flop, Σχεδίαση με JK flip-flop, Σχεδίαση με T flip-flop Δρ. Μηνάς

Διαβάστε περισσότερα

Ύλη Λογικού Σχεδιασµού Ι

Ύλη Λογικού Σχεδιασµού Ι 4 η Θεµατική Ενότητα : Συνδυαστική Λογική Ύλη Λογικού Σχεδιασµού Ι Κεφ 2 Κεφ 3 Κεφ 4 Κεφ 6 Συνδυαστική Λογική 2 Εισαγωγή Λογικά Κυκλώµατα Συνδυαστικά: Οι έξοδοι είναι συνάρτηση των εισόδων Ακολουθιακά:

Διαβάστε περισσότερα

Γενικά Στοιχεία Ηλεκτρονικού Υπολογιστή

Γενικά Στοιχεία Ηλεκτρονικού Υπολογιστή Γενικά Στοιχεία Ηλεκτρονικού Υπολογιστή 1. Ηλεκτρονικός Υπολογιστής Ο Ηλεκτρονικός Υπολογιστής είναι μια συσκευή, μεγάλη ή μικρή, που επεξεργάζεται δεδομένα και εκτελεί την εργασία του σύμφωνα με τα παρακάτω

Διαβάστε περισσότερα

ΒΑΣΙΚΕΣ ΑΡΧΕΣ ΨΗΦΙΑΚΗΣ ΤΕΧΝΟΛΟΓΙΑΣ. Κεφάλαιο 3

ΒΑΣΙΚΕΣ ΑΡΧΕΣ ΨΗΦΙΑΚΗΣ ΤΕΧΝΟΛΟΓΙΑΣ. Κεφάλαιο 3 ΒΑΣΙΚΕΣ ΑΡΧΕΣ ΨΗΦΙΑΚΗΣ ΤΕΧΝΟΛΟΓΙΑΣ Κεφάλαιο 3 Δυαδική λογική Με τον όρο λογική πρόταση ή απλά πρόταση καλούμε κάθε φράση η οποία μπορεί να χαρακτηριστεί αληθής ή ψευδής με βάση το νόημα της. π.χ. Σήμερα

Διαβάστε περισσότερα

Εισαγωγή στην επιστήμη των υπολογιστών. Πράξεις με μπιτ

Εισαγωγή στην επιστήμη των υπολογιστών. Πράξεις με μπιτ Εισαγωγή στην επιστήμη των υπολογιστών Πράξεις με μπιτ 1 Πράξεις με μπιτ 2 Αριθμητικές Πράξεις σε Ακέραιους Πρόσθεση, Αφαίρεση, Πολλαπλασιασμός, Διαίρεση 3 Πρόσθεση στη μορφή συμπληρώματος ως προς δύο

Διαβάστε περισσότερα

ξργ Μονάδα επεξεργασίας ξργ δδ δεδομένων Μονάδα ελέγχου

ξργ Μονάδα επεξεργασίας ξργ δδ δεδομένων Μονάδα ελέγχου ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ Κεφάλαιο 3 Κεντρική Μονάδα Επεξεργασίας ξργ Κεντρική Μονάδα Επεξεργασίας ξργ Μονάδα επεξεργασίας ξργ δδ δεδομένων Μονάδα ελέγχου Μονάδα επεξεργασίας ξργ δεδομένων Δομή Αριθμητικής

Διαβάστε περισσότερα

ΘΕΜΑΤΑ & ΕΝΔΕΙΚΤΙΚΕΣ ΛΥΣΕΙΣ

ΘΕΜΑΤΑ & ΕΝΔΕΙΚΤΙΚΕΣ ΛΥΣΕΙΣ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΠΡΟΓΡΑΜΜΑ ΣΠΟΥΔΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Θεματική Ενότητα Ακαδημαϊκό Έτος 2010 2011 Ημερομηνία Εξέτασης Κυριακή 26.6.2011 Ώρα Έναρξης Εξέτασης

Διαβάστε περισσότερα

w x y Υλοποίηση της F(w,x,y,z) με πολυπλέκτη 8-σε-1

w x y Υλοποίηση της F(w,x,y,z) με πολυπλέκτη 8-σε-1 Άσκηση 1 Οι λύσεις απαντήσεις που προτείνονται είναι ενδεικτικές και θα πρέπει να προσθέσετε Α) Αρχικά σχεδιάζουμε τον πίνακα αληθείας της λογικής έκφρασης: w x y z x G1 =x y G2 =z w F = G1 G2 Είσοδοι

Διαβάστε περισσότερα

HY430 Εργαστήριο Ψηφιακών Κυκλωμάτων.

HY430 Εργαστήριο Ψηφιακών Κυκλωμάτων. HY430 Εργαστήριο Ψηφιακών Κυκλωμάτων Διδάσκων: Χ. Σωτηρίου, Βοηθός: (θα ανακοινωθεί) http://inf-server.inf.uth.gr/courses/ce430/ 1 Περιεχόμενα Κυκλώματα Πρόσθεσης Half-adder Full-Adder Σειριακό Κρατούμενο

Διαβάστε περισσότερα

ΑΣΠΑΙΤΕ Εργαστήριο Ψηφιακών Συστημάτων & Μικροϋπολογιστών Εργαστηριακές Ασκήσεις για το μάθημα «Λογική Σχεδίαση» ΑΣΚΗΣΗ 3 ΠΙΝΑΚΕΣ KARNAUGH

ΑΣΠΑΙΤΕ Εργαστήριο Ψηφιακών Συστημάτων & Μικροϋπολογιστών Εργαστηριακές Ασκήσεις για το μάθημα «Λογική Σχεδίαση» ΑΣΚΗΣΗ 3 ΠΙΝΑΚΕΣ KARNAUGH ΑΣΚΗΣΗ 3 ΠΙΝΑΚΕΣ KARNAUGH 3.1 ΣΚΟΠΟΣ Η κατανόηση της απλοποίησης λογικών συναρτήσεων με χρήση της Άλγεβρας Boole και με χρήση των Πινάκων Karnaugh (Karnaugh maps). 3.2 ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ 3.2.1 ΑΠΛΟΠΟΙΗΣΗ

Διαβάστε περισσότερα

Εισαγωγή στην επιστήμη των υπολογιστών

Εισαγωγή στην επιστήμη των υπολογιστών Εισαγωγή στην επιστήμη των υπολογιστών Υπολογιστές και Δεδομένα Κεφάλαιο 3ο Αναπαράσταση Αριθμών www.di.uoa.gr/~organosi 1 Δεκαδικό και Δυαδικό Δεκαδικό σύστημα 2 3 Δεκαδικό και Δυαδικό Δυαδικό Σύστημα

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Άλγεβρα Boole και Λογικές Πύλες 2. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Άλγεβρα Boole και Λογικές Πύλες 2. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Άλγεβρα Boole και Λογικές Πύλες Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Αξιωματικός Ορισμός Άλγεβρας Boole Άλγεβρα Boole: είναι μία

Διαβάστε περισσότερα

Εισαγωγή. Συνδυαστικά: Οι έξοδοι είναι συνάρτηση των εισόδων

Εισαγωγή. Συνδυαστικά: Οι έξοδοι είναι συνάρτηση των εισόδων 4 η Θεµατική Ενότητα : Συνδυαστική Λογική Εισαγωγή Λογικά Κυκλώµατα Συνδυαστικά: Οι έξοδοι είναι συνάρτηση των εισόδων Ακολουθιακά: Οι έξοδοι είναι συνάρτηση των εισόδων και της κατάστασης των στοιχείων

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ 3/02/2019 ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ ΘΕΜΑ 1 ο 1. Να γράψετε στο τετράδιό σας το γράμμα καθεμιάς από τις παρακάτω προτάσεις και δίπλα τη λέξη ΣΩΣΤΟ, αν είναι σωστή ή τη λέξη ΛΑΘΟΣ, αν είναι

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 8 ΠΟΛΥΠΛΕΚΤΕΣ ( MULTIPLEXERS - MUX) ΑΠΟΠΛΕΚΤΕΣ (DEMULTIPLEXERS - DEMUX)

ΑΣΚΗΣΗ 8 ΠΟΛΥΠΛΕΚΤΕΣ ( MULTIPLEXERS - MUX) ΑΠΟΠΛΕΚΤΕΣ (DEMULTIPLEXERS - DEMUX) ΑΣΚΗΣΗ 8 ΠΟΛΥΠΛΕΚΤΕΣ ( MULTIPLEXERS - MUX) ΑΠΟΠΛΕΚΤΕΣ (DEMULTIPLEXERS - DEMUX) 8.1. ΣΚΟΠΟΣ Η κατανόηση της λειτουργίας των πολυπλεκτών και αποπλεκτών και της χρήσης αυτών των ολοκληρωμένων κυκλωμάτων (Ο.Κ.)

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 Μάθημα : Ψηφιακά Ηλεκτρονικά Τεχνολογία ΙΙ, Θεωρητικής Κατεύθυνσης Ημερομηνία

Διαβάστε περισσότερα

1. ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΩΝ. α i. (α i β i ) (1.3) όπου: η= το πλήθος ακεραίων ψηφίων του αριθμού Ν. n-1

1. ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΩΝ. α i. (α i β i ) (1.3) όπου: η= το πλήθος ακεραίων ψηφίων του αριθμού Ν. n-1 1. ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΩΝ 1.1 Εισαγωγή Το δεκαδικό σύστημα (Decimal System) αρίθμησης χρησιμοποιείται από τον άνθρωπο και είναι κατάλληλο βέβαια γι αυτόν, είναι όμως εντελώς ακατάλληλο για τις ηλεκτρονικές

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 3 ΣΥΝΔΥΑΣΤΙΚΑ ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ: ΑΝΑΛΥΣΗ ΚΑΙ ΣΧΕΔΙΑΣΗ

ΑΣΚΗΣΗ 3 ΣΥΝΔΥΑΣΤΙΚΑ ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ: ΑΝΑΛΥΣΗ ΚΑΙ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΗ 3 ΣΥΝΔΥΑΣΤΙΚΑ ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ: ΑΝΑΛΥΣΗ ΚΑΙ ΣΧΕΔΙΑΣΗ Αντικείμενο της άσκησης: Μεθοδολογία ανάλυσης και σχεδίασης συνδυαστικών λογικών κυκλωμάτων και λειτουργική εξομοίωση με το λογισμικό EWB. Συνδυαστικά

Διαβάστε περισσότερα

Τμήμα Χρηματοοικονομικής & Ελεγκτικής ΤΕΙ Ηπείρου Παράρτημα Πρέβεζας. Πληροφορική Ι. Μάθημα 4 ο Πράξεις με bits. Δρ.

Τμήμα Χρηματοοικονομικής & Ελεγκτικής ΤΕΙ Ηπείρου Παράρτημα Πρέβεζας. Πληροφορική Ι. Μάθημα 4 ο Πράξεις με bits. Δρ. Τμήμα Χρηματοοικονομικής & Ελεγκτικής ΤΕΙ Ηπείρου Παράρτημα Πρέβεζας Πληροφορική Ι Μάθημα 4 ο Πράξεις με bits Δρ. Γκόγκος Χρήστος Κατηγορίες πράξεων με bits Πράξεις με δυαδικά ψηφία Αριθμητικές πράξεις

Διαβάστε περισσότερα

"My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch

My Binary Logic Ένας προσομοιωτής λογικών πυλών στο Scratch "My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch Καραγιάννη Ελένη 1, Καραγιαννάκη Μαρία-Ελένη 2, Βασιλειάδης Αθανάσιος 3, Κωστουλίδης Αναστάσιος-Συμεών 4, Μουτεβελίδης Ιωάννης-Παναγιώτης 5,

Διαβάστε περισσότερα

Πρόγραμμα Επικαιροποίησης Γνώσεων Αποφοίτων

Πρόγραμμα Επικαιροποίησης Γνώσεων Αποφοίτων Πρόγραμμα Επικαιροποίησης Γνώσεων Αποφοίτων ΕΝΟΤΗΤΑ Μ ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ Εκπαιδευτής: Γ. Π. ΠΑΤΣΗΣ, Επικ. Καθηγητής, Τμήμα Ηλεκτρονικών Μηχανικών, ΤΕΙ Αθήνας ΜΕΘΟΔΟΣ ΑΠΛΟΠΟΙΗΣΗΣ ΛΟΓΙΚΗΣ ΣΥΝΑΡΤΗΣΗΣ ΜΕ

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Αριθμητικά Συστήματα. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Αριθμητικά Συστήματα. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Αριθμητικά Συστήματα Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Αριθμητικά Συστήματα Δεκαδικό Σύστημα: Βάση το 10, ψηφία 10 και συντελεστές

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 1. Συστήματα Αριθμών

Ψηφιακά Συστήματα. 1. Συστήματα Αριθμών Ψηφιακά Συστήματα 1. Συστήματα Αριθμών Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd Thomas L.,

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ ΤΕΙ ΙΟΝΙΩΝ ΝΗΣΩΝ ΤΜΗΜΑ ΕΦΑΡΜΟΓΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΣΤΗ ΔΙΟΙΚΗΣΗ ΚΑΙ ΣΤΗΝ ΟΙΚΟΝΟΜΙΑ 7 Ο ΜΑΘΗΜΑ ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ ΑΠΟΣΤΟΛΙΑ ΠΑΓΓΕ Περιεχόμενα 2 Δυαδικό Σύστημα Προσημασμένοι δυαδικοί αριθμοί Αφαίρεση

Διαβάστε περισσότερα

Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα

Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα επαναληπτικής εξέτασης 2016 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

6. Σχεδίαση Κυκλωμάτων Λογικής Κόμβων (ΚΑΙ), (Η)

6. Σχεδίαση Κυκλωμάτων Λογικής Κόμβων (ΚΑΙ), (Η) 6. Σχεδίαση Κυκλωμάτων Λογικής Κόμβων (ΚΑΙ), (Η) 6. Εισαγωγή Όπως έχουμε δει οι εκφράσεις των λογικών συναρτήσεων για την συγκεκριμένη σχεδίαση προκύπτουν εύκολα από χάρτη Καρνώ -Karnaugh. Έτσι βρίσκουμε

Διαβάστε περισσότερα

Οργάνωση Υπολογιστών

Οργάνωση Υπολογιστών Οργάνωση Υπολογιστών Επιμέλεια: Γεώργιος Θεοδωρίδης, Επίκουρος Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών 1 Άδειες Χρήσης Το παρόν υλικό

Διαβάστε περισσότερα