Εργαστήριο 10: Μηχανές Πεπερασµένων Καταστάσεων (Finite State Machines - FSM)

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Εργαστήριο 10: Μηχανές Πεπερασµένων Καταστάσεων (Finite State Machines - FSM)"

Transcript

1 1 of 6 16/12/2003 9:23 µµ ΗΥ-120: Ψηφιακή Σχεδίαση Φθινόπωρο 2003 Τµ. Επ. Υπολογιστών Πανεπιστήµιο Κρήτης Εργαστήριο 10: Μηχανές Πεπερασµένων Καταστάσεων (Finite State Machines - FSM) Ιανουαρίου 2004 [Βιβλίο: προαιρετικά µπορείτε να διαβάσετε µέρος της 6.4 (σελ ) και σελίδες (από την 6.7).] 10.1 Ακολουθιακά Κυκλώµατα: Έχουµε πει ( 7.6) ότι "συνδυαστικά" (combinational) κυκλώµατα λέµε αυτά που δεν έχουν µνήµη, άρα οι τιµές εξόδου τους εξαρτώνται µόνο από τις παρούσες τιµές των εισόδων τους και όχι από το παρελθόν. Αντίθετα, "ακολουθιακά" (sequential) κυκλώµατα λέµε αυτά που έχουν µνήµη, κι έτσι η συµπεριφορά τους εξαρτάται όχι µόνο από το τι "βλέπουν" τώρα στις εισδους τους, αλλά και από την "κατάσταση" (state) στην οποία βρίσκονται, και στην οποία κατάσταση έχουν περιέλθει λόγω του παρελθόντος --στα ακολουθιακά κυκλώµατα συνηθίζουµε να ονοµάζουµε "κατάσταση" τις δυαδικές τιµές που είναι αποθηκευµένες στο σύνολο των στοιχείων µνήµης του κυκλώµατος. Στο σχήµα δεξιά φαίνεται µιά γενική αναπαράσταση ενός ακολουθιακού κυκλώµατος, η οποία δείχνει ότι αυτό αποτελείται (α) από τα στοιχεία µνήµης (flip-flops) που αποθηκεύουν την κατάσταση του κυκλώµατος, και (β) από ένα συνδυαστικό κύκλωµα το οποίο προσδιορίζει: (β1) τις εξόδους σαν συναρτήσεις των εισόδων και της κατάστασης, και (β2) την επόµενη κατάσταση, πάλι σαν συνάρτηση των εισόδων και της παρούσας κατάστασης. Η κατάσταση αποτελεί, τρόπον τινά, µιά "περίληψη" όλου του παρελθόντος --σε κάθε σύστηµα, γιά κάθε εφαρµογή, ο σχεδιαστής αποφασίζει πόσο µεγάλη και λεπτοµερή τέτοια "περίληψη" χρειάζεται να κρατάµε. Εδώ θα µελετήσουµε τις " Μηχανές Πεπερασµένων Καταστάσεων (Finite State Machines - FSM). Από µιάν άποψη, όλα τα ακολουθιακά κυκλώµατα είναι µηχανές πεπερασµένων καταστάσεων, διότι, αν έχουν n bits µνήµης (κατάστασης), τότε βρίσκονται ανά πάσα στιγµή σε µιάν από τις 2 n διαφορετικές καταστάσεις τις οποίες διαθέτουν --οι οποίες και είναι πάντα πεπερασµένες. Όµως, συνηθίζουµε να αναφερόµαστε µε τον όρο "FSM" κυρίως σε εκείνα τα ακολουθιακά κυκλώµατα τα οποία έχουν σχετικά λίγες καταστάσεις, και των οποίων τη λειτουργία µπορούµε να περιγράψουµε και να καταλάβουµε καλύτερα αν µιλήσουµε γιά το πώς η κάθε µιά κατάστασή τους, σε συνδυασµό µε τις τιµές εισόδου, καθορίζει τις εξόδους και την επόµενη κατάσταση συνήθως, η περιγραφή αυτή γίνεται µε ένα " ιάγραµµα (Μετάβασης) Καταστάσεων " (State (Transition) Diagram), όπως θα δούµε αµέσως παρακάτω. Μεγαλύτερα ακολουθιακά κυκλώµατα, µε πολλά bits µνήµης, συνήθως τα µελετάµε και τα σχεδιάζουµε κόβοντάς τα σε υποκυκλώµατα, µερικά από τα οποία τα περιγράφουµε σαν FSM's ενώ άλλα τα περιγράφουµε και τα µελετάµε µε άλλους τρόπους (µνήµες RAM, datapaths --που θα πούµε αργότερα-- κλπ) Μετρητές, και το ιάγραµµα Καταστάσεών τους: Στο παράγραφο 8.2 είδαµε ένα κύκλωµα µετρητή µε χρήση αθροιστή. Εδώ δίπλα φαίνεται µιά παραλλαγή αυτού του κυκλώµατος: είναι τρίµπιτο αντί τετράµπιτο, γιά απλότητα του σχεδίου, και αντί παράλληλης φόρτωσης (αρχικοποίησης) µε αυθαίρετο αριθµό υπάρχει µόνο η δυνατότητα µηδενισµού, µέσω της εισόδου reset' επίσης, αντί δύο µανταλωτών σε σειρά, φυσικά, εδώ χρησιµοποιούµε έναν ακµοπυροδότητο καταχωρητή. Το ακολουθιακό αυτό κύκλωµα µετρητή αποτελείται από έναν τρίµπιτο καταχωρητή, που κρατά την κατάσταση του κυκλώµατος, και από ένα συνδυαστικό κύκλωµα που αποτελείται από τον πολυπλέκτη, τον αθροιστή, και τις πύλες AND. Η µία πύλη AND στο σχήµα παριστάνει στην πραγµατικότητα 3 πύλες, µε κοινή πρώτη είσοδο "reset'" και µε δεύτερη είσοδο από ένα από τα bits του αθροίσµατος, καθεµία. Όταν reset'=1, οι 3 έξοδοι των πυλών AND ταυτίζονται µε το άθροισµα, ενώ όταν reset'=0, οι 3 αυτές έξοδοι είναι 000. Κάτω από το κύκλωµα φαίνεται το διάγραµµα καταστάσεων αυτού του µετρητή. Οι 8 κύκλοι παριστάνουν τις 8 διαφορετικές καταστάσεις στις οποίες αυτός µπορεί να βρίσκεται, αφού έχει 3 bits κατάστασης. Τα βέλη παριστάνουν τις µεταβάσεις καταστάσεων: εάν σε δεδοµένο κύκλο ρολογιού το κύκλωµα βρίσκεται σε δεδοµένη κατάσταση, και είναι δεδοµένες οι τιµές των εισόδων του, τότε το αντίστοιχο βέλος δείχνει σε ποιάν επόµενη κατάσταση θα πάει το κύκλωµα στον επόµενο κύκλο ρολογιού. Τα µπλέ βέλη, που σηµειώνονται µε "u" (από "up", δηλ. µέτρηση προς τα επάνω), αντιστοιχούν στην τιµή εισόδου ελέγχου του πολυπλέκτη που επιλέγει την είσοδο +1 (και ενώ ταυτόχρονα η είσοδος reset' είναι 1) υπ' αυτές τις συνθήκες, η επόµενη κατάσταση είναι εκείνη µε κωδικό κατά 1 µεγαλύτερο από την παρούσα. Τα κόκκινα βέλη, που σηµειώνονται µε "d" (down - κάτω), αντιστοιχούν στην είσοδο που επιλέγει το -1, και

2 2 of 6 16/12/2003 9:23 µµ µας µεταφέρουν στην κατάσταση µε κωδικό κατά 1 µικρότερο της παρούσας. Τα βέλη που σηµειώνονται µε "n" (noop - καµιά πράξη) αντιστοιχούν στην είσοδο που επιλέγει το 0, και γι' αυτό η επόµενη κατάσταση ταυτίζεται µε την παρούσα. Κάθε ακολουθιακό κύκλωµα πρέπει να έχει έναν τρόπο αρχικοποίησης ( reset ) όταν του πρωτοδίνουµε τάση τροφοδοσίας, ή σε περίπτωση (έκτακτης) "ανάγκης" --όταν "χάνουµε το λογαριασµό" σε ποιά κατάσταση βρίσκεται, ή όταν πάει και "κολλάει" σε µιά κατάσταση και δεν ξέρουµε πώς αλλοιώς να το βγάλουµε από εκεί. Όπως έχουµε πει, όταν πρωτοανάβουµε την τροφοδοσία ενός flip-flop, αυτό πηγαίνει τυχαία σε µιάν από τις δύο σταθερές καταστάσεις του, 0 ή 1, και δεν υπάρχει τρόπος να προβλεφθεί σε ποιάν από τις δυό θα πάει (σαν να ρίχνεις ένα κέρµα "κορώνα-γράµµατα"). Έτσι, η κατάσταση εκκίνησης ενός ακολουθιακού κυκλώµατος είναι τυχαία, και πρέπει να υπάρχει τρόπος, στη συνέχεια, αυτό να έλθει σε γνωστή και επιθυµητή αρχική κατάσταση. Στο παραπάνω κύκλωµα, η αρχικοποίηση γίνεται ενεργοποιώντας το σήµα "reset", δηλαδή reset'=0, οπότε η επόµενη κατάσταση είναι πάντα η 0, ανεξαρτήτως προηγούµενης κατάστασης και των άλλων εισόδων. Στο διάγραµµα καταστάσεων, αυτό παριστάνεται µε τα µάυρα βέλη που σηµειώνονται µε "r'" (έχει παραληφθεί ένα ακόµα τέτοιο βέλος, από την κατάσταση 0 στον εαυτό της, που έπρεπε να υπάρχει αλλά δεν χωρούσε στο σχήµα) Παράδειγµα FSM: Φώτα Κυκλοφορίας σε ιασταύρωση Οδών Το πρώτο µας παράδειγµα µηχανής πεπερασµένων καταστάσεων (FSM) θα έχει να κάνει µε τον χρονοπρογραµµατισµό (scheduling) κοινόχρηστων πόρων. Θα χρησιµοποιήσουµε σαν παράδειγµα µιά διασταύρωση δρόµων, αλλά οι ιδέες είναι ίδιες π.χ. µε δροµολόγηση πακέτων πληροφοριών σε δίκτυα υπολογιστών, ή µε την εναλλάξ εκτέλεση διεργασιών (προγραµµάτων) σ' έναν υπολογιστή που χρησιµοποιεί πολυπρογραµµατισµό. Ας φανταστούµε δύο µονόδροµους, A και B, οι οποίοι συµβάλουν, όπως δείχνει το σχήµα δεξιά ο A είναι κεντρικός, ενώ ο B είναι πάροδος. Στη διασταύρωσή τους υπάρχουν φώτα κυκλοφορίας, Ago και Bgo (1=πράσινο, 0=κόκκινο). Θέλουµε να σχεδιάσουµε το κύκλωµα ελέγχου των φαναριών. Στις πιό εξελιγµένες παραλλαγές του, το κύκλωµα αυτό θα χρησιµοποιεί σαν εισόδους του δύο ανιχνευτές αυτοκινήτων (car detectors), Ad και Bd: όταν υπάρχει αυτοκίνητο που περιµένει να περάσει από το φανάρι A, τότε Ad=1, αλλοιώς Ad=0, και οµοίως γιά το δρόµο B. Γιά απλοποίηση της συζήτησής µας θα θεωρήσουµε ότι υπάρχει ένα ρολόϊ, ck, που κάθε περίοδος του επαρκεί γιά τη διέλευση ακριβώς ενός αυτοκινήτου από τη διασταύρωση στην αρχή της περιόδου, η FSM ελέγχου λαµβάνει τις εισόδους Ad και Bd που την ενηµερώνουν γιά την ύπαρξη ή µη αυτοκινήτων στον κάθε δρόµο, αµέσως µετά ανάβει πράσινο στο κατάλληλο φανάρι, Ago ή Bgo, και κατά την υπόλοιπη περίοδο του ρολογιού περνάει το αυτοκίνητο που έχει πράσινο. Θα µελετήσουµε πέντε παραλλαγές του κυκλώµατος ελέγχου, µε σταδιακή βελτίωση των χαρακτηριστικών του Κλασσικά φανάρια, χωρίς ανιχνευτές, µε αναλογία 1 προς 1: Τα συνηθισµένα φώτα κυκλοφορίας δεν έχουν ανιχνευτές αυτοκινήτων, κι έτσι δεν µπορούν να προσαρµοστούν στις πραγµατικές συνθήκες κυκλοφορίας --απλώς αναβοσβήνουν περιοδικά το κόκκινο και το πράσινο µε συγκεκριµένη διάρκεια γιά τον κάθε δρόµο. Στην απλούστερη περίπτωση, στο παραπάνω παράδειγµα, το πράσινο θα ανάβει εναλλάξ στους δύο δρόµους, γιά διάστηµα ενός κύκλου ρολογιού --δηλαδή επαρκές γιά ένα αυτοκίνητο-- κάθε φορά. Το κύκλωµα ελέγχου σε αυτή την περίπτωση θα είναι η απλούστατη FSM τύπου µετρητή µε 2 καταστάσεις που φαίνεται στο σχήµα. Η FSM αυτή δεν έχει εισόδους, και οι µεταβάσεις καταστάσεων γίνονται πάντα µε τον ίδιο τρόπο, χωρίς συνθήκη γιά το λόγο αυτό, στα βέλη σηµειώνουµε "1" (πάντα αληθές), δηλαδή η αντίστοιχη µετάβαση γίνεται πάντα. Προφανώς, η FSM αυτή εναλλάσει συνεχώς κατάσταση µεταξύ της "Ago" (πράσινο στον A) και της "Bgo" (πράσινο στον B). Το απλούστατο αυτό κύκλωµα ελέγχου έχει µιά σειρά από µειονεκτήµατα: Περιττές καθυστερήσεις υπό ελαφρύ φορτίο: λέµε ότι το σύστηµα λειτουργεί υπό ελαφρύ φορτίο όταν περνάνε πολύ λίγα αυτοκίνητα, "αραιά και πού". Υπ' αυτές τις συνθήκες, όταν ένα αυτοκίνητο φτάνει στη διασταύρωση, συνήθως δεν θα υπάρχει αυτοκίνητο στον άλλο δρόµο, άρα θα µπορούσε να περάσει αµέσως. Παρ' όλα αυτά, το φανάρι µε το κύκλωµα ελέγχου που µόλις σχεδιάσαµε µπορεί να τύχει να είναι κόκκινο (µε πιθανότητα 50%), οπότε αυτό προκαλεί καθυστέρηση χωρίς λόγο. Έλλειψη δικαιοσύνης υπό βαρύ φορτίο: λέµε ότι το σύστηµα λειτουργεί υπό βαρύ φορτίο όταν υπάρχει πολλή κίνηση, άρα σχηµατίζεται ουρά πίσω από τα φανάρια, και στους δύο δρόµους. Υπ' αυτές τις συνθήκες, το κύκλωµα ελέγχου που µόλις σχεδιάσαµε αφήνει να περνούν τα αυτοκίνητα A και τα αυτοκίνητα B µε αναλογία 1 προς 1 όµως, δεδοµένου ότι ο A είναι κεντρικός δρόµος ενώ ο B είναι πάροδος, η αναλογιά αυτή δεν είναι δίκαια. Ανεπαρκής αξιοποίηση πόρων υπό ασύµµετρο φορτίο: λέµε ότι το σύστηµα λειτουργεί υπό ασύµµετρο φορτίο όταν ο ένας δρόµος έχει πολλή κίνηση και ο άλλος λίγη. Υπ' αυτές τις συνθήκες, το κύκλωµα ελέγχου που µόλις σχεδιάσαµε αφήνει να περνά µόνο ένα αυτοκίνητο κάθε 2 χρόνους από τον βεβαρυµένο δρόµο, δηλαδή ρυθµός ροής µόνο 50 % της µέγιστης εφικτής παροχής, ακόµα και όταν στον άλλο δρόµο δεν υπάρχει κανένα αυτοκίνητο.

3 3 of 6 16/12/2003 9:23 µµ Κλασσικά φανάρια, χωρίς ανιχνευτές, µε αναλογία 2 προς 1: Την αδικία προς τον κεντρικό δρόµο υπό βαρύ φορτίο, λόγω αναλογίας 1:1 στη διάρκεια του πράσινου στους δύο δρόµους, µπορούµε να την διορθώσουµε προσθέτονας καταστάσεις στον παραπάνω µετρητή, όπως φαίνεται στο διάγραµµα καταστάσεων της νέας FSM, δίπλα. Εδώ, το πράσινο στον δροµο A ανάβει σε δύο από τις τρείς καταστάσεις, ενώ στον δρόµο B µόνο σε µία έτσι, υπό βαρύ φορτίο, η αναλογία παροχής A:B είναι 2:1, και η µέγιστη παροχή A έχει αυξηθεί στα 2/3 ενώ η µέγιστη παροχή B έχει µειωθεί στο 1/3. Φυσικά, συνεχίζουν να υπάρχουν περιττές καθυστερήσεις υπό ελαφρύ φορτίο και ανεπαρκής αξιοποίηση υπό ασύµµετρο φορτίο --τώρα µάλιστα οι καθυστερήσεις στο δρόµο B έχουν αυξηθεί και η µέγιστη παροχή του έχει µειωθεί Συνδυαστικό κύκλωµα σταθερής προτεραιότητας (απλό "STOP"): Οι περιττές καθυστερήσεις υπό ελαφρύ φορτίο και η ανεπαρκής αξιοποίηση υπό ασύµµετρο φορτίο είναι αδύνατον να διορθωθούν χωρίς ανιχνευτές αυτοκινήτων, διότι χωρίς αυτούς το κύκλωµα ελέγχου είναι "τυφλό": δεν έχει τρόπο να ξέρει τις πραγµατικές συνθήκες κυκλοφορίας. Έστω τώρα ότι έχουµε τις εισόδους Ad και Bd από τους ανιχνευτές. Ας προσπαθήσουµε κατ' αρχήν να φτιάξουµε το κύκλωµα ελέγχου σαν σκέτο συνδυαστικό κύκλωµα, χωρίς µνήµη. Φυσικά, όταν υπάρχει αυτοκίνητο µόνο σε έναν από τους δύο δρόµους, πρέπει να το αφήνουµε να περνάει, τώρα που ξέρουµε τι γίνεται σε κάθε δρόµο την κάθε στιγµή. Το πρόβληµα είναι όταν Ad=1 και Bd=1, δηλαδή υπάρχουν αυτοκίνητα και στους δύο δρόµους. Αφού δεν θυµόµαστε τίποτα από το παρελθόν (συνδυαστικό κύκλωµα), και αφού ο δρόµος A είναι κεντρικός ενώ ο B είναι πάροδος, λογικό είναι να δώσουµε προτεραιότητα στον A, άρα: Ago = Ad, και Bgo = (Ad') (Bd) --δηλαδή αν υπάρχει αυτοκίνητο στον A του ανάβουµε πράσινο και το αφήνουµε να περάσει, ενώ αν δεν υπάρχει αυτοκίνητο στον A και υπάρχει στον B τότε ανάβουµε πράσινο στον B. Σαν συνδυαστικό που είναι το κύκλωµα αυτό αποφασίζει χρησιµοποιώντας µόνο τα δεδοµένα της στιγµής --χωρίς καµία γνώση από το παρελθόν-- άρα στην πράξη υλοποιείται χωρίς φανάρια, µε µιά σκέτη πινακίδα "STOP" στο δευτερεύοντα δρόµο B. Το κύκλωµα ελέγχου αυτό δεν εισάγει περιττές καθυστερήσεις υπό ελαφρύ φορτίο, διότι κάθε αυτοκίνητο περνάει αµέσως µόλις έρθει αν δεν υπάρχει αυτοκίνητο στον άλλο δρόµο (ελαφρύ φορτίο). Επίσης, υπό ασύµµετρο φορτίο, προσφέρει πλήρη αξιοποίηση πόρων: όποτε υπάρχει αυτοκίνητο σε κάποιο δρόµο εισόδου, περνάει κάποιο από αυτά στην έξοδο, άρα η έξοδος ποτέ δεν µένει ανεκµετάλλευτη τη στιγµή που υπάρχουν αυτοκίνητα που θέλουν να περάσουν. Όµως, το κύκλωµα αυτό πάσχει από µεγάλη έλλειψη δικαιοσύνης προς τα αυτοκίνητα B: µόνο όποτε και εάν δεν υπάρχει κανένα αυτοκίνητο A µπορεί να περάσει ένα αυτοκίνητο B. Η αδικία αυτή δεν αποκλείεται να φτάσει στην ακραία µορφή του λεγόµενου φαινόµενου "λιµοκτονίας" (starvation): εάν συνεχώς υπάρχουν αυτοκίνητα A, ένα αυτοκίνητο B δεν θα εξυπηρετηθεί ποτέ Προσαρµοστικός Έλεγχος (µε αναλογία 1 προς 1): Η αδικία της τελευταίας λύσης προέρχεται από την έλλειψη µνήµης στο κύκλωµα: όσο πολλά αυτοκίνητα A και να έχουν περάσει πρόσφατα, ο ελεγκτής δεν το ξέρει, κι έτσι αφήνει ακόµα ένα να περάσει. Η έλλειψη αυτή διορθώνεται µε την απλή FSM που φαίνεται στο σχήµα δίπλα. Εδώ έχουµε 1 bit µνήµης (µόνο), µέσω του οποίου θυµόµαστε από ποιόν δρόµο ήλθε το τελευταίο αυτοκίνητο που πέρασε. Εάν το τελευταίο ήταν από τον A και τώρα υπάρχει αυτοκίνητο στον B, το αφήνουµε να περάσει αντίθετα, αν το τελευταίο ήταν από τον B, τώρα δίνουµε προτεραιότητα στον A: προτεραιότητα θα έχει ο άλλος δρόµος από αυτόν που εξυπηρετήθηκε την τελευταία φορά. Φυσικά, εάν δεν υπάρχει αυτοκίνητο στο δρόµο µε την προτεραιότητα, αφήνουµε να περάσει το αυτοκίνητο από τον άλλο δρόµο, εάν υπάρχει. Η επόµενη κατάσταση είναι ανάλογη µε το ποιός τελικά πέρασε, ενώ αν δεν πέρασε κανείς (διότι δεν υπήρχε κανείς) η κατάσταση παραµένει αµετάβλητη. Πάνω στα βέλη του διαγράµµατος καταστάσεων σηµειώνουµε τη συνθήκη µετάβασης (µαύρο), αλλά και την έξοδο που πρέπει να ενεργοποιηθεί (µπλέ). Ας δούµε τώρα πώς συνθέτουµε το κύκλωµα µιάς FSM από το διάγραµµα καταστάσεών της. Πρώτα πρέπει να αποφασίσουµε πόσα bits κατάστασης θα χρειαστούµε, και µε ποιό συνδυασµό τιµών τους θα παραστήσουµε την κάθε κατάσταση του διαγράµµατος. Φυσικά, υπάρχουν πολλές δυνατές επιλογές η επιλογή µας δεν θα επηρεάσει την εξωτερική συµπεριφορά της FSM, αλλά είναι πολύ πιθανό να επηρεάσει την πολυπλοκότητα του κυκλώµατος υλοποίησης --εδώ, πάντως, δεν υπάρχει εύκολος κανόνας γιά τον τρόπο που συµφέρει να γίνει η κωδικοποίηση των καταστάσεων. Στο παρόν παράδειγµά µας, οι καταστάσεις είναι δύο, άρα αρκεί ένα bit γιά την κωδικοποίησή τους ας το ονοµάσουµε S, και ας θεωρήσουµε ότι S=0 σηµαίνει ότι "το τελευταίο ήταν A", ενώ S=1 σηµαίνει ότι "το τελευταίο ήταν B". Εάν ονοµάσουµε ns την επόµενη κατάσταση, τότε ο πίνακας αληθείας του συνδυαστικού κυκλώµατος µέσα στην FSM είναι ο παρακάτω (αριστερά), από τον οποίον προκύπτουν οι έξοδοι του κυκλώµατος σαν συναρτήσεις των εισόδων του (δεξιά): S Ad Bd Ago Bgo ns Ago = Ad [ S + (S') (Bd') ] Bgo = Bd [ S' + (S) (Ad') ] ns = S Ad' + S' Bd

4 4 of 6 16/12/2003 9:23 µµ Στην FSM αυτή, ειδική αρχικοποίηση δεν απαιτείται, διότι οιαδήποτε αρχική κατάσταση είναι έγκυρη και αποδεκτή Προσαρµοστικός έλεγχος µε αναλογία 2 προς 1: Η τελευταία FSM διατηρεί όλες τις καλές ιδιότητες του προσαρµοστικού ελέγχου (adaptive control), δηλαδή του ελέγχου που παρακολουθεί το φορτίο του συστήµατος και προσαρµόζεται σε αυτό: υπό ελαφρύ φορτίο ποτέ δεν προκαλεί περιττές καθυστερήσεις, και υπό ασύµµετρο φορτίο προσφέρει πλήρη χρήση πόρων. Επίσης, αίρει την κατάφορη αδικία στο δρόµο B, δίνοντάς του ισότιµη µεταχείριση µε τον δρόµο A. Μένει τώρα να διορθώσουµε την αναλογία παροχής υπό βαρύ φορτίο από 1:1 σε κάτι ορθότερο, δεδοµένου ότι η A είναι κύρια οδός και η B είναι πάροδος αυτό µπορεί να επιτευχθεί µε την τροποποιηµένη FSM που φαίνεται δίπλα. Εδώ, ξεχωρίζουµε την περίπτωση (κατάσταση) όπου το ένα τελευταίο αυτοκίνητο ήταν A ενώ το προηγούµενό του ήταν B από την περίπτωση που και τα δύο τελευταία αυτοκίνητα ήταν A στην πρώτη περίπτωση αφήνουµε να περάσει και δεύτερο A κατά προτεραιότητα, ενώ στη δεύτερη περίπτωση δίνουµε προτεραιότητα στο B. Υπό βαρύ φορτίο η FSM αυτή εξυπηρετεί τους δρόµους A και B µε αναλογία 2:1, ενώ επίσης διατηρεί και όλα τα άλλα πλεονεκτήµατα των δύο τελευταίων λύσεων, δηλαδή τελικά λύνει όλα τα παραπάνω µειονεκτήµατα της λύσης της Άσκηση 10.4: Σχεδίαση της FSM (5) γιά τη ιασταύρωση των Οδών [Κάντε την πριν το εργαστήριο και παραδώστε την µε την αναφορά σας.] Σχεδιάστε την τελευταία παραπάνω FSM της περίπτωσης 5 (προσαρµοστικός έλεγχος µε αναλογία 2 προς 1), όπως σχεδιάσαµε και την FSM 4, δηλαδή εκφράστε όλες τις εξόδους του συνδυαστικού κυκλώµατος σαν συναρτήσεις των εισόδων του. Κωδικοποιήστε τις 3 καταστάσεις της FSM µε δύο bits κατάστασης, S1-S0, ως εξής: 00 = "το ένα τελευταίο ήταν A", 01 = "τα δύο τελευταία ήταν A", 10 = "το τελευταίο ήταν B". Μεταφράστε το διάγραµµα καταστάσεων στον πίνακα αληθείας των εξόδων Ago, Bgo, ns1, και ns0 σαν συναρτήσεις των εισόδων S1, S0, Ad, και Bd. Παρατηρήστε ότι οι γραµµές του πίνακα µε S1-S0 = 11 δεν καλύπτονται από το διάγραµµα καταστάσεων, δεδοµένου ότι η 11 είναι αχρησιµοποίητη κατάσταση. Αρχικά, αφήστε τις εξόδους, στις γραµµές αυτές, σαν συνθήκες αδιαφορίας ( 4.3 ), γιά να διευκολυνθεί η απλοποίηση των συναρτήσεων των εξόδων. Στη συνέχεια όµως, ελέγξτε ποιά σας προέκυψε σαν επόµενη κατάσταση µετά την 11 γιά τις διάφορες τιµές των εισόδων: το κύκλωµα πρέπει να εγκαταλείπει αυτή την "παράνοµη" κατάσταση όσο πιό γρήγορα γίνεται, αν τύχει να βρεθεί εκεί όταν πρωτοανάβει η τροφοδοσία. Γιά να είστε εντελώς εντάξει, πρέπει και να σιγουρευτείτε και ότι δεν θα ανάψουν ποτέ και τα δύο πράσινα µαζί (Ago=Bgo=1), ακόµα και όταν το κύκλωµα βρεθεί στην "παράνοµη" κατάσταση Κώδικες Μεταβλητού Μήκους: Κωδικοποίηση Huffman Το επόµενο παράδειγµα FSM που θα δούµε είναι από µιάν άλλη ενδιαφέρουσα περιοχή, τους κώδικες µεταβλητού µήκους, οι οποίοι έχουν σηµαντικές εφαρµογές στις ψηφιακές επικοινωνίες και οµοιότητες µε την αναγνώριση γραµµατικών από τους µεταφραστές (compilers). Ξέρουµε ότι µε n bits µπορούµε να κωδικοποιήσουµε 2 n διαφορετικά σύµβολα (εργαστήριο 1) οι κώδικες εκείνοι είναι σταθερού µήκους (ή πλάτους), διότι κωδικοποιούν όλα τα σύµβολα µε το ίδιο πλήθος bits, καθένα. Οι κώδικες σταθερού πλάτους βολεύουν όταν τα σύµβολα αποθηκεύονται σε µνήµες και όταν µεταφέρουµε τα bits τους όλα µαζί, εν παραλλήλω (π.χ. µέσα στο datapath επεξεργαστών). Όµως, όταν τα bits της κωδικοποίησης µεταδίδονται σειριακά, το ένα µετά το άλλο, µέσα από ένα κανάλι επικοινωνίας, χρησιµοποιούνται και κώδικες µεταβλητού µήκους (variable length), όταν αυτοί µπορούν να επιτύχουν µετάδοση της πληροφορίας µε λιγότερα συνολικά bits. Οι κώδικες αυτοί πήραν το όνοµά τους από τον Huffman ο οποίος τους µελέτησε. Γιά παράδειγµα, θεωρήστε ότι θέλουµε να στέλνουµε µηνύµατα αποτελούµενα από µακρές ακολουθίες τεσσάρων (4) συµβόλων, των A, B, C, και D --δηλαδή το αλφάβητό µας έχει αυτά τα 4 γράµµατα/σύµβολα. Ξέρουµε ότι αυτά µπορούµε να τα παραστήσουµε µ' ένα κώδικα σταθερού µήκους 2 bits ανά σύµβολο: 00, 01, 10, και 11. Έστω τώρα ότι στα µηνύµατά µας η συχνότητα µε την οποία εµφανίζονται τα διάφορα σύµβολα δεν είναι η ίδια: υπάρχουν σύµβολα πολύ συχνά και άλλα πολύ σπάνια. Σ' αυτή την περίπτωση, ένας κώδικας µεταβλητού µήκους µπορεί να µεταδώσει τα µηνύµατά µας µε λιγότερα bits συνολικά και κατά µέσον όρο. Ας πούµε, στο παραπάνω παράδειγµα, ότι στα µηνύµατά µας το 50 % των εµφανιζοµένων συµβόλων είναι A, το 25 % είναι B, το 12.5 % είναι C, και το 12.5 % είναι D, και ας θεωρήσουµε τον κώδικα µεταβλητού µήκους: A: 0, B: 10, C: 110, D: 111. Τότε, γιά κάθε π.χ σύµβολα που µεταδίδονται, χρειάζονται περίπου 500 bits γιά τη µετάδοση των περίπου 500 A που υπάρχουν κατά µέσον όρο εκεί (1 bit ανά A), συν άλλα 500 bits γιά τα 250 B (2 bits ανά B), σύν 375 bits γιά τα 125 C (3 bits ανά C), σύν 375 bits γιά τα D. Το σύνολο είναι 1750 bits γιά κάθε 1000 µεταδιδόµενα σύµβολα, ή 1.75 bits/σύµβολο κατά µέσον όρο, πράγµα σηµαντικά χαµηλότερο από τα 2 bits/σύµβολο του κώδικα σταθερού πλάτους. Το κλειδί γιά την επίτευξη αυτής της οικονοµίας είναι το να αφιερώνουµε τους (λίγους) κώδικες µικρού µήκους στα σύµβολα εκείνα που εµφανίζονται περισσότερο συχνά, σε βάρος των σπανιότερων συµβόλων που παρίστανται µε περισσότερα bits. Ο γνωστός µας από παλιά κώδικας Μορς είναι φτιαγµένος µε παρόµοιο τρόπο, εκµεταλλευόµενος το γεγονός ότι στις ανθρώπινες γλώσσες ορισµένα γράµµατα είναι πολύ συχνότερα από άλλα.

5 5 of 6 16/12/2003 9:23 µµ Ο παραπάνω κώδικας έχει φτιαχτεί προσεκτικά ούτως ώστε να είναι εφικτή η κατ' ευθείαν αναγνώριση του κάθε σύµβολου αµέσως µόλις φτάσει το τελευταίο bit της κωδικοποιηµένης µορφής του, χωρίς διφορούµενα και χωρίς την ανάγκη να περιµένουµε πρώτα να δούµε τα επόµενα bits του µηνύµατος. Η ιδιότητα αυτή υπάρχει επειδή ο κώδικας κάθε βραχύτερου σύµβολου δεν αποτελεί πρόθεµα του κώδικα κανενός από τα µακρύτερα σύµβολα. Παρόµοια ιδιότητα έχουν και οι αριθµοί τηλεφώνου, όπου τα πρώτα ψηφία (πρόθεµα) καθορίζουν µονοσήµαντα το συνολικό µήκος και το είδος του αριθµού. Την ιδιότητα αυτή δεν θα την είχε π.χ. ο κώδικας A=0, B=1, C=10, D=11: µε αυτόν τον κώδικα, το µήνυµα 110 µπορεί να σηµαίνει είτε BC είτε DA. Παρόµοιο "διφορούµενο" (ambiguous) κώδικα είχε χρησιµοποιήσει και το Μαντείο των ελφών στον περίφηµο εκείνο χρησµό του "ίξεις αφίξεις ουκ εν τω πολέµω θνήξεις", που µπορούσε να ερµηνευτεί είτε σαν "ίξεις, αφίξεις, ουκ εν τω πολέµω θνήξεις" (θα πας, θα γυρίσεις, δεν θα πεθάνεις στον πόλεµο), είτε σαν "ίξεις, αφίξεις ουκ, εν τω πολέµω θνήξεις" (θα πας, δεν θα γυρίσεις, στον πόλεµο θα πεθάνεις) FSM Αποκωδικοποίησης Huffman: Ο παραπάνω κώδικας µεταβλητού µήκους γιά τα 4 σύµβολα A, B, C, D αποκωδικοποιείται µε την FSM που φαίνεται δεξιά. Υποθέτουµε ότι τα bits των κωδικοποιηµένων συµβόλων έρχονται σειριακά, ένα-ένα, από µιάν είσοδο in, και ότι υπάρχει ένα ρολόϊ ck που σηµαδεύει, µε την ενεργή ακµή του, το τέλος του κάθε bit εισόδου in. Η FSM έχει 4 εξόδους, A, B, C, D κάθε µιά τους ανάβει όποτε παραλαµβάνουµε ένα αντίστοιχο σύµβολο, γιά διάρκεια ακριβώς ενός κύκλου ρολογιού, και συγκεκριµένα κατά τον κύκλο ρολογιού παραλαβής του τελευταίου bit του αντίστοιχου συµβόλου. Η κατάσταση εκκίνησης είναι η S0, οπότε και περιµένουµε να µας έλθει ένα νέο σύµβολο, µε όλα του τα bits από την αρχή. Στην ίδια κατάσταση επιστρέφουµε κάθε φορά που τελειώνουν όλα τα bits του προηγούµενου σύµβολου, και εποµένως περιµένουµε ένα νέο σύµβολο από την αρχή. Εάν είµαστε στην κατάσταση S0 και µας έλθει είσοδος 0 (in' αληθές), σηµαίνει ότι βλέπουµε ένα σύµβολο A (το µοναδικό άρα και το τελευταίο του bit), εποµένως πρέπει να ανάψει η έξοδος A και η επόµενη κατάσταση να είναι πάλι η S0, αφού στον επόµενο κύκλο περιµένουµε το πρώτο bit του εποµένου συµβόλου. Εάν τώρα είµαστε στην S0 και έλθει είσοδος 1 (in αληθές), τότε ξέρουµε ότι βλέπουµε το πρώτο bit ενός συµβόλου B ή C ή D, αλλά δεν ξέρουµε ακόµα τίνος από τα τρία έτσι, µεταβαίνουµε στην κατάσταση S1 που σηµαίνει ότι έχουµε δεί µέχρι στιγµής ένα "1". Τον επόµενο κύκλο, όντας στην S1, αν δούµε είσοδο 0 σηµαίνει ότι βλέπουµε το τέλος ενός συµβόλου B, άρα ανάβουµε την έξοδο B και µεταβαίνουµε στην S0. Αν αντιθέτως δούµε είσοδο 1 σηµαίνει ότι βλέπουµε το δεύτερο bit ενός συµβόλου C ή D, αλλά δεν ξέρουµε ακόµα τίνος από τα δύο έτσι, µεταβαίνουµε στην κατάσταση S2. Τον επόµενο κύκλο, όντας στην S2, είσοδος 0 σηµαίνει ότι βλέπουµε το τέλος ενός συµβόλου C, και είσοδος 1 υποδεικνύει το τέλος ενός συµβόλου D Κωδικοποίηση Καταστάσεων One-Hot: Στο εργαστήριο θα κατασκευάσετε την παραπάνω FSM λήψης του κώδικα µεταβλητού µήκους, χρησιµοποιώντας ένα στύλ κωδικοποίησης των καταστάσεών της που λέγεται " one-hot" (ένα "ζεστό"): όπως φαίνεται στο σχήµα δίπλα, χρησιµοποιούµε τόσα flip-flops κατάστασης όσες και οι καταστάσεις (αντί όσος ο δυαδικός λογάριθµος του πλήθους των καταστάσεων, που θα αρκούσαν), και θα φροντίσουµε ένα και µόνον ένα από αυτά να είναι αναµένο σε κάθε µιά από τις έγκυρες καταστάσεις της FSM. Το στυλ αυτό είναι σπάταλο σε flip-flops, αλλά συχνά δίνει απλούστερη συνδυαστική λογική γιά την FSM: είναι σαν να είχαµε µιά πιό πυκνά κωδικοποιηµένη κατάσταση, και µετά να είχαµε κι έναν συνδυαστικό αποκωδικοποιητή που µας δίνει µία και µόνο µία αναµένη έξοδο, αυτήν που αντιστοιχεί στην παρούσα κατάσταση. Φυσικά, µε το να έχουµε 3 (εν προκειµένω) flip-flops κατάστασης, σηµαίνει ότι το κύκλωµα στην πραγµατικότητα έχει 8 καταστάσεις, από τις οποίες µόνο 3 είναι οι έγκυρες γιά µας, και πρέπει να σιγουρευτούµε ότι το σήµα αρχικοποίησης reset θα φέρνει πάντα την FSM στην επιθυµητή έγκυρη αρχική κατάσταση S0, ανεξαρτήτως σε ποιάν από τις 8 υπαρκτές καταστάσεις (όχι µόνο τις 3 έγκυρες) αυτή ήταν πρίν. Εδώ λοιπόν, την κατάσταση "S0" θα την κωδικοποιούµε µε S0=1 και S1=S2=0 την "S1" θα την κωδικοποιούµε µε S1=1 και S0=S2=0 και η "S2" θα είναι η S2=1 και S0=S1=0. Με αυτή την κωδικοποίηση καταστάσεων, οι έξοδοι της FSM εκφράζονται πολύ απλά:

6 6 of 6 16/12/2003 9:23 µµ A = (S0) (in'), B=(S1) (in'), C=(S2) (in'), D=(S2) (in) διότι (S0) είναι αληθές όταν και µόνον όταν βρισκόµαστε στην κατάσταση S0, κ.ο.κ. γιά κάθε κατάσταση. Στη συνέχεια, εκφράζουµε τις εξόδους επόµενης κατάστασης. Η έξοδος ns1 πρέπει πάντα να έχει την τιµή που πρέπει να πάρει το flip-flop S1 κατά τον επόµενο κύκλο ρολογιού άρα το ns1 πρέπει να είναι αληθές τότε και µόνο τότε όταν στον επόµενο κύκλο ρολογιού η FSM πρέπει να µεταβεί στην κατάσταση S1. Από το διάγραµµα καταστάσεων της 10.6 βλέπουµε ότι η επόµενη κατάσταση είναι η S1 µόνο σε µία περίπτωση (µόνο ένα βέλος µπαίνει στην S1): όταν τώρα είµαστε στην S0 και in=1 άρα, το ns1 πρέπει να είναι αληθές όταν και µόνον όταν (S0) (in). Οµοίως, η S2 είναι επόµενη κατάσταση µόνο όταν S1=1 και in=1, άρα τότε και µόνο τότε πρέπει να ανάβει το ns2. Τώρα, η S0 είναι επόµενη κατάσταση σε πέντε διαφορετικές περιπτώσεις: όταν είµαστε στην S0 και in=0, ή στην S1 και in=0, ή είµαστε στην S2 (µε οιαδήποτε είσοδο in), ή όταν reset=1. Έτσι, προκύπτουν οι εξής σχέσεις γιά τις εξόδους επόµενης κατάστασης: ns1 = (S0) (in) (reset'), ns2 = (S1) (in) (reset'), ns0 = (S0) (in') + (S1) (in') + (S2) + (reset) = in' + S2 + reset Βλέπουµε ότι η έκφραση γιά το ns0 απλοποιήθηκε ως εξής: όποτε in=0 επόµενη κατάσταση είναι πάντα η S0, και αυτό καλύπτει τα τρία επάνω βέλη στο διάγραµµα καταστάσεων το βέλος γιά S2 και in=1 µπορούµε να το καλύψουµε µε τον όρο S2 (που επίσης καλύπτει την περίπτωση S2 και in=0 που ήδη καλύψαµε µε τον όρο in') έτσι, το µόνο άλλο βέλος προς S0 που έµεινε είναι το reset, άρα συνολικά ns0 = in' + S2 + reset. Όσον αφορά, τώρα, τη συνολική δράση του reset, αυτή πρέπει να σχεδιαστεί προσεκτικά: όταν reset=1, πρέπει η επόµενη κατάσταση να είναι η S0 ανεξαρτήτως του πού βρισκόµασταν, είτε σε µιάν από τις έγκυρες καταστάσεις S0, S1, S2, είτε σε κάποιαν από τις υπόλοιπες 5 "παράνοµες" καταστάσεις (000, 011, 101, 110, 111). Με τον τρόπο που περιελήφθη το reset στις παραπάνω συναρτήσεις, αυτό εξασφαλίζεται: οιαδήποτε τιµή και αν έχουν τα S0, S1, S2, και in, όταν reset=1, ο όρος "AND (reset')" στις εξόδους ns1 και ns2 εξασφαλίζει ότι αυτές θα είναι 0, και ο όρος "OR (reset)" στην έξοδο ns0 εξασφαλίζει ότι αυτή θα είναι 1. Πείραµα 10.8: η FSM Αποκωδικοποίησης Huffman Κατασκευάστε στο εργαστήριο την παραπάνω FSM λήψης του κώδικα µεταβλητού µήκους, σύµφωνα µε το κύκλωµα του τελευταίου σχήµατος και τις παραπάνω εξισώσεις που περιγράφουν το συνδυαστικό του κοµάτι. Όπως δείχνει το σχήµα, µπορείτε να πάρετε και τις δύο πολικότητες, in και in', της εισόδου µε έναν διακόπτη DPDT. Εάν θέλετε, µπορείτε να παραλήψετε τον όρο (reset') από τα ns1 και ns2, αρκεί να θυµάστε πάντα όταν κάνετε reset να έχετε ταυτόχρονα και in=0. Γιά το συνδυαστικό κοµάτι του κυκλώµατος θα χρειαστείτε 2 chips 7408 (πύλες AND) και 1 chip 7432 (πύλες OR). Γιά την κατάσταση, χρησιµοποιήστε 3 από τα 8 ακµοπυροδότητα flip-flops ενός chip Γιά το ρολόϊ του, θα χρειαστείτε τον καθαρισµό παλµών που είπαµε στην παράγραφο 8.5: χρησιµοποιήστε ένα chip 7402 (πύλες NOR), αντιστάσεις, και διακόπτη SPDT. Συνδέστε LED's γιά να παρακολουθείτε την είσοδο in, την κατάσταση της FSM, και τις εξόδους A, B, C, και D, όπως δείχνει το σχήµα. Πριν φτάσετε στο εργαστήριο, κάντε ένα πλήρες σχεδιάγραµµα συνδεσµολογίας, περιλαµβανοµένων και όλων των διακοπτών, αντιστάσεων, LED's, και της τροφοδοσίας. Επίσης, γράψτε στο χαρτί σας την κωδικοποίηση του µηνύµατος "ABACADACABADACAC" σύµφωνα µε τον κώδικά µας µεταβλητού µήκους. Επίσης, αποκωδικοποιήστε το µήνυµα που περιέχουν τα bits " " σύµφωνα πάντα µε τον ίδιο κώδικα. Στο εργαστήριο, κατασκευάστε το κύκλωµα, αρχικοποιήστε το σωστά (reset=1 και in=0 και ακµή ρολογιού), και στη συνέχεια ελέγξτε τη σωστή λειτουργία του στέλνοντάς του τα δύο παραπάνω µηνύµατα (ή όσο κοµάτι από αυτά αντέξετε) και βλέποντας αν τα λαµβάνει σωστά, δηλαδή αν ανάβει η σωστή LED µία φορά γιά κάθε γράµµα-σύµβολο. Up to the Home Page of CS-120 copyright University of Crete, Greece. Last updated: 16 Dec. 2003, by M. Katevenis.

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΡΗΤΗΣ. Εργαστήριο 10: Μηχανές Πεπερασμένων Καταστάσεων (Finite State Machines - FSM)

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΡΗΤΗΣ. Εργαστήριο 10: Μηχανές Πεπερασμένων Καταστάσεων (Finite State Machines - FSM) ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΡΗΤΗΣ Ψηφιακή Σχεδίαση Εργαστήριο 10: Μηχανές Πεπερασμένων Καταστάσεων (Finite State Machines - FSM) Μανόλης Γ.Η. Κατεβαίνης Τμήμα Επιστήμης Υπολογιστών Άδειες Χρήσης

Διαβάστε περισσότερα

Παραδείγματα σχεδίασης με μηχανές πεπερασμένων καταστάσεων

Παραδείγματα σχεδίασης με μηχανές πεπερασμένων καταστάσεων Παραδείγματα σχεδίασης με μηχανές πεπερασμένων καταστάσεων Γιώργος Δημητρακόπουλος 1 Αποκωδικοποιητής κώδικα Huffman συμπίεση δεδομένων Ξέρουμε ότι με n bits μπορούμε να κωδικοποιήσουμε 2 n διαφορετικά

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΕΦΑΡΜΟΓΩΝ

ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΗΛΕΚΤΡΟΝΙΚΑ ΚΑΙ ΣΥΣΤΗΜΑΤΑ ΟΜΑ Α Α Αριθµητική Λογική Μονάδα των 8-bit 1. Εισαγωγή Γενικά µια αριθµητική λογική µονάδα (ALU, Arithmetic Logic Unit)

Διαβάστε περισσότερα

8.1 Θεωρητική εισαγωγή

8.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 8 ΣΤΟΙΧΕΙΑ ΜΝΗΜΗΣ ΚΑΤΑΧΩΡΗΤΕΣ Σκοπός: Η µελέτη της λειτουργίας των καταχωρητών. Θα υλοποιηθεί ένας απλός στατικός καταχωρητής 4-bit µε Flip-Flop τύπου D και θα µελετηθεί

Διαβάστε περισσότερα

Εργαστήριο 7: Μανταλωτές (Latches), Καταχωρητές, και ιφασικά Ρολόγια

Εργαστήριο 7: Μανταλωτές (Latches), Καταχωρητές, και ιφασικά Ρολόγια 1 of 5 ΗΥ-120: Ψηφιακή Σχεδίαση Φθινόπωρο 2006 Τµ. Επ. Υπολογιστών Πανεπιστήµιο Κρήτης Εργαστήριο 7: Μανταλωτές (Latches), Καταχωρητές, και ιφασικά Ρολόγια 27-30 Νοεµβρίου 2006 ιαγωνισµός Προόδου: Σάββατο

Διαβάστε περισσότερα

5.1 Θεωρητική εισαγωγή

5.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 5 ΚΩ ΙΚΟΠΟΙΗΣΗ BCD Σκοπός: Η κατανόηση της µετατροπής ενός τύπου δυαδικής πληροφορίας σε άλλον (κωδικοποίηση/αποκωδικοποίηση) µε τη µελέτη της κωδικοποίησης BCD

Διαβάστε περισσότερα

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή 6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή Εισαγωγή Η σχεδίαση ενός ψηφιακού συστήµατος ως ακολουθιακή µηχανή είναι εξαιρετικά δύσκολη Τµηµατοποίηση σε υποσυστήµατα µε δοµικές µονάδες:

Διαβάστε περισσότερα

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f.

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f. 6. Καταχωρητές Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f. Καταχωρητής 4 ψηφίων Καταχωρητής με παράλληλη φόρτωση Η εισαγωγή

Διαβάστε περισσότερα

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 1) Να μετατρέψετε τον δεκαδικό αριθμό (60,25) 10, στον αντίστοιχο δυαδικό 11111,11 111001,01 111100,01 100111,1 111100,01 2)

Διαβάστε περισσότερα

7.1 Θεωρητική εισαγωγή

7.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 7 ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΜΑΝ ΑΛΩΤΕΣ FLIP FLOP Σκοπός: Η κατανόηση της λειτουργίας των βασικών ακολουθιακών κυκλωµάτων. Θα µελετηθούν συγκεκριµένα: ο µανδαλωτής (latch)

Διαβάστε περισσότερα

e-book ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΕΙΣ

e-book ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΕΙΣ e-book ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΕΙΣ 1. Να μετατρέψετε τον δεκαδικό 16.25 σε δυαδικό. 2. Να μετατρέψετε τον δεκαδικό 18.75 σε δυαδικό και τον δεκαδικό 268 σε δεκαεξαδικό. 3. Να βρεθεί η βάση εκείνου του αριθμητικού

Διαβάστε περισσότερα

Εργαστήριο Ψηφιακής Σχεδίασης

Εργαστήριο Ψηφιακής Σχεδίασης ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ Εργαστήριο Ψηφιακής Σχεδίασης 8 Εργαστηριακές Ασκήσεις Χρ. Καβουσιανός Επίκουρος Καθηγητής 2014 Εργαστηριακές Ασκήσεις Ψηφιακής Σχεδίασης 2 Εργαστηριακές Ασκήσεις

Διαβάστε περισσότερα

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM).

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM). Μνήμες Ένα από τα βασικά πλεονεκτήματα των ψηφιακών συστημάτων σε σχέση με τα αναλογικά, είναι η ευκολία αποθήκευσης μεγάλων ποσοτήτων πληροφοριών, είτε προσωρινά είτε μόνιμα Οι πληροφορίες αποθηκεύονται

Διαβάστε περισσότερα

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017 Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης Θέμα 1ο (3 μονάδες)

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης Θέμα 1ο (3 μονάδες) Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2016 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το ανωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

σύνθεση και απλοποίησή τους θεωρήµατα της άλγεβρας Boole, αξιώµατα του Huntington, κλπ.

σύνθεση και απλοποίησή τους θεωρήµατα της άλγεβρας Boole, αξιώµατα του Huntington, κλπ. Εισαγωγή Εργαστήριο 2 ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ Σκοπός του εργαστηρίου είναι να κατανοήσουµε τον τρόπο µε τον οποίο εκφράζεται η ψηφιακή λογική υλοποιώντας ασκήσεις απλά και σύνθετα λογικά κυκλώµατα (χρήση του

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 3

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 3 ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 3 ΑΠΛΟΠΟΙΗΣΗ και ΥΛΟΠΟΙΗΣΗ ΛΟΓΙΚΩΝ ΣΥΝΑΡΤΗΣΕΩΝ Σκοπός: Η κατανόηση της σχέσης µιας λογικής συνάρτησης µε το αντίστοιχο κύκλωµα. Η απλοποίηση λογικών συναρτήσεων

Διαβάστε περισσότερα

Κυκλώµατα. Εισαγωγή. Συνδυαστικό Κύκλωµα

Κυκλώµατα. Εισαγωγή. Συνδυαστικό Κύκλωµα 6 η Θεµατική Ενότητα : Σύγχρονα Ακολουθιακά Κυκλώµατα Εισαγωγή Είσοδοι Συνδυαστικό Κύκλωµα Έξοδοι Στοιχεία Μνήµης Κατάσταση Ακολουθιακού Κυκλώµατος : περιεχόµενα στοιχείων µνήµης Η έξοδος εξαρτάται από

Διαβάστε περισσότερα

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Θεµατική Ενότητα ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Ακαδηµαϊκό Έτος 2006 2007 Γραπτή Εργασία #2 Ηµεροµηνία Παράδοσης 28-0 - 2007 ΠΛΗ 2: Ψηφιακά Συστήµατα ΠΡΟΤΕΙΝΟΜΕΝΕΣ ΛΥΣΕΙΣ Άσκηση : [5 µονάδες] Έχετε στη

Διαβάστε περισσότερα

Η συχνότητα f των παλµών 0 και 1 στην έξοδο Q n είναι. f Qn = 1/(T cl x 2 n+1 )

Η συχνότητα f των παλµών 0 και 1 στην έξοδο Q n είναι. f Qn = 1/(T cl x 2 n+1 ) ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 9 ΥΑ ΙΚΟΙ ΑΠΑΡΙΘΜΗΤΕΣ Σκοπός: Η µελέτη της λειτουργίας των απαριθµητών. Υλοποίηση ασύγχρονου απαριθµητή 4-bit µε χρήση JK Flip-Flop. Κατανόηση της αλλαγής του υπολοίπου

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΡΗΤΗΣ. Εργαστήριο 7: Μανταλωτές (Latches), Καταχωρητές, και Διφασικά Ρολόγια

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΡΗΤΗΣ. Εργαστήριο 7: Μανταλωτές (Latches), Καταχωρητές, και Διφασικά Ρολόγια ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΡΗΤΗΣ Ψηφιακή Σχεδίαση Εργαστήριο 7: Μανταλωτές (Latches), Καταχωρητές, και Διφασικά Ρολόγια Μανόλης Γ.Η. Κατεβαίνης Τμήμα Επιστήμης Υπολογιστών Άδειες Χρήσης Το παρόν

Διαβάστε περισσότερα

4.1 Θεωρητική εισαγωγή

4.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 4 ΥΑ ΙΚΟΣ ΑΘΡΟΙΣΤΗΣ-ΑΦΑΙΡΕΤΗΣ Σκοπός: Να µελετηθούν αριθµητικά κυκλώµατα δυαδικής πρόσθεσης και αφαίρεσης. Να σχεδιαστούν τα κυκλώµατα από τους πίνακες αληθείας

Διαβάστε περισσότερα

6.1 Θεωρητική εισαγωγή

6.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 6 ΑΠΟΚΩ ΙΚΟΠΟΙΗΤΕΣ ΚΑΙ ΠΟΛΥΠΛΕΚΤΕΣ Σκοπός: Η κατανόηση της λειτουργίας των κυκλωµάτων ψηφιακής πολυπλεξίας και αποκωδικοποίησης και η εξοικείωση µε τους ολοκληρωµένους

Διαβάστε περισσότερα

4 η Θεµατική Ενότητα : Συνδυαστική Λογική. Επιµέλεια διαφανειών: Χρ. Καβουσιανός

4 η Θεµατική Ενότητα : Συνδυαστική Λογική. Επιµέλεια διαφανειών: Χρ. Καβουσιανός 4 η Θεµατική Ενότητα : Συνδυαστική Λογική Επιµέλεια διαφανειών: Χρ. Καβουσιανός Λογικά Κυκλώµατα Ø Τα λογικά κυκλώµατα διακρίνονται σε συνδυαστικά (combinational) και ακολουθιακά (sequential). Ø Τα συνδυαστικά

Διαβάστε περισσότερα

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ Τμήμα Ηλεκτρολόγων Μηχανικών Εργαστήριο Ενσύρματης Τηλεπικοινωνίας ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ Μάθημα 8: Σύγχρονα ακολουθιακά κυκλώµατα (µέρος Α ) Διδάσκων: Καθηγητής Ν. Φακωτάκης Κυκλώµατα οδηγούµενα από

Διαβάστε περισσότερα

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος 1 Συνδυαστικό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται ΜΟΝΟ από τις εισόδους του Εάν γνωρίζουμε τις τιμές των εισόδων του κυκλώματος, τότε μπορούμε να προβλέψουμε ακριβώς τις εξόδους του Ακολουθιακό κύκλωμα

Διαβάστε περισσότερα

5. Σύγχρονα Ακολουθιακά Κυκλώματα

5. Σύγχρονα Ακολουθιακά Κυκλώματα 5. Σύγχρονα Ακολουθιακά Κυκλώματα Ακολουθιακό (sequential) λέμε το σύστημα που περιέχει στοιχεία μνήμης, δηλ. κυκλώματα αποθήκευσης δυαδικής πληροφορίας Γενικό διάγραμμα ακολουθιακού κυκλώματος - Αποτελείται

Διαβάστε περισσότερα

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ Τμήμα Ηλεκτρολόγων Μηχανικών Εργαστήριο Ενσύρματης Τηλεπικοινωνίας ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ Μάθημα 10: Ασύχρονα ακολουθιακά κυκλώµατα (µερος Α ) Διδάσκων: Καθηγητής Ν. Φακωτάκης Ασύγχρονα ακολουθιακά κυκλώµατα

Διαβάστε περισσότερα

Α. ΣΚΟΔΡΑΣ ΠΛΗ21 ΟΣΣ#2. 14 Δεκ 2008 ΠΑΤΡΑ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ 2008 Α. ΣΚΟΔΡΑΣ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ

Α. ΣΚΟΔΡΑΣ ΠΛΗ21 ΟΣΣ#2. 14 Δεκ 2008 ΠΑΤΡΑ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ 2008 Α. ΣΚΟΔΡΑΣ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ ΠΛΗ21 ΟΣΣ#2 14 Δεκ 2008 ΠΑΤΡΑ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ 7-segment display 7-segment display 7-segment display Αποκωδικοποιητής των 7 στοιχείων (τμημάτων) (7-segment decoder) Κύκλωμα αποκωδικοποίησης του στοιχείου

Διαβάστε περισσότερα

Καταστάσεων. Καταστάσεων

Καταστάσεων. Καταστάσεων 8 η Θεµατική Ενότητα : Εισαγωγή Ησχεδίαση ενός ψηφιακού συστήµατος µπορεί να διαιρεθεί σε δύο µέρη: τα κυκλώµατα επεξεργασίας δεδοµένων και τα κυκλώµατα ελέγχου. Το κύκλωµα ελέγχου δηµιουργεί σήµατα για

Διαβάστε περισσότερα

13. ΣΥΓΧΡΟΝΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

13. ΣΥΓΧΡΟΝΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 13. ΣΥΓΧΡΟΝΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ e-book ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ ΑΣΗΜΑΚΗΣ-ΒΟΥΡΒΟΥΛΑΚΗΣ- ΚΑΚΑΡΟΥΝΤΑΣ-ΛΕΛΙΓΚΟΥ 1 ΣΥΓΧΡΟΝΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΑΚΟΛΟΥΘΙΑΚΟ ΚΥΚΛΩΜΑ ΣΥΓΧΡΟΝΟ ΑΚΟΛΟΥΘΙΑΚΟ ΚΥΚΛΩΜΑ ΣΧΕ ΙΑΣΗ ΣΥΓΧΡΟΝΟΥ

Διαβάστε περισσότερα

Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL

Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL 3.1 Εισαγωγή στα FLIP FLOP 3.1.1 Θεωρητικό Υπόβαθρο Τα σύγχρονα ακολουθιακά κυκλώματα με τα οποία θα ασχοληθούμε στο εργαστήριο των Ψηφιακών συστημάτων

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τµήµα Εφαρµοσµένης Πληροφορικής & Πολυµέσων. Ψηφιακή Σχεδίαση. Κεφάλαιο 5: Σύγχρονη Ακολουθιακή

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τµήµα Εφαρµοσµένης Πληροφορικής & Πολυµέσων. Ψηφιακή Σχεδίαση. Κεφάλαιο 5: Σύγχρονη Ακολουθιακή ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τµήµα Εφαρµοσµένης Πληροφορικής & Πολυµέσων Ψηφιακή Σχεδίαση Κεφάλαιο 5: Σύγχρονη Ακολουθιακή Λογική Σύγχρονα Ακολουθιακά Κυκλώµατα Είσοδοι Συνδυαστικό κύκλωµα

Διαβάστε περισσότερα

Σελίδα 1 από 11. Απαντήσεις στο φυλλάδιο 57 Ερώτηση: 1 η : Οι ακροδέκτες αυτοί χρησιµοποιούνται για:

Σελίδα 1 από 11. Απαντήσεις στο φυλλάδιο 57 Ερώτηση: 1 η : Οι ακροδέκτες αυτοί χρησιµοποιούνται για: Σελίδα 1 από 11 Απαντήσεις στο φυλλάδιο 57 Ερώτηση: 1 η : Οι ακροδέκτες αυτοί χρησιµοποιούνται για: την επικοινωνία, µε τα υπόλοιπα ολοκληρωµένα κυκλώµατα του υπολογιστικού συστήµατος. την παροχή τροφοδοσίας

Διαβάστε περισσότερα

βαθµίδων µε D FLIP-FLOP. Μονάδες 5

βαθµίδων µε D FLIP-FLOP. Μονάδες 5 Κεφάλαιιο: 6 ο Τίίτλος Κεφαλαίίου:: Μανταλωτές & Flip Flop (Ιούνιος 2004 ΤΕΕ Ηµερήσιο) Να σχεδιάσετε καταχωρητή δεξιάς ολίσθησης τεσσάρων βαθµίδων µε D FLIP-FLOP. Μονάδες 5 (Ιούνιος 2005 ΤΕΕ Ηµερήσιο)

Διαβάστε περισσότερα

Κυκλώµατα. Εισαγωγή. Συνδυαστικό Κύκλωµα

Κυκλώµατα. Εισαγωγή. Συνδυαστικό Κύκλωµα 6 η Θεµατική Ενότητα : Σύγχρονα Ακολουθιακά Κυκλώµατα Εισαγωγή Είσοδοι Συνδυαστικό Κύκλωµα Έξοδοι Στοιχεία Μνήµης Κατάσταση Ακολουθιακού Κυκλώµατος : περιεχόµενα στοιχείων µνήµης Η έξοδος εξαρτάται από

Διαβάστε περισσότερα

Ολοκληρωμένα Κυκλώματα

Ολοκληρωμένα Κυκλώματα Δημοκρίτειο Πανεπιστήμιο Θράκης Τμήμα Ηλεκτρολόγων Μηχανικών & Μηχανικών Υπολογιστών Γ. Δημητρακόπουλος Ολοκληρωμένα Κυκλώματα Πρόοδος - Φθινόπωρο 2017 Θέμα 1 ο Σχεδιάστε το datapath για τον υπολογισμό

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 9: Ελαχιστοποίηση και Κωδικοποίηση Καταστάσεων, Σχεδίαση με D flip-flop, Σχεδίαση με JK flip-flop, Σχεδίαση με T flip-flop Δρ. Μηνάς

Διαβάστε περισσότερα

1 η Θεµατική Ενότητα : Δυαδικά Συστήµατα

1 η Θεµατική Ενότητα : Δυαδικά Συστήµατα 1 η Θεµατική Ενότητα : Δυαδικά Συστήµατα Δεκαδικοί Αριθµοί Βάση : 10 Ψηφία : 0, 1, 2, 3, 4, 5, 6, 7, 8, 9 Αριθµοί: Συντελεστές Χ δυνάµεις του 10 7392.25 = 7x10 3 + 3x10 2 + 9x10 1 + 2x10 0 + 2x10-1 + 5x10-2

Διαβάστε περισσότερα

Είναι το ηλεκτρικό ρεύµα διανυσµατικό µέγεθος;

Είναι το ηλεκτρικό ρεύµα διανυσµατικό µέγεθος; Είναι το ηλεκτρικό ρεύµα διανυσµατικό µέγεθος; Για να εξετάσουµε το κύκλωµα LC µε διδακτική συνέπεια νοµίζω ότι θα πρέπει να τηρήσουµε τους ορισµούς που δώσαµε στα παιδιά στη Β Λυκείου. Ας ξεκινήσουµε

Διαβάστε περισσότερα

Εργαστήριο 8: Μετρητής μέσω Αθροιστή & Καταχωρητή, Ακμοπυροδότηση

Εργαστήριο 8: Μετρητής μέσω Αθροιστή & Καταχωρητή, Ακμοπυροδότηση ΗΥ-120: Ψηφιακή Σχεδίαση Φθινόπωρο 2011 Τμ. Επ. Υπολογιστών Πανεπιστήμιο Κρήτης Εργαστήριο 8: Μετρητής μέσω Αθροιστή & Καταχωρητή, Ακμοπυροδότηση 29 Νοεμβρίου - 2 Δεκεμβρίου 2011 Διαλέξεις βδομάδας 8:

Διαβάστε περισσότερα

7 η Θεµατική Ενότητα : Καταχωρητές, Μετρητές και Μονάδες Μνήµης

7 η Θεµατική Ενότητα : Καταχωρητές, Μετρητές και Μονάδες Μνήµης 7 η Θεµατική Ενότητα : Καταχωρητές, Μετρητές και Εισαγωγή Καταχωρητής: είναι µία οµάδα από δυαδικά κύτταρα αποθήκευσης και από λογικές πύλες που διεκπεραιώνουν την µεταφορά πληροφοριών. Οι µετρητές είναι

Διαβάστε περισσότερα

Άσκηση 3 Ένα νέο είδος flip flop έχει τον ακόλουθο πίνακα αληθείας : I 1 I 0 Q (t+1) Q (t) 1 0 ~Q (t) Κατασκευάστε τον πίνακα

Άσκηση 3 Ένα νέο είδος flip flop έχει τον ακόλουθο πίνακα αληθείας : I 1 I 0 Q (t+1) Q (t) 1 0 ~Q (t) Κατασκευάστε τον πίνακα Άσκηση Δίδονται οι ακόλουθες κυματομορφές ρολογιού και εισόδου D που είναι κοινή σε ένα D latch και ένα D flip flop. Το latch είναι θετικά ενεργό, ενώ το ff θετικά ακμοπυροδοτούμενο. Σχεδιάστε τις κυματομορφές

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΠΛ 121 ΕΡΓΑΣΤΗΡΙΑ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΜΝΗΜΗ ΚΑΙ ΠΡΟΓΡΑΜΜΑΤΙΖΟΜΕΝΗ ΛΟΓΙΚΗ ΥΠΕΥΘΥΝΟΣ ΕΡΓΑΣΤΗΡΙΩΝ: ΧΡΥΣΟΣΤΟΜΟΣ ΧΡΥΣΟΣΤΟΜΟΥ ΕΑΡΙΝΟ ΕΞΑΜΗΝΟ 2001 ΕΠΛ 121 ΕΡΓΑΣΤΗΡΙΑ ΨΗΦΙΑΚΩΝ

Διαβάστε περισσότερα

PLD. Εισαγωγή. 5 η Θεµατική Ενότητα : Συνδυαστικά. PLAs. PLDs FPGAs

PLD. Εισαγωγή. 5 η Θεµατική Ενότητα : Συνδυαστικά. PLAs. PLDs FPGAs 5 η Θεµατική Ενότητα : Συνδυαστικά Κυκλώµατα µε MSI και Εισαγωγή Οι προγραµµατιζόµενες διατάξεις είναι ολοκληρωµένα µε εσωτερικές πύλες οι οποίες µπορούν να υλοποιήσουν οποιαδήποτε συνάρτηση αν υποστούν

Διαβάστε περισσότερα

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος 1 Συνδυαστικό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται ΜΟΝΟ από τις εισόδους του Εάν γνωρίζουμε τις τιμές των εισόδων του κυκλώματος, τότε μπορούμε να προβλέψουμε ακριβώς τις εξόδους του Ακολουθιακό κύκλωμα

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Μετρητής Ριπής (Ripple Counter) Μετρητές (Counters) Μετρητής Ριπής (συν.

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Μετρητής Ριπής (Ripple Counter) Μετρητές (Counters) Μετρητής Ριπής (συν. ΗΜΥ-2: Λογικός Σχεδιασµός Εαρινό Κεφάλαιο 7 ii: Μετρητές Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Μετρητής Ριπής Περίληψη Σύγχρονος υαδικός Μετρητής Σχεδιασµός µε Flip-Flops

Διαβάστε περισσότερα

Συνδυαστικά Λογικά Κυκλώματα

Συνδυαστικά Λογικά Κυκλώματα Συνδυαστικά Λογικά Κυκλώματα Ένα συνδυαστικό λογικό κύκλωμα συντίθεται από λογικές πύλες, δέχεται εισόδους και παράγει μία ή περισσότερες εξόδους. Στα συνδυαστικά λογικά κυκλώματα οι έξοδοι σε κάθε χρονική

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων

Ψηφιακά Συστήματα. 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων Ψηφιακά Συστήματα 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd

Διαβάστε περισσότερα

ΘΕΜΑΤΑ & ΕΝΔΕΙΚΤΙΚΕΣ ΛΥΣΕΙΣ

ΘΕΜΑΤΑ & ΕΝΔΕΙΚΤΙΚΕΣ ΛΥΣΕΙΣ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΠΡΟΓΡΑΜΜΑ ΣΠΟΥΔΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Θεματική Ενότητα Ακαδημαϊκό Έτος 2010 2011 Ημερομηνία Εξέτασης Κυριακή 26.6.2011 Ώρα Έναρξης Εξέτασης

Διαβάστε περισσότερα

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A].

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A]. Κανονική μορφή συνάρτησης λογικής 5. Η κανονική μορφή μιας λογικής συνάρτησης (ΛΣ) ως άθροισμα ελαχιστόρων, από τον πίνακα αληθείας προκύπτει ως εξής: ) Παράγουμε ένα [A] όρων από την κάθε σειρά για την

Διαβάστε περισσότερα

Lab 6: Signed Add/Subtract, FF (U.Crete, CS-120) 14-10-28 17:28 διαίρεσης, δηλαδή αριστερά 28-24 = 4 bits της διεύθυνσης) μετατρέποντας στο δεκαδικό, βλέπουμε ότι όντως πρόκειται γιά τη θέση 256+128+16

Διαβάστε περισσότερα

PLC. Εισαγ γωγή στα. ιαδικασία προγραµµατισµού. Η δοµή ενός προγράµµατος. Η µνήµη και η δοµή της. Εκτέλεση προγράµµατος

PLC. Εισαγ γωγή στα. ιαδικασία προγραµµατισµού. Η δοµή ενός προγράµµατος. Η µνήµη και η δοµή της. Εκτέλεση προγράµµατος ιαδικασία προγραµµατισµού Η δοµή ενός προγράµµατος Η µνήµη και η δοµή της Εκτέλεση προγράµµατος 1 2 Εκτέλεση προγράµµατος Η εκτέλεση του προγράµµατος στα είναι κυκλική. ηλαδή όταν εκτελείται η τελευταία

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΑΠΑΝΤΗΣΕΙΣ ΘΕΜΑΤΩΝ ΕΞΕΤΑΣΕΩΝ

ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΑΠΑΝΤΗΣΕΙΣ ΘΕΜΑΤΩΝ ΕΞΕΤΑΣΕΩΝ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Θεµατική Ενότητα ΠΛΗ 2: Ψηφιακά Συστήµατα Ακαδηµαϊκό Έτος 24 25 Ηµεροµηνία Εξέτασης 29.6.25 Χρόνος Εξέτασης

Διαβάστε περισσότερα

Κυκλώµατα µε MSI. υαδικός Αθροιστής & Αφαιρέτης

Κυκλώµατα µε MSI. υαδικός Αθροιστής & Αφαιρέτης 5 η Θεµατική Ενότητα : Συνδυαστικά Κυκλώµατα µε MSI υαδικός Αθροιστής & Αφαιρέτης A i B i FA S i C i C i+1 D Σειριακός Αθροιστής Σειριακός Αθροιστής: απαιτεί 1 πλήρη αθροιστή, 1 στοιχείο µνήµης και παράγει

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ & ΗΛΕΚΤΡΟΝΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ & μ-υπολογιστων ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ Θεωρητικό Μέρος Οι σειριακές λειτουργίες είναι πιο

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

ΘΕΜΑΤΑ & ΑΠΑΝΤΗΣΕΙΣ Α. ΨΗΦΙΑΚΗ ΣΧΕ ΙΑΣΗ

ΘΕΜΑΤΑ & ΑΠΑΝΤΗΣΕΙΣ Α. ΨΗΦΙΑΚΗ ΣΧΕ ΙΑΣΗ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Θεµατική Ενότητα ΠΛΗ 2: Ψηφιακά Συστήµατα Ακαδηµαϊκό Έτος 2009 200 Ηµεροµηνία Εξέτασης Τετάρτη 2.6.200

Διαβάστε περισσότερα

C D C D C D C D A B

C D C D C D C D A B Απλοποίηση µέσω Πίνακα Karnaugh: Παράδειγµα - 2 Στον παρακάτω πίνακα έχει ήδη γίνει το «βήμα- 1». Επομένως: Βήμα 2: Δεν υπάρχουν απομονωμένα κελιά. Βήμα 3: Στο ζεύγος (3,7) το κελί 3 γειτνιάζει μόνο με

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Καταχωρητές και Μετρητές 2. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Καταχωρητές και Μετρητές 2. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Καταχωρητές και Μετρητές Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Εισαγωγή Καταχωρητής: είναι μία ομάδα από δυαδικά κύτταρα αποθήκευσης

Διαβάστε περισσότερα

3 η Θεµατική Ενότητα : Σύγχρονα Ακολουθιακά Κυκλώµατα. Επιµέλεια διαφανειών: Χρ. Καβουσιανός

3 η Θεµατική Ενότητα : Σύγχρονα Ακολουθιακά Κυκλώµατα. Επιµέλεια διαφανειών: Χρ. Καβουσιανός 3 η Θεµατική Ενότητα : Σύγχρονα Ακολουθιακά Κυκλώµατα Επιµέλεια διαφανειών: Χρ. Καβουσιανός Εισαγωγή Είσοδοι Συνδυαστικό Κύκλωµα Έξοδοι Στοιχεία Μνήµης Κατάσταση Ακολουθιακού Κυκλώµατος : περιεχόµενα στοιχείων

Διαβάστε περισσότερα

1.1 Θεωρητική εισαγωγή

1.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ ΛΟΓΙΚΕΣ ΠΥΛΕΣ NOT, AND, NAND Σκοπός: Να εξοικειωθούν οι φοιτητές µε τα ολοκληρωµένα κυκλώµατα της σειράς 7400 για τη σχεδίαση και υλοποίηση απλών λογικών συναρτήσεων.

Διαβάστε περισσότερα

Ψηφιακή Λογική Σχεδίαση

Ψηφιακή Λογική Σχεδίαση Ψηφιακή Λογική Σχεδίαση Επιμέλεια: Νίκος Φακωτάκης, Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σημείωμα Αδειοδότησης Το παρόν υλικό διατίθεται

Διαβάστε περισσότερα

Εισαγωγή στην πληροφορική

Εισαγωγή στην πληροφορική Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Εισαγωγή στην πληροφορική Ενότητα 4: Ψηφιακή Λογική, Άλγεβρα Boole, Πίνακες Αλήθειας (Μέρος B) Αγγελίδης Παντελής Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών

Διαβάστε περισσότερα

Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα

Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα επαναληπτικής εξέτασης 2016 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

Ψηφιακή Λογική Σχεδίαση

Ψηφιακή Λογική Σχεδίαση Ψηφιακή Λογική Σχεδίαση Επιμέλεια: Γεώργιος Θεοδωρίδης, Επίκουρος Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σημείωμα Αδειοδότησης Το παρόν

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ Συνδυαστικά Κυκλώµατα. 3.2 Σχεδιασµός Συνδυαστικής Λογικής 3.3 ιαδικασία Ανάλυσης 3.4 ιαδικασία Σχεδιασµού.

ΚΕΦΑΛΑΙΟ Συνδυαστικά Κυκλώµατα. 3.2 Σχεδιασµός Συνδυαστικής Λογικής 3.3 ιαδικασία Ανάλυσης 3.4 ιαδικασία Σχεδιασµού. Περιεχόµενα ΚΕΦΑΛΑΙΟ 3 Συνδυαστικά Κυκλώµατα 3.1 Συνδυαστικά Κυκλώµατα 3.2 Σχεδιασµός Συνδυαστικής Λογικής 3.3 ιαδικασία Ανάλυσης 3.4 ιαδικασία Σχεδιασµού 1 2 3.1 Συνδυαστικά Κυκλώµατα Έξοδος οποιαδήποτε

Διαβάστε περισσότερα

7. ΚΑΤΑΧΩΡΗΤΕΣ ΕΡΩΤΗΣΕΙΣ ΑΣΚΗΣΕΙΣ

7. ΚΑΤΑΧΩΡΗΤΕΣ ΕΡΩΤΗΣΕΙΣ ΑΣΚΗΣΕΙΣ 7. ΚΑΤΑΧΩΡΗΤΕΣ ΕΡΩΤΗΣΕΙΣ ΑΣΚΗΣΕΙΣ. Τι είναι ένας καταχωρητής; O καταχωρητής είναι μια ομάδα από flip-flop που μπορεί να αποθηκεύσει προσωρινά ψηφιακή πληροφορία. Μπορεί να διατηρήσει τα δεδομένα του αμετάβλητα

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ Κ. Δεμέστιχας Εργαστήριο Πληροφορικής Γεωπονικό Πανεπιστήμιο Αθηνών Επικοινωνία μέσω e-mail: cdemest@aua.gr, cdemest@cn.ntua.gr 1 5. ΑΛΓΕΒΡΑ BOOLE ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕΡΟΣ Β 2 Επαναληπτική

Διαβάστε περισσότερα

Συνδυαστικά Κυκλώματα

Συνδυαστικά Κυκλώματα 3 Συνδυαστικά Κυκλώματα 3.1. ΣΥΝΔΥΑΣΤΙΚΗ Λ ΟΓΙΚΗ Συνδυαστικά κυκλώματα ονομάζονται τα ψηφιακά κυκλώματα των οποίων οι τιμές της εξόδου ή των εξόδων τους διαμορφώνονται αποκλειστικά, οποιαδήποτε στιγμή,

Διαβάστε περισσότερα

Ασύγχρονοι Απαριθμητές. Διάλεξη 7

Ασύγχρονοι Απαριθμητές. Διάλεξη 7 Ασύγχρονοι Απαριθμητές Διάλεξη 7 Δομή της διάλεξης Εισαγωγή στους Απαριθμητές Ασύγχρονος Δυαδικός Απαριθμητής Ασύγχρονος Δεκαδικός Απαριθμητής Ασύγχρονος Δεκαδικός Απαριθμητής με Latch Ασκήσεις 2 Ασύγχρονοι

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο Διάλεξη 8 η : Μηχανές Πεπερασμένων Κaταστάσεων σε FPGAs

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο Διάλεξη 8 η : Μηχανές Πεπερασμένων Κaταστάσεων σε FPGAs ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 8 η :

Διαβάστε περισσότερα

Κεφάλαιο Τρία: Ψηφιακά Ηλεκτρονικά

Κεφάλαιο Τρία: Ψηφιακά Ηλεκτρονικά Κεφάλαιο Τρία: 3.1 Τι είναι αναλογικό και τι ψηφιακό µέγεθος Αναλογικό ονοµάζεται το µέγεθος που µπορεί να πάρει οποιαδήποτε τιµή σε µια συγκεκριµένη περιοχή τιµών π.χ. η ταχύτητα ενός αυτοκινήτου. Ψηφιακό

Διαβάστε περισσότερα

7 η διάλεξη Ακολουθιακά Κυκλώματα

7 η διάλεξη Ακολουθιακά Κυκλώματα 7 η διάλεξη Ακολουθιακά Κυκλώματα 1 2 3 4 5 6 7 Παραπάνω βλέπουμε ακολουθιακό κύκλωμα σχεδιασμένο με μανταλωτές διαφορετικής φάσης. Παρατηρούμε ότι συνδυαστική λογική μπορεί να προστεθεί μεταξύ και των

Διαβάστε περισσότερα

Μάθημα 0: Εισαγωγή. Λευτέρης Καπετανάκης. ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΚΡΗΤΗΣ ΤΜΗΜΑ ΗΛΕΚΤΡΟΝΙΚΗΣ Άνοιξη 2011

Μάθημα 0: Εισαγωγή. Λευτέρης Καπετανάκης. ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΚΡΗΤΗΣ ΤΜΗΜΑ ΗΛΕΚΤΡΟΝΙΚΗΣ Άνοιξη 2011 ΤΛ22 Ψηφιακά Κυκλώματα Ι Μάθημα : Εισαγωγή Λευτέρης Καπετανάκης ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟ ΙΔΡΥΜΑ ΚΡΗΤΗΣ ΤΜΗΜΑ ΗΛΕΚΤΡΟΝΙΚΗΣ Άνοιξη 2 Περιεχόμενα Μαθήματος Εισαγωγή στη σχεδίαση των ψηφιακών κυκλώματων Εισαγωγή

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 12: Σύνοψη Θεμάτων Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http://arch.icte.uowm.gr/mdasyg

Διαβάστε περισσότερα

i Το τρανζίστορ αυτό είναι τύπου NMOS. Υπάρχει και το συμπληρωματικό PMOS. ; Τι συμβαίνει στο τρανζίστορ PMOS; Το τρανζίστορ MOS(FET)

i Το τρανζίστορ αυτό είναι τύπου NMOS. Υπάρχει και το συμπληρωματικό PMOS. ; Τι συμβαίνει στο τρανζίστορ PMOS; Το τρανζίστορ MOS(FET) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 25-6 Το τρανζίστορ MOS(FET) πύλη (gate) Ψηφιακή και Σχεδίαση πηγή (source) καταβόθρα (drai) (σχεδίαση συνδυαστικών κυκλωμάτων) http://di.ioio.gr/~mistral/tp/comparch/

Διαβάστε περισσότερα

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Μετρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Μετρητής Ριπής Σύγχρονος υαδικός

Διαβάστε περισσότερα

Περιεχόµενα. Πρόλογος 11. 0 Εισαγωγή 21

Περιεχόµενα. Πρόλογος 11. 0 Εισαγωγή 21 Περιεχόµενα Πρόλογος 11 Σκοπός αυτού του βιβλίου 11 Σε ποιους απευθύνεται αυτό το βιβλίο 12 Βασικά χαρακτηριστικά του βιβλίου 12 Κάλυψη συστηµάτων CAD 14 Εργαστηριακή υποστήριξη 14 Συνοπτική παρουσίαση

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Συνδυαστική Λογική. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Συνδυαστική Λογική. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Συνδυαστική Λογική Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Ψηφιακά Κυκλώματα Τα ψηφιακά κυκλώματα διακρίνονται σε συνδυαστικά (combinational)

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Μετρητές 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Μετρητές 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Μετρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Μετρητής Ριπής Σύγχρονος υαδικός Μετρητής

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Χειµερινό Εξάµηνο 2006-2007 Εκφώνηση Εργαστηρίου Στο εργαστήριο του µαθήµατος σας ζητείται να σχεδιάσετε, να υλοποιήσετε και να επαληθεύσετε

Διαβάστε περισσότερα

ΦΟΙΤΗΤΡΙΑ : ΒΟΥΛΓΑΡΙ ΟΥ ΜΑΡΙΑ, ΑΕΜ: 2109 ΕΠΙΒΛΕΠΩΝ : ΚΑΛΟΜΟΙΡΟΣ ΙΩΑΝΝΗΣ, ΕΠΙΚΟΥΡΟΣ ΚΑΘΗΓΗΤΗΣ

ΦΟΙΤΗΤΡΙΑ : ΒΟΥΛΓΑΡΙ ΟΥ ΜΑΡΙΑ, ΑΕΜ: 2109 ΕΠΙΒΛΕΠΩΝ : ΚΑΛΟΜΟΙΡΟΣ ΙΩΑΝΝΗΣ, ΕΠΙΚΟΥΡΟΣ ΚΑΘΗΓΗΤΗΣ Τίτλος: «Σχεδίαση και προσοµοίωση παράλληλης αριθµητικής λογικής µονάδας (ALU) για την επεξεργασία δυαδικών αριθµών εύρους 4-bit, µε το πρόγραµµα Multisim» ΦΟΙΤΗΤΡΙΑ : ΒΟΥΛΓΑΡΙ ΟΥ ΜΑΡΙΑ, ΑΕΜ: 2109 ΕΠΙΒΛΕΠΩΝ

Διαβάστε περισσότερα

3 η Θεµατική Ενότητα : Απλοποίηση Συναρτήσεων Boole. Επιµέλεια διαφανειών: Χρ. Καβουσιανός

3 η Θεµατική Ενότητα : Απλοποίηση Συναρτήσεων Boole. Επιµέλεια διαφανειών: Χρ. Καβουσιανός 3 η Θεµατική Ενότητα : Απλοποίηση Συναρτήσεων oole Επιµέλεια διαφανειών: Χρ. Καβουσιανός Απλοποίηση Συναρτήσεων oole Ø Η πολυπλοκότητα του κυκλώµατος που υλοποιεί µια συνάρτηση oole σχετίζεται άµεσα µε

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ 1) Οι απαριθμητές ή μετρητές (counters) είναι κυκλώματα που

Διαβάστε περισσότερα

Γενική οργάνωση υπολογιστή «ΑΒΑΚΑ»

Γενική οργάνωση υπολογιστή «ΑΒΑΚΑ» Περιεχόμενα Γενική οργάνωση υπολογιστή «ΑΒΑΚΑ»... 2 Καταχωρητές... 3 Αριθμητική-λογική μονάδα... 3 Μονάδα μνήμης... 4 Μονάδα Εισόδου - Εξόδου... 5 Μονάδα ελέγχου... 5 Ρεπερτόριο Εντολών «ΑΒΑΚΑ»... 6 Φάση

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ 1) Οι σύγχρονοι μετρητές υλοποιούνται με Flip-Flop τύπου T

Διαβάστε περισσότερα

Ψηφιακή Λογική και Σχεδίαση

Ψηφιακή Λογική και Σχεδίαση Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 26-7 Ψηφιακή Λογική και Σχεδίαση (σχεδίαση συνδυαστικών κυκλωμάτων) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Το τρανζίστορ

Διαβάστε περισσότερα

Ψηφιακά Κυκλώματα (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική

Ψηφιακά Κυκλώματα (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική Ψηφιακά Κυκλώματα ( ο μέρος) ΜΥΥ-6 Εισαγωγή στους Η/Υ και στην Πληροφορική Ψηφιακά κυκλώματα Οι δύο λογικές τιμές, αντιστοιχούν σε ηλεκτρικές τάσεις Υλοποιούνται με τρανζίστορ ή διόδους: ελεγχόμενοι διακόπτες

Διαβάστε περισσότερα

Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level)

Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level) Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level) Ερωτήσεις Επανάληψης 1. Ένας καθηγητής λογικής μπαίνει σε ένα εστιατόριο και λέει : Θέλω ένα σάντουιτς ή ένα σουβλάκι και τηγανητές πατάτες. Δυστυχώς,

Διαβάστε περισσότερα

Συλλογή & Επεξεργασία Δεδομένων Εργαστήριο 5. Ρυθμίζοντας τη Φορά Περιστροφής. Σύστημα Συλλογής & Επεξεργασίας Μετρήσεων

Συλλογή & Επεξεργασία Δεδομένων Εργαστήριο 5. Ρυθμίζοντας τη Φορά Περιστροφής. Σύστημα Συλλογής & Επεξεργασίας Μετρήσεων Σκοπός Συλλογή & Επεξεργασία Δεδομένων Εργαστήριο 5 Ρυθμίζοντας τη Φορά Περιστροφής DC Κινητήρα. Σύστημα Συλλογής & Επεξεργασίας Μετρήσεων Βασική δομή ενός προγράμματος στο LabVIEW. Εμπρόσθιο Πλαίσιο (front

Διαβάστε περισσότερα

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ Τμήμα Ηλεκτρολόγων Μηχανικών Εργαστήριο Ενσύρματης Τηλεπικοινωνίας ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ Μάθημα 6: Απαριθµητές (µετρητές) Διδάσκων: Καθηγητής Ν. Φακωτάκης Ακολουθιακά κυκλώµατα Σύγχρονα (οδηγούµενα από

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ. ΜΑΘΗΜΑ 2 ο. ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ

ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ. ΜΑΘΗΜΑ 2 ο. ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ ΜΑΘΗΜΑ 2 ο ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ 2009-10 ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ 1 Άλγεβρα Βοοle η θεωρητική βάση των λογικών κυκλωμάτων Η άλγεβρα Βοοle ορίζεται επάνω στο σύνολο

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 10 ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ

ΑΣΚΗΣΗ 10 ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ ΑΣΚΗΣΗ ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ.. ΣΚΟΠΟΣ Η σχεδίαση ακολουθιακών κυκλωμάτων..2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ.2.. ΑΛΓΟΡΙΘΜΟΣ ΣΧΕΔΙΑΣΗΣ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Τα ψηφιακά κυκλώματα με μνήμη ονομάζονται ακολουθιακά.

Διαβάστε περισσότερα

15 ΤΕΛΟΣ 1ΗΣ ΑΠΟ 5 ΣΕΛΙ ΕΣ

15 ΤΕΛΟΣ 1ΗΣ ΑΠΟ 5 ΣΕΛΙ ΕΣ ΑΡΧΗ 1ΗΣ ΣΕΛΙ ΑΣ ΠΑΝΕΛΛΑ ΙΚΕΣ ΕΞΕΤΑΣΕΙΣ ΗMEΡΗΣΙΩΝ ΚΑΙ ΕΣΠΕΡΙΝΩΝ ΕΠΑΓΓΕΛΜΑΤΙΚΩΝ ΛΥΚΕΙΩΝ (ΟΜΑ Α A ) ΚΑΙ ΜΑΘΗΜΑΤΩΝ ΕΙ ΙΚΟΤΗΤΑΣ ΕΠΑΓΓΕΛΜΑΤΙΚΩΝ ΛΥΚΕΙΩΝ (ΟΜΑ Α Β ) ΤΕΤΑΡΤΗ 18 ΙΟΥΝΙΟΥ 2014 ΕΞΕΤΑΖΟΜΕΝΟ ΜΑΘΗΜΑ:

Διαβάστε περισσότερα

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα Κεφάλαιο 6 Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα 6.1 Εισαγωγή Η εκτέλεση διαδοχικών λειτουργιών απαιτεί τη δημιουργία κυκλωμάτων που μπορούν να αποθηκεύουν πληροφορίες, στα ενδιάμεσα στάδια των

Διαβάστε περισσότερα

Εργαστήριο 6: Προσηµασµένοι Ακέραιοι, Προσθαφαιρέτες, Flip-Flops

Εργαστήριο 6: Προσηµασµένοι Ακέραιοι, Προσθαφαιρέτες, Flip-Flops 1 of 6 18/11/2003 5:11 µµ ΗΥ-120: Ψηφιακή Σχεδίαση Φθινόπωρο 2003 Τµ. Επ. Υπολογιστών Πανεπιστήµιο Κρήτης Εργαστήριο 6: Προσηµασµένοι Ακέραιοι, Προσθαφαιρέτες, Flip-Flops 24-27 Νοεµβρίου 2003 ιαγωνισµός

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 6 ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ. 6.1 Εισαγωγή

ΚΕΦΑΛΑΙΟ 6 ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ. 6.1 Εισαγωγή ΚΕΦΑΛΑΙΟ 6 ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 6. Εισαγωγή Τα ψηφιακά κυκλώματα διακρίνονται σε συνδυαστικά και ακολουθιακά. Τα κυκλώματα που εξετάσαμε στα προηγούμενα κεφάλαια ήταν συνδυαστικά. Οι τιμές των

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 16: Μετρητές (Counters)

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 16: Μετρητές (Counters) ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 16: Μετρητές (Counters) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη q Μετρητής Ριπής q Σύγχρονος

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 18: Διαδικασία Σχεδίασης Ψηφιακών Συστηµάτων - Επανάληψη

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 18: Διαδικασία Σχεδίασης Ψηφιακών Συστηµάτων - Επανάληψη ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 18: Διαδικασία Σχεδίασης Ψηφιακών Συστηµάτων - Επανάληψη ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη

Διαβάστε περισσότερα