Κεφάλαιο 5 o και 7 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Κατανάλωση Ισχύος 2

Σχετικά έγγραφα
Κεφάλαιο 2 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab

Κεφάλαιο 4 o και 6 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Καθυστέρηση ιάδοσης Σήματος 2

Θεωρία MOS Τρανζίστορ

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

Βασικές αρχές ηµιαγωγών και τρανζίστορ MOS. Εισαγωγή στην Ηλεκτρονική

Τα τρανζίστορ επίδρασης πεδίου (FET) Σπύρος Νικολαΐδης Αναπληρωτής Καθηγητής Τομέας Ηλεκτρονικής & ΗΥ Τμήμα Φυσικής

Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων

Ερωτήσεις θεωρίας Σημειώσεις στο τρανζίστορ MOSFET

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (9 η σειρά διαφανειών)

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (8 η σειρά διαφανειών)

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I

Τρανζίστορ Φαινοµένου Πεδίου Ι

Υ52 Σχεδίαση Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων. Δεληγιαννίδης Σταύρος Φυσικός, MsC in Microelectronic Design

Μικροηλεκτρονική - VLSI

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I

Ηλεκτρονική Φυσική & Οπτικοηλεκτρονική

Υ52 Σχεδίαση Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων 6: Ταχύτητα Κατανάλωση Ανοχή στον Θόρυβο

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (11 η σειρά διαφανειών)

Τελεστικοί Ενισχυτές. Σπύρος Νικολαΐδης Αναπληρωτής Καθηγητής Τομέας Ηλεκτρονικής & ΗΥ Τμήμα Φυσικής

Κεφάλαιο 3 ο. Γ. Τσιατούχας. VLSI Technology and Computer Architecture Lab. Ημιαγωγοί - ίοδος Επαφής 2

Ηλεκτρονικά Στοιχεία και Κυκλώματα ΙΙ. Εισαγωγή σε Βασική Φυσική Στοιχείων MOS

Εργαστηριακή άσκηση. Θεωρητικός και πρακτικός υπολογισμός καθυστερήσεων σε αναστροφείς CMOS VLSI

Κεφάλαια 4 ο και 6 ο. Γ. Τσιατούχας. VLSI Technology and Computer Architecture Lab. Τρανζίστορ Επίδρασης Πεδίου ΙΙ 2

Κεφάλαιο 12 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Μνήμες 2

Κεφάλαιο 9 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. CMOS Λογικές ομές 2

Να σχεδιαστεί ένας ενισχυτής κοινού εκπομπού (σχ.1) με τα εξής χαρακτηριστικά: R 2.3 k,

Ηλεκτρικά Κυκλώματα & Δίκτυα ΙΙ. Ανασκόπηση Κεφαλαίου

Χαρακτηρισμός και μοντέλα τρανζίστορ λεπτών υμενίων βιομηχανικής παραγωγής: Τεχνολογία μικροκρυσταλλικού πυριτίου χαμηλής θερμοκρασίας

ΑΡΧΕΣ ΗΛΕΚΤΡΟΝΙΚΩΝ ΣΤΟΙΧΕΙΩΝ Τρανζίστορ Μετάλλου Οξειδίου MOSFET


Ψηφιακά Ηλεκτρονικά. Μάθηµα 5ο.. Λιούπης

CMOS ΛΟΓΙΚΗ ΟΙΚΟΓΕΝΕΙΑ ΜΕ ΧΡΗΣΗ ΔΙΟΔΙΚΟΥ ΤΡΑΝΖΙΣΤΟΡ ΓΙΑ ΧΑΜΗΛΗ ΔΥΝΑΜΙΚΗ ΚΑΤΑΝΑΛΩΣΗ ΕΝΕΡΓΕΙΑΣ Η ΜΕΤΑΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΕΞΕΙΔΙΚΕΥΣΗΣ.

ΗΛΕΚΤΡΟΝΙΚΗ Ι ΤΡΑΝΖΙΣΤΟΡ ΕΠΙΔΡΑΣΗΣ ΠΕΔΙΟΥ. Eλεγχος εσωτερικού ηλεκτρικού πεδίου με την εφαρμογή εξωτερικού δυναμικού στην πύλη (gate, G).

Κεφάλαιο 4 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Λογικός Φόρτος 2

Επιπλέον, για ευκολία στις πράξεις ορίζουμε τις παρακάτω μεταβλητές

Κεφάλαιο 3. Λογικές Πύλες

Ενισχυτής κοινής πηγής (common source amplifier)

Τρανζίστορ FET Επαφής

Κεφάλαια 4 ο και 6 ο. Γ. Τσιατούχας. VLSI Technology and Computer Architecture Lab. Τρανζίστορ Φαινομένου

Ηλεκτρονική. Ενότητα 9: Τρανζίστορ Επίδρασης Πεδίου (FET) Αγγελική Αραπογιάννη Τμήμα Πληροφορικής και Τηλεπικοινωνιών

Μικροηλεκτρονική - VLSI

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (2 η σειρά διαφανειών)

ΤΙ ΕΙΝΑΙ Η ΗΛΕΚΤΡΟΝΙΚΗ;

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Οικογένειες Ολοκληρωμένων Κυκλωμάτων Ψηφιακής Λογικής

Ψηφιακά Ηλεκτρονικά. Μάθηµα 3ο.. Λιούπης

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI.

Λογικά Κυκλώματα CMOS. Διάλεξη 5

Σχεδίαση Αναλογικών Κυκλωμάτων VLSI

Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων VLSI I 3 η Εργαστηριακή Άσκηση

ΒΑΣΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ

Μικροηλεκτρονική - VLSI

Εισαγωγή. Στατική Λειτουργία V DD Q P Q N Q N =SAT QP=LIN QN=LIN Q P =SAT. Vi (Volts)

Αριστοτέλειο Πανεπιστήμιο Θεσσαλονίκης ΗΛΕΚΤΡΟΝΙΚΗ Ι. Ασκήσεις. Χατζόπουλος Αλκιβιάδης Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχ. Υπολογιστών Α.Π.Θ.

Καθυστέρηση στατικών πυλών CMOS

Μικροηλεκτρονική - VLSI

/personalpages/papageorgas/ download/3/

ΕΡΓΑΣΤΗΡΙΟ ΣΥΣΤΗΜΑΤΩΝ ΑΥΤΟΜΑΤΟΥ ΕΛΕΓΧΟΥ ΣΑΕ ΙΙ. Αισθητήρια θερμοκρασίας Εισαγωγή

Πόλωση των Τρανζίστορ

Πόλωση των τρανζίστορ ενίσχυσης

Περιεχόμενα. ΚΕΦΑΛΑΙΟ 1 Μοντέλα για Ενεργές Συσκευές Ολοκληρωμένου Κυκλώματος. 1.1 Εισαγωγή

ΕΝΙΣΧΥΤΕΣΜΙΑΣΒΑΘΜΙΔΑΣ ΔΙΑΛΕΞΗ 1

Σύνθεση για χαµηλή κατανάλωση

Λογικά Κυκλώματα NMOS. Διάλεξη 4

Κεφάλαιο 7 ο. Γ. Τσιατούχας. VLSI Technology and Computer Architecture Lab. 4. Ο CMOS διαφορικός ενισχυτής

2 η ενότητα ΤΑ ΤΡΑΝΖΙΣΤΟΡ ΣΤΙΣ ΥΨΗΛΕΣ ΣΥΧΝΟΤΗΤΕΣ

ΗΛΕΚΤΡΟΝΙΚΗ Ι Ενότητα 3

ΗΛΕΚΤΡΟΝΙΚΑ Ι. ΚΕΦΑΛΑΙΟ 4 Ο : FET (Τρανζίστορ επίδρασης πεδίου)

Ηλεκτρονική ΙIΙ. 6 ο εξάμηνο

Κεφάλαιο 1 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. CMOS Κυκλώματα 2

Ηλεκτρονική ΙΙΙ 6 ο εξάμηνο

Ανάλυση Κυκλωμάτων. Φώτης Πλέσσας Τμήμα Ηλεκτρολόγων Μηχανικών & Μηχανικών Υπολογιστών

Κεφάλαιο 7 ο. Γ. Τσιατούχας. VLSI Technology and Computer Architecture Lab. Ακολουθιακή Λογική 2


ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ & ΣΥΣΤΗΜΑΤΑ

ΦΩΤΟΒΟΛΤΑΪΚΑ. Γ. Λευθεριώτης Αναπλ. Καθηγητής Γ. Συρροκώστας Μεταδιδακτορικός Ερευνητής

4 η ΕΝΟΤΗΤΑ. Το MOSFET

«Απόκριση Συχνότητας Ενισχυτών με Τρανζίστορ»

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΤΕΧΝΟΛΟΓΙΑΣ MOS KAI CMOS

Κεφάλαιο 15 o. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Έλεγχος Ορθής Λειτουργίας 2

Συλλογή μεταφορά και έλεγχος Δεδομένων ΘΟΡΥΒΟΣ - ΓΕΙΩΣΕΙΣ

Το διπολικό τρανζίστορ

ΤΕΙ - ΧΑΛΚΙ ΑΣ 12. ΤΡΑΝΖΙΣΤΟΡ ΕΠΙ ΡΑΣΗΣ ΠΕ ΙΟΥ (FET) Tρανζίστορ στο οποίο το ρεύµα εξόδου ελέγχεται όχι από το ρεύµα αλλά από την τάση εισόδου.

ΑΠΑΝΤΗΣΕΙΣ. Σχήμα 1 Σχήμα 2 Σχήμα 3

V CB V BE. Ορθό ρεύμα έγχυσης οπών. Συλλέκτης Collector. Εκπομπός Emitter. Ορθό ρεύμα έγχυσης ηλεκτρονίων. Ανάστροφο ρεύμα κόρου.

ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (7 η σειρά διαφανειών)

ΠΕΙΡΑΜΑΤΙΚΗ ΔΙΑΔΙΚΑΣΙΑ

Γ. Τσιατούχας. 1. Διαγράμματα Bode. VLSI systems and Computer Architecture Lab. Φροντιστήρια ΙV

HY:433 Σχεδίαση Αναλογικών/Μεικτών και Υψισυχνών Κυκλωμάτων

ΝΑΝΟΗΛΕΚΤΡΟΝΙΚΗ ΙΙ ΓΙΩΡΓΟΣ ΤΣΙΓΑΡΙΔΑΣ

Κατανάλωση ισχύος ψηφιακών κυκλωμάτων

Βασικά Στοιχεία Αναλογικών Ηλεκτρονικών

ΦΩΤΟΒΟΛΤΑΪΚΑ. Γ. Λευθεριώτης Αναπλ. Καθηγητής Γ. Συρροκώστας Μεταδιδακτορικός Ερευνητής

Ιατρικά Ηλεκτρονικά. Χρήσιμοι Σύνδεσμοι. ΙΑΤΡΙΚΑ ΗΛΕΚΤΡΟΝΙΚΑ - ΔΙΑΛΕΞΗ 2η. Σημειώσεις μαθήματος: E mail:

1. Φάσμα συχνοτήτων 2. Πεδίο μιγαδ

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (10 η σειρά διαφανειών)

HY121-Ηλεκτρονικά Κυκλώματα

Υλοποίηση λογικών πυλών µε τρανζίστορ MOS. Εισαγωγή στην Ηλεκτρονική

οµές MOSFET (Metal Oxide Semiconductor Field Effect Transistor).

Transcript:

ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων Κατανάλωση Ισχύος Κεφάλαιο 5 o και 7 ο Τμήμα Μηχανικών Η/Υ και Πληροφορικής Γ. Τσιατούχας ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. Στατική κατανάλωση ισχύος. Δυναμική κατανάλωση ισχύος 3. Κατανάλωση ισχύος βραχυκυκλώματος 4. Εξέλιξη της CMOS τεχνολογίας VLSI Sysems and Comuer Archiecure Lab Κατανάλωση Ισχύος 1

Κατανάλωση Ισχύος Σταψηφιακάολοκληρωμένακυκλώματαδιακρίνουμετρειςαιτίες κατανάλωσης ισχύος: Στατική κατανάλωση ισχύος: εξ αιτίας ρευμάτων διαρροής στα τρανζίστορ, η οποία υφίσταται ακόμη και όταν το κύκλωμα είναι ανενεργό (idle sae. Δυναμική κατανάλωση ισχύος: εξ αιτίας της φόρτισης και αποφόρτισης των παρασιτικών χωρητικοτήτων των εσωτερικών κόμβων ενός κυκλώματος. Κατανάλωση ισχύος βραχυκυκλώματος: εξ αιτίας ρευμάτων βραχυκύκλωσης μεταξύ των γραμμών τροφοδοσίας κατά τη διάρκεια της μετάβασης (αλλαγής κατάστασης των σημάτων στις εισόδους των λογικών πυλών. P V I leakage (V f clk αc ov V I sc (V Δυναμική Στατική Κατανάλωση Ισχύος 3 Στατική κατανάλωση ισχύος Κατανάλωση Ισχύος 4

STI Στατική Κατανάλωση Ισχύος Κατανάλωση εξ αιτίας διαρροών ρεύματος στα τρανζίστορ. Source I 7 Gae I 1 I I 3 Drain n + n + sub I 8 I 6 I 5 I 4 STI Μηχανισμοί Ρευμάτων Διαρροής I 1 : Weak Inversion I 5 : Gae Induced Drain Leakage I : Drain Induced db Barrier Lowering I 6 : Gae Oid Oxide Tunnelling I 3 : Narrow Widh Effec I 7 : Ho Carrier Injecion I 4 : Reverse Bias Curren I 8 : Punchhrough n Ps Ρεύμα_Διαρροής * Τάση Τροφοδοσίας Στατική Κατανάλωση Ισχύος 1 I off V Κατανάλωση Ισχύος 5 Ρεύμα Ανάστροφης Πόλωσης Reverse Bias Curren Gnd V i Αναστροφέας V sub V o G G B S D D S B STI + n+ n+ + + n+ I V VT I S e 1 n well n - Εξίσωση Ρεύματος n Επαφής Κατανάλωση Ισχύος 6 3

I D (A Αγωγή Υποκατωφλίου I 10 Γραμμική εξάρτηση η 10 4 10 6 10 8 10 10 10 1 Εκθετική εξάρτηση V Τετραγωνική εξάρτηση 0 0.5 1 1.5.5 V GS (V I D I 0 W e L VGS V0 ηvds k γvsb nυτ 1 e VDS υτ I 0 και n τεχνολογικές παράμετροι (1.3 n 1.7 Χαρακτηριστικός παράγοντας είναι η εξασθένηση του ρεύματος I D σε σχέση με τη V GS. Παράγοντας κλίσης (sloe facor: kt S n ln(10 q ΜετράπόσοπρέπειναμειωθείηV GS για να μειωθεί το I D κατά 10. Για n=1.5 ο ρυθμός μείωσης είναι: S=90mV/decade kt = υt = θερμικό δυναμικό Κατανάλωση Ισχύος q 7 I D (A Αγωγή Υποκατωφλίου II V V k V GS 0 DS γ SB VDS W nυτ υτ ID I0 e 1 e 10 L V DS =1V 10 4 10 6 10 8 DIBL V DS =0.1V ηv ΗτάσητηςυποδοχήςV DS επηρεάζει την τάση κατωφλίου V. Το φαινόμενο ονομάζεται Μείωση Φράγματος Δυναμικού Εξαιτίας της Υποδοχής (Drain Induced Barrier Lowering DIBL. 10 10 10 1 V 0 0.5 1 1.5.5 V GS (V Εκφράζεται ως: V = V 0 ηv DS η=0.1 ο συντελεστής DIBL Κατανάλωση Ισχύος 8 4

Ρεύμα Διαρροής Πύλης Για λεπτά οξείδια πύλης (<1.5.0 nm, υπάρχει ροή ρεύματος μέσα από το οξείδιο ( μονωτής. Το ρεύμα αυτό οφείλεται σε κβαντομηχανικά φαινόμενα διόδευσης / καναλισμού / σήραγγας (unneling των φορέων και είναι ανάλογο της επιφάνειας της πύλης. Διόδευση Fowler Nordheim: συνθήκες υψηλής τάσης με μέτριο πάχος οξειδίου. Απευθείας Διόδευση (Direc Tunneling: συνθήκες ςχαμηλής τάσης ηςμε λεπτό πάχος οξειδίου. I gae V WA ox e B V ox Κατανάλωση Ισχύος 9 Αντιμετώπιση στατικής κατανάλωσης ισχύος Κατανάλωση Ισχύος 10 5

V Αποκοπή Τροφοδοσίας IR πτώση τάσης Ldi/d πτώση τάσης Αποκοπή της τροφοδοσίας (ower gaing για τη μείωση του ρεύματος υποκατωφλίου Slee1 μεγάλο ρεύμα Πυρήνας 1...... Ανενεργός Ενεργός Εικονική V Slee Πυρήνας...... Ενεργός Εικονική V Πυρήνας Μειωμένη ακεραιότητα σήματος & Προβλήματα αξιοπιστίας Gnd Slee 1 Λύση: Κατάτμηση διακοπτών τροφοδοσίας & Διαδοχική ενεργοποίηση V Virual V Πυρήνας 1 Κατανάλωση Ισχύος 11 Δυναμική κατανάλωση ισχύος Κατανάλωση Ισχύος 1 6

Δυναμική Κατανάλωση Ισχύος (Ι V i ( V V o ( V r f V V o I D ( V i Gnd C L Gnd Κατανάλωση εξ αιτίας της φόρτισης και αποφόρτισης των εσωτερικών παρασιτικών χωρητικοτήτων. I Dn I D Κατανάλωση Ισχύος 13 Δυναμική Κατανάλωση Ισχύος (ΙΙ V i V V o C L P Η μέση δυναμική ισχύς για τετραγωνικό παλμό εισόδου, συχνότητας f = 1/ δίδεται από: d 1 / 0 1 in(vo (d i((v / V (d o Gnd Gnd Με δεδομένο ότι: dv( i( C d C C V 0 L L d VodVo 0 V P (V V d(v o CL V Vo L f C V Κατανάλωση Ισχύος 14 7

Δυναμική Κατανάλωση Ισχύος (ΙΙΙ Γενικά μία πύλη δεν αλλάζει κατάσταση σε κάθε παλμό του ρολογιού του συστήματος στο οποίο είναι ενσωματωμένη. Αν 01 η πιθανότητα αλλαγής κατάστασης (μετάβασης μιας πύλης σε κάθε παλμό του ρολογιού συχνότητας f τότε η δυναμική κατανάλωση ισχύος θα δίδεται από τη σχέση: P d 01 f C L V Ορίζουμε ως C EFF = 01 C L την ενεργή χωρητικότητα η οποία αντιπροσωπεύει τη μέση χωρητικότητα η οποία αλλάζει κατάσταση σε κάθε παλμό του ρολογιού. Κατανάλωση Ισχύος 15 Μείωση της Δυναμικής Κατανάλωσης Μείωση της τάσης τροφοδοσίας Μείωση των μεταβάσεων σήματος Μείωση της παρασιτικής χωρητικότητας Προσαρμογή των μεγεθών των τρανζίστορ Αποφυγή αυτοφόρτωσης Κατανάλωση Ισχύος 16 8

Δυναμική Κλιμάκωση Τάσης Συχνότητας Με τη δυναμική κλιμάκωση της τάσης τροφοδοσίας και της συχνότητας (dynamic volage frequency scaling DVFS επιχειρούμε να μειώσουμε τη δυναμική κατανάλωση ενός κυκλώματος μειώνοντας (ανάλογα με τις συνθήκες λειτουργίας την τάση και κατ επέκταση τη συχνότητα λειτουργίας. V ower Ελεγκτής DVFS Έλεγχος Τάσης Έλεγχος Συχνότητας Φόρτος Θερμοκρασία Ρυθμιστής Τάσης V Πυρήνας Κατανάλωση Ισχύος 17 Γινόμενο Ενέργειας Καθυστέρησης Καθυστέρηση Ενέργεια Ενέργεια Καθυστέρηση CV I D ID k(v V CV k(v V 3 C V E k (V V E CV ED E α (V 3 V V α=c /k 3 (V V 3V V(V V V(V 3V α α 4 3 (V V (V V d(ed dv Η παράγωγος μηδενίζεται για V =3V Κατανάλωση Ισχύος 18 9

Ενέργεια Καθυστέρηση και V V Κατανάλωση Ισχύος 19 Κατανάλωση ισχύος βραχυκυκλώματος Κατανάλωση Ισχύος 0 10

Κατανάλωση Ισχύος Βραχυκυκλώματος V i V V o C L Στο χρονικό διάστημα της μετάβασης της εξόδου V o από Gnd σε V και αντίστροφα και όσο η τάση εισόδου V i βρίσκεται μεταξύ των τιμών V n <V i <V V, εμφανίζεται απευθείας ρεύμα από την τροφοδοσία V στη γη (Gnd. Gnd Gnd Στις σύγχρονες τεχνολογίες και για τυπικές πύλες, η ισχύς βραχυκυκλώματος είναι πολύ μικρότερη σε σχέση με τις δύο προηγούμενες μορφές κατανάλωσης ισχύος. Κατανάλωση Ισχύος 1 Ολική Κατανάλωση Ισχύος P ολική = P s + P d + P sh Παράδειγμα: Ποια η ολική κατανάλωση ισχύος συστήματος 10 αναστροφέων που λειτουργούν, α στα 10MHz και με τροφοδοσία 5V [τεχνολογία 1μm όπου C d =15fF, C g =150fF και I off =0.1A] και β στα 1000MHz και με τροφοδοσία 1.8V [τεχνολογία 180nm όπου C d =0.5fF, C g =5fF και I off =100A]; Η κατανάλωση βραχυκυκλώματος P sh να μην ληφθεί υπόψιν. Για τον αναστροφέα δίδεται ότι: Εσωτερική Παρασιτική Χωρητικότητα = C d και Χωρητικότητα Εισόδου = C g Ισχύει: P P P NI V N(C C V f ολική s d off α P ολική = (0.005x10 6 + 85x10 6 Wa = 8.5x10 4 Wa και β P ολική = (1.8x10 6 + 178.x10 6 Wa = 1.80x10 4 Wa d g Κατανάλωση Ισχύος 11

Εξέλιξη της CMOS τεχνολογίας Κατανάλωση Ισχύος 3 Κλιμάκωση Τεχνολογίας Στόχοι Φθηνότερα ολοκληρωμένα κυκλώματα: Ολοκλήρωση περισσότερων συναρτήσεων (ransisors ανά ολοκληρωμένο στο ίδιο κόστος Κατασκευή του ίδιου ολοκληρωμένου με μικρότερο κόστος Μείωση του κόστους κατασκευής ανά τρανζίστορ Ταυτόχρονα ζητάμε ταχύτερα, μικρότερα, χαμηλότερης κατανάλωσης και αξιόπιστα κυκλώματα Κατανάλωση Ισχύος 4 1

Κλιμάκωση Διαστάσεων MOS Τρανζίστορ Μοντέλο Κλιμάκωσης Σταθερού Πεδίου V /α ox /α n + + L/α W/α Χ/α αn Παράμετρος Παράγοντας Κλιμάκωσης Elecric Field: 1 Deleion Layer: 1/α Gae Paraciic Caaciance: 1/α Gae Delay: 1/α DC Power Dissiaion: 1/α Dynamic Power Dissiaion: 1/α Παράμετρος Παράγοντας Κλιμάκωσης Power Seed Produc: 1/α 3 Gae Area: 1/α Power Densiy: 1 Curren Densiy: α Transconducance: 1 Κατανάλωση Ισχύος 5 Εξέλιξη της CMOS Τεχνολογίας Ι Κατανάλωση Ισχύος 6 13

Εξέλιξη της CMOS Τεχνολογίας ΙΙ Inernaional Technology Roadma for Semiconducors ITRS 006 Double Gae Χρόνος Εισαγωγής 005 007 009 011 013 015 017 Τεχνολογικός Κόμβος [nm] 3 5 0 16 13 10 8 Τροφοδοσία [V] Επίπεδα Μετάλλου Max gae leakage [A/cm ] V [mv] I off(sub [μα/μm] 1.1 6 7 1.910 195 0.06 1.1 1.0 1.0 0.9 0.8 0.7 6 7 7 8 9 9 10 10 8.910 1.110 3.010 3 8.510 1.110 3 1.410 3 165 154 146 185 19 00 0. 0. 0.3 0.11 0.11 0.11 Κατανάλωση Ισχύος 7 Διακύμανση Κατασκευαστικών Παραμέτρων Οι κατασκευαστικές παράμετροι ενός τρανζίστορ παρουσιάζουν διακυμάνσεις στις τιμέςτουςαπόδισκίο(wafer σε δισκίο ή ακόμη και μέσα στο ίδιο το ολοκληρωμένο. Οι διακυμάνσεις αυτές (rocess variaions είναι σχεδόν τυχαίες και μη σχετιζόμενες μεταξύ τους και οφείλονται σε: Διακυμάνσεις του πάχους του οξειδίου, του βάθους της περιοχής διάχυσης, της συγκέντρωσης των προσμίξεων κ.α., με αποτέλεσμα την απόκλιση των αναμενόμενων τιμών αντιστάσεων/χωρητικοτήτων ή της τάσης κατωφλίου. Διακυμάνσεις σε γεωμετρικά χαρακτηριστικά όπως ο λόγος W/L, ήτοπλάτος των γραμμών μετάλλου διασύνδεσης. Οι διακυμάνσεις της κατασκευαστικής διαδικασίας οδηγούν σε αποκλίσεις (θετικές ή αρνητικές της αναμενόμενης ταχύτητας απόκρισης και κατανάλωσης ισχύος των κυκλωμάτων. Προς βοήθεια των σχεδιαστών, στην πρόβλεψη αυτών των αποκλίσεων, οι κατασκευαστές ΟΚ παρέχουν επιπρόσθετα γρήγορα και αργά μοντέλα των κυκλωματικών στοιχείων (τρανζίστορ που αντιστοιχούν σε διακυμάνσεις των παραμέτρων τους κατά ± 3σ για προσομοιώσεις στις ακραίες καταστάσεις καθώς και στατιστικά μοντέλα για προσομοιώσεις Mone Carlo. Οι διακυμάνσεις έχουν αυξητικές τάσεις με την εξέλιξη της τεχνολογίας. Κατανάλωση Ισχύος 8 14

Διακύμανση Κατασκευαστικών Παραμέτρων ιημένο I on Κανονικοποι Ονομαστική τιμή Κανονικοποιημένο I off & προσομοιώσεις Mone Carlo Κατανάλωση Ισχύος 9 Κατασκευαστική Απόδοση Yield #of _good_chis _on_wafer Y oall _ number _of _chis (yield Μοντέλο Seed: Y e AD A >> & Y<30% Μοντέλο Murhy: 1 e Y A D AD A << & Y>30% όπου: Α = επιφάνεια του ολοκληρωμένου D= πυκνότητα ελαττωμάτων (defecs/cm Κατανάλωση Ισχύος 30 15