ΡΑΝΕΡΙΣΤΗΜΙΟ ΘΕΣΣΑΛΙΑΣ ΡΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΡΟΛΟΓΙΣΤΩΝ

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "ΡΑΝΕΡΙΣΤΗΜΙΟ ΘΕΣΣΑΛΙΑΣ ΡΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΡΟΛΟΓΙΣΤΩΝ"

Transcript

1 ΡΑΝΕΡΙΣΤΗΜΙΟ ΘΕΣΣΑΛΙΑΣ ΡΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΡΟΛΟΓΙΣΤΩΝ «Χωροθέτηςη ολοκληρωμένων κυκλωμάτων με παραμέτρουσ θερμοκραςίασ» «Thermally driven Placement» Διπλωματική εργαςία Νικολοπούλου Λουίζα Επιβλζποντεσ Κακθγθτζσ: Σταμοφλθσ Γεϊργιοσ Ευμορφόπουλοσ Νζςτορασ ΒΟΛΟΣ 2013

2 Διπλωματικι εργαςία για τθν απόκτθςθ του διπλϊματοσ του Μθχανικοφ Ηλεκτρονικϊν Υπολογιςτϊν, Τθλεπικοινωνιϊν και Δικτφων του Ρανεπιςτθμίου Θεςςαλίασ, ςτα πλαίςια του προγράμματοσ προπτυχιακϊν ςπουδϊν του τμιματοσ Ηλεκτρολόγων Μθχανικϊν και Μθχανικϊν Υπολογιςτϊν τθσ Ρολυτεχνικισ Σχολισ του Ρανεπιςτθμίου Θεςςαλίασ. Νικολοποφλου Λουίηα Διπλωματοφχοσ Μθχανικόσ Ηλεκτρονικϊν Υπολογιςτϊν, Τθλεπικοινωνιϊν και Δικτφων Ρανεπιςτθμίου Θεςςαλίασ Copyright Nikolopoulou Louiza, 2013 Με επιφφλαξθ κάκε δικαιϊματοσ. All rights reserved Απαγορεφεται θ αντιγραφι, αποκικευςθ και διανομι τθσ παροφςασ εργαςίασ, εξολοκλιρου ι τμιματοσ αυτισ, για εμπορικό ςκοπό. Επιτρζπεται θ ανατφπωςθ, αποκικευςθ και διανομι για ςκοπό μθ κερδοςκοπικό, εκπαιδευτικισ ι ερευνθτικισ φφςθσ, υπό τθν προχπόκεςθ να αναφζρεται θ πθγι προζλευςθσ και να διατθρείται το παρόν μινυμα. Ερωτιματα που αφοροφν τθ χριςθ τθσ εργαςίασ για κερδοςκοπικό ςκοπό πρζπει να απευκφνονται προσ τον ςυγγραφζα. 1

3 2

4 Πίνακασ περιεχομένων Ειςαγωγι... 7 ΚΕΦΑΛΑΙΟ ΔΡΓΑΛΔΙΑ ΗΛΔΚΡΟΝΙΚΗ ΑΤΣΟΜΑΣΟΠΟΙΗΜΔΝΗ ΥΔΓΙΑΗ Βαςικζσ ζννοιεσ Εργαλεία EDA Ιςτορία του EDA Τομείσ εφαρμογισ του EDA ΚΕΦΑΛΑΙΟ ΡΟΗ ΥΔΓΙΑΗ ΟΛΟΚΛΗΡΩΜΔΝΩΝ ΚΤΚΛΩΜΑΣΩΝ οι ςχεδίαςθσ ολοκλθρωμζνων κυκλωμάτων Χωροκζτθςθ Placement Ειςαγωγι Η ςθμαςία τθσ χωροκζτθςθσ/placement οι χωροκζτθςθσ/placement Αντικείμενο τθσ χωροκζτθςθσ/placement Χωροκζτθςθ κελιϊν με παραμζτρουσ κερμοκραςίασ Εξζλιξθ των τρανηίςτορσ Ρροβλιματα χωροκζτθςθσ για standard cells και gate arrays Ανάγκθ για χαμθλι κατανάλωςθ Σχεδίαςθ χαμθλισ κατανάλωςθσ Ιςχφοσ Βαςικζσ αρχζσ ςχεδίαςθσ Σχεδίαςθ Σθμαντικότθτασ ΚΕΦΑΛΑΙΟ ΥΛΟΠΟΙΗΣΗ Διατφπωςθ του προβλιματοσ Κατανάλωςθ Ιςχυόσ Μια διαφορετικι προςζγγιςθ του προβλιματοσ ςφνκεςθσ πινάκων Ρροτεινόμενοσ αλγόρικμοσ Ρειραματικά Αποτελζςματα Benchmarks Circuits Ρειραματικά αποτελζςματα Συγκριτικά αποτελζςματα Μελλοντικζσ επεκτάςεισ

5 ΒΙΒΛΙΟΓΡΑΦΙΑ ΠΙΝΑΚΑΣ ΕΙΚΟΝΩΝ Κεφάλαιο Εταιρείεσ που καταςκευάηουν εργαλεία EDA EDA Tool: Max 3-D tool Εργαλεία CAD..8 Κεφάλαιο EDA Tools improve Low Power Design Physical Design Flow Moore s Law/Transistor Count a. Gate array b. Sea of gates e. General cell c. Standard cell d. Mixed cell The temperature profile of an industrial chip Τεχνικζσ Low-Power Σχεδίαςθσ Κζρδθ-Κόςτθ Τεχνικών Low-Power Σχεδίαςθσ Οι ςυντελεςτζσ του DCT Η ενζργεια των ςυντελεςτών. 24 Κεφάλαιο Temperature profiles with (left) random placement and (right) thermal placement Σχιμα (α): Αρχικι κατάςταςθ Σχιμα (β) Βζλτιςτθ τοποκζτθςθ Πίνακασ κυκλωμάτων Στιγμιότυπα τρεξίματοσ για το Circuits Name: S Στιγμιότυπα τρεξίματοσ για το Circuits Name: S Στιγμιότυπα τρεξίματοσ για το Circuits Name: S Στιγμιότυπα τρεξίματοσ για το Circuits Name: S Στιγμιότυπα τρεξίματοσ για το Circuits Name: S Στιγμιότυπα τρεξίματοσ για το Circuits Name: S Στιγμιότυπα τρεξίματοσ για το Circuits Name: S Στιγμιότυπα τρεξίματοσ για το Circuits Name: S Στιγμιότυπα τρεξίματοσ για το Circuits Name: S Στιγμιότυπα τρεξίματοσ για το Circuits Name: S Στιγμιότυπα τρεξίματοσ για το Circuits Name: S Στιγμιότυπα τρεξίματοσ για το Circuits Name: S Στιγμιότυπα τρεξίματοσ για το Circuits Name: S Στιγμιότυπα τρεξίματοσ για το Circuits Name: S Στιγμιότυπα τρεξίματοσ για το Circuits Name: S

6 3.20 Στιγμιότυπα τρεξίματοσ για το Circuits Name: S Στιγμιότυπα τρεξίματοσ για το Circuits Name: S Στιγμιότυπα τρεξίματοσ για το Circuits Name: S Στιγμιότυπα τρεξίματοσ για το Circuits Name: S Στιγμιότυπα τρεξίματοσ για το Circuits Name: S Στιγμιότυπα τρεξίματοσ για το Circuits Name: S Στιγμιότυπα τρεξίματοσ για το Circuits Name: S Στιγμιότυπα τρεξίματοσ για το Circuits Name: S Στιγμιότυπα τρεξίματοσ για το Circuits Name: S Στιγμιότυπα τρεξίματοσ για το Circuits Name: S

7 Εσταριστίες Με τθν περάτωςθ τθσ παροφςασ εργαςίασ κα ικελα να ευχαριςτιςω μια ςειρά ανκρϊπων που με βοικθςαν και με υποςτιριξαν κακ όλθ τθ διάρκεια τθσ εκπόνθςθσ τθσ. Αρχικά, κα ικελα να ευχαριςτιςω τουσ επιβλζποντεσ τθσ διπλωματικισ εργαςίασ κ. Γεϊργιο Σταμοφλθ και κ. Νζςτορα Ευμορφόπουλο για τθν εμπιςτοςφνθ που ζδειξαν ςτο πρόςωπό μου, τθν άριςτθ ςυνεργαςία και τθ ςυνεχι κακοδιγθςθ. Ιδιαίτερα κα ικελα να ευχαριςτιςω τον διδάκτορα του τμιματοσ Αντϊνιο Δαδαλιάρθ, που μου εμπιςτεφτθκε το υλικό τθσ διατριβισ του και μου ζδωςε τθν ευκαιρία να ςυμβάλλω ςτθν ερευνθτικι του μελζτθ. Χωρίσ τθν πολφτιμθ βοικεια του, θ περάτωςθ τθσ παροφςασ εργαςίασ κα ιταν ςχεδόν αδφνατθ. Επίςθσ, πολλά ευχαριςτϊ κα ικελα να δϊςω και ςτουσ ςυνεργάτεσ του Εργαςτθρίου Ε5 για τθν υποςτιριξι τουσ και τισ παρεμβάςεισ τουσ. Τζλοσ, οφείλω ζνα μεγάλο ευχαριςτϊ ςτθν οικογζνειά μου και τουσ φίλουσ μου για τθν αμζριςτθ υποςτιριξθ και τθν ανεκτίμθτθ βοικεια που μου παρείχαν τόςο κατά τθ διάρκεια των ςπουδϊν, όςο και κατά τθν εκπόνθςθ τθσ διπλωματικισ μου εργαςίασ. Νικολοποφλου Λουίηα Βόλοσ,

8 Ειςαγωγή Κακϊσ οι διαςτάςεισ των τρανηίςτορσ μειϊνονται ςυνεχϊσ, γίνονται όλο και πιο ευάλωτα ςε παραμετρικζσ μεταβολζσ, που απειλοφν τθν «ορκι» λειτουργία του ςυςτιματοσ. Είναι φανερό πωσ θ ςχεδίαςθ ολοκλθρωμζνων κυκλωμάτων οφείλει να διαμορφωκεί ανάλογα. Μία από τισ πιο ςθμαντικζσ παραμζτρουσ είναι θ πυκνότθτα κερμότθτασ πάνω ςε ζνα κφκλωμα. Η ενζργεια που καταναλϊνουν τα κυκλωματικά ςτοιχειά μετατρζπεται ςε εκλυόμενθ κερμότθτα, με αποτζλεςμα οι μεγάλεσ κερμοκραςίεσ που δθμιουργοφνται και θ άνιςθ κατανομι τουσ να επθρεάηει τθν απόδοςθ και τθν αξιοπιςτία του ολοκλθρωμζνου κυκλϊματοσ. Ωσ εκ τοφτου γίνεται αναγκαίοσ ο επαναπροςδιοριςμόσ χωροκζτθςθσ (placement) των κυκλωματικϊν ςτοιχείων πάνω ςε ζνα κφκλωμα. Σε αυτι τθν εργαςία, που βαςίηεται ςτθν ιδζα που αναφζρεται ςτθ δθμοςίευςθ των Ghosal, P. Tuhina Samantam Rahaman, H. Dasgupta, P., Thermal- Aware Placement of Standard Cells and Gate Arrays: Studies and Observations, κα επικεντρωκοφμε ςτθν χωροκζτθςθ πυλϊν ςε ζνα chip, με τζτοιο τρόπο που να ελαχιςτοποιεί τθν εμφάνιςθ περιοχϊν με πολφ υψθλι κερμοκραςία (hot spot), προτείνοντασ ζνα αλγόρικμο χωροκζτθςθσ βάςει τθσ ιςχφοσ. Για λόγουσ ευκολίασ κα ταυτίςουμε τισ ζννοιεσ κερμοκραςία/κερμότθτα. Τζλοσ, κα παρουςιάςουμε κάποια πειραματικά αποτελζςματα που αποδεικνφουν τθν αποτελεςματικότθτα του αλγορίκμου. Λζξεισ κλειδιά: ςχεδίαςθ ολοκλθρωμζνων κυκλωμάτων, Εργαλεία EDA, Χωροκζτθςθ Ψθφιακϊν Κυκλωμάτων, 7

9 ΚΕΦΑΛΑΙΟ 1 ΔΡΓΑΛΔΙΑ ΗΛΔΚΡΟΝΙΚΗ ΑΤΣΟΜΑΣΟΠΟΙΗΜΔΝΗ ΥΔΓΙΑΗ Στο κεφάλαιο αυτό θα αςχοληθοφμε με τα εργαλεία που χρηςιμοποιοφνται για αυτοματοποιημζνη ςχεδίαςη, γνωςτά ωσ EDA (Electronic design automation). 1.1 Βαςικέσ έννοιεσ Η ςχεδίαςθ ολοκλθρωμζνων κυκλωμάτων (Integrated Circuit) ι όπωσ είναι ευρζωσ γνωςτι IC Design - αποτελεί ζνα υποςφνολο του γνωςτικοφ αντικειμζνου των Ηλεκτρολόγων Μθχανικϊν. Αντικείμενο τθσ, θ λογικι (logic) και κυκλωματικι (circuit) τεχνικι ςχεδίαςθσ που χρθςιμοποιείται για IC Design και παράγει κυκλωματικά ςτοιχεία όπωσ μικροεπεξεργαςτζσ (microprocessors), FPGAs (Field Programmable Gate Arrays), μνιμεσ (RAM/ROM memories, flash memories) και ASICs (Application Specific Integrated Circuits). Είναι ςθμαντικό να αναφερκεί, ότι ιδθ από το 2009 ζνα chip μεγάλου μεγζκουσ αποτελείται από ςχεδόν ζνα διςεκατομμφριο τρανηίςτορ, ςτοιχείο που τονίηει τθν πολυπλοκότθτα των ςφγχρονων ολοκλθρωμζνων κυκλωμάτων. Η πίεςθ τθσ αγοράσ για παραγωγι ολοκλθρωμζνων κυκλωμάτων με ταχείσ ρυκμοφσ ζχει οδθγιςει ςτθν εκτεταμζνθ χριςθ εργαλείων αυτοματοποιθμζνθσ ςχεδίαςθσ (Automated Design Tools), που επιταχφνουν τθ διαδικαςίασ. Η χρθςιμοποίθςθ τζτοιων εργαλείων ζχει γίνει πλζον απαραίτθτθ για τθν 8

10 πλειοψθφία των βθμάτων που ακολουκοφνται κατά τθ ςχεδίαςθ ενόσ κυκλϊματοσ. Η αυτοματοποιθμζνθ θλεκτρονικι ςχεδίαςθ EDA είναι θ υλοποίθςθ ενόσ κυκλϊματοσ με παράλλθλθ χριςθ ειδικϊν λογιςμικϊν, τα οποία ζχουν δθμιουργθκεί κατά περίςταςθ για τθν υποβοικθςθ τθσ διαδικαςίασ. 1.2 Εργαλεία EDA Τα εργαλεία αυτοματοποιθμζνθσ θλεκτρονικισ ςχεδίαςθσ, γνωςτά και ωσ EDA Tools (Electronic Design Automation) αποτελοφν μια κατθγορία εργαλείων λογιςμικοφ τα οποία ζχουν δθμιουργθκεί για τθ ςχεδίαςθ θλεκτρονικϊν ςυςτθμάτων. 1.1 Εταιρείεσ που καταςκευάηουν εργαλεία EDA 9

11 1.3 Ιςτορία του EDA Ρριν τθν ανάπτυξθ των EDA Tools, ο ςχεδιαςμόσ ολοκλθρωμζνων κυκλωμάτων γινόταν δια χειρόσ. Ο ςχεδιαςμόσ βαςιηόταν ςτθν γραφικι απεικόνιςθ του κυκλϊματοσ και πιο ςυγκεκριμζνα ςτθν τροποποίθςθ τθσ θλεκτρονικισ περιγραφισ του κυκλϊματοσ ςτθ γραφικι αναπαράςταςι του. Μια από τισ πιο γνωςτζσ εταιρείεσ τθσ πρϊιμθσ αυτισ περιόδου, ιταν θ Calma θ οποία δθμιοφργθςε το format GDSII το οποίο χρθςιμοποιείται ζωσ και ςιμερα. Η δεκαετία του 70 ςθματοδοτεί τθν αρχι τθσ ανάπτυξθσ των πρϊτων εργαλείων για κατάλλθλθ χωροκζτθςθ ενόσ κυκλϊματοσ, κακϊσ από τα μζςα τθσ δεκαετίασ οι προγραμματιςτζσ ξεκίνθςαν να αυτοματοποιοφν όχι μόνο τθ ςφνταξθ αλλά και τθ ςχεδίαςθ. Οι καινοτομίεσ που ειςιχκθςαν τότε, αποτζλεςαν τθ βάςθ για τθν ζρευνα ςτον τομζα τα χρόνια που ακολοφκθςαν. Αρχικά, τα πρϊτα ολοκλθρωμζνα εργαλεία EDA εμφανίςτθκαν εντόσ ακαδθμαϊκοφ περιβάλλοντοσ. Το VLSI Tools Tarball, ζνα από τα δθμοφιλζςτερα εργαλεία τθσ εποχισ, αναπτφχκθκε ςτο πανεπιςτιμιο του Berkley και ιταν μια ςυλλογι εφαρμογϊν ςε περιβάλλον UNIX για το ςχεδιαςμό VLSI ςυςτθμάτων. Μζχρι τισ αρχζσ τθσ δεκαετίασ του ϋ80, οι μεγαλφτερεσ εταιρείεσ του κλάδου ανζπτυςςαν εργαλεία αυτισ τθσ κατθγορίασ προσ ιδίαν χριςθ, χωρίσ να παρζχεται θ δυνατότθτα απόκτθςισ τουσ από άλλουσ φορείσ. Αναγνωρίηοντασ, πολλοί ςχεδιαςτζσ, το τεχνολογικό και οικονομικό ενδιαφζρον που παρουςίαηε ο τομζασ, αποφάςιςαν τθ βιομθχανικι παραγωγι εργαλείων EDA. Τθν προκείμενθ περίοδο ιδρφκθκαν ςθμαντικζσ εταιρείεσ όπωσ θ Mentor Graphics και Valid Logic Systems. Ραραμζνοντασ ςτθν δεκαετία και ςυγκεκριμζνα ςτισ χρονιζσ 1986 και 1987, ζχουμε τθν ανάπτυξθ δφο υψθλοφ επιπζδου γλωςςϊν των Verilog και VHDL αντίςτοιχα. Η χριςθ τουσ άνοιξε το δρόμο για τθ δθμιουργία των πρϊτων εργαλείων λογικισ ςφνκεςθσ. Οι ςφγχρονεσ ροζσ ςχεδίαςθσ ολοκλθρωμζνων κυκλωμάτων αποτελοφνται πλζον από πολλαπλά βιματα, ςε κάκε ζνα από τα οποία γίνεται χριςθ του κατάλλθλου εργαλείου. Στθν αρχι τθσ διαδικαςίασ ζχουμε κατά κανόνα τθ δθμιουργία μιασ περιγραφισ του κυκλϊματοσ βάςει κάποιασ HDL γλϊςςασ ςε επίπεδο κελιϊν, τα οποία είναι τεχνολογικά ανεξάρτθτα. Ακολοφκωσ, ο ςχεδιαςτισ παρζχει τισ κατάλλθλεσ τεχνολογικζσ βιβλιοκικεσ οι οποίεσ ςυνδράμουν ςτθν επιτυχι και λεπτομερι προςομοίωςθ τθσ λειτουργίασ του κυκλϊματοσ, ενϊ κατά το τελευταίο ςτάδιο παρζχονται ςτον ςχεδιαςτι οι τελικζσ προδιαγραφζσ για τισ ςυνκικεσ λειτουργίασ του. 10

12 1.2 EDA Tool: Max 3-D tool 1.4 Σομείσ εφαρμογήσ του EDA Οι ςθμαντικότεροι τομείσ των εργαλείων EDA για τθ ςχεδίαςθ ενόσ ολοκλθρωμζνου κυκλϊματοσ παρακζτονται παρακάτω: ΣΧΕΔΙΑΣΜΟΣ ( DESIGN ) High Level Synthesis Logic Synthesis Schematic Capture Layout ΡΟΣΟΜΟΙΩΣΗ ( SIMULATION ) Logic Simulation Behavioral Simulation Hardware Emulation 11

13 ΑΝΑΛΥΣΗ ΚΑΙ ΕΡΑΛΗΘΕΥΣΗ ( ANALYSIS & VERIFICATION ) Functional Verification Formal Verification Equivalence Checking Static Timing Analysis Physical Verification ΚΑΤΑΣΚΕΥΗ ( MANUFACTURING ) Mask Data Preparation Εργαλεία CDA Τελειϊνοντασ κα κάνουμε μια μικρι αναφορά ςτα εργαλεία CAD (Computer- Aided Design). Ουςιαςτικά είναι θ χριςθ τθσ τεχνολογίασ των υπολογιςτϊν για τθ διευκόλυνςθ τθσ διαδικαςίασ ςχεδιαςμοφ ενόσ αντικειμζνου. Αναπτυχτικαν και αυτά κατά τθ δεκαετία του 80 και βοικθςαν ςε μεγάλο βακμό τθν ανάπτυξθ πολλϊν κλάδων τθσ μθχανικισ, μζςω τθσ χριςθσ τουσ ςτον προςωπικό υπολογιςτι και τθσ απόκτθςισ τουσ ςε προςιτζσ τιμζσ. Σιμερα διατίκεται μεγάλθ ποικιλία εργαλείων CAD, οι οποίεσ εκτείνονται από αυτζσ που βοθκοφν τθν ςχεδίαςθ επιμζρουσ τμθμάτων ζωσ και αυτζσ που επιτρζπουν τθν παράςταςθ ολόκλθρθσ τθσ δομισ του προϊόντοσ ςτον υπολογιςτι. 1.3 Εργαλεία CAD 12

14 ΚΕΦΑΛΑΙΟ 2 ΡΟΗ ΥΔΓΙΑΗ ΟΛΟΚΛΗΡΩΜΔΝΩΝ ΚΤΚΛΩΜΑΣΩΝ Στο κεφάλαιο αυτό θα μελετήςουμε τη ροή που ακολουθείται για τη ςχεδίαςη ολοκληρωμζνων κυκλωμάτων. 2.1 Ροή ςχεδίαςησ ολοκληρωμένων κυκλωμάτων Η οι Σχεδίαςθσ προχποκζτει το ρθτό ςυνδυαςμό ενόσ πλικουσ από EDAs για τθν ορκι ολοκλιρωςθ του ςχεδιαςμοφ ενόσ ολοκλθρωμζνου κυκλϊματοσ. Μια IC ςχεδίαςθ χονδρικά περιλαμβάνει 3 βαςικά επίπεδα: 1) System Level Design οριςμόσ των λειτουργικϊν προδιαγραφϊν. 2) RTL Design μετατροπι προδιαγραφϊν χριςτθ ςε επίπεδο RTL. Το RTL περιγράφει τθν ακριβι ςυμπεριφορά των ψθφιακϊν κυκλωμάτων, κακϊσ και τισ διαςυνδζςεισ ειςόδων, εξόδων. 3) Physical Design ςυνδυαςμόσ ενόσ RTL με μια βιβλιοκικθ που ςυμπεριλαμβάνει τισ διακζςιμεσ πφλεσ για τθ ςχεδίαςθ ενόσ chip. Για τθν επίτευξθ αυτοφ, πρζπει να κακοριςτοφν ποιεσ πφλεσ κα χρθςιμοποιθκοφν, ςε ποιο ςθμείο κα τοποκετθκοφν πάνω ςτο chip και ποια κα είναι θ καλωδίωςθ μεταξφ τουσ. Σε αυτό το ςτάδιο, οι κυκλωματικζσ αναπαραςτάςεισ των ςτοιχείων (ςυςτιματα και διαςυνδζςεισ) τθσ ςχεδίαςθσ μετατρζπονται ςε γεωμετρικζσ αναπαραςτάςεισ ςχθμάτων, που όταν καταςκευαςτοφν με τα κατάλλθλα ςτρϊματα από υλικά, κα εξαςφαλίςουν τθν απαιτοφμενθ λειτουργία. 13

15 2.1 EDA Tools improve Low Power Design Θα αναφζρουμε τα βαςικότερα βιματα που περιλαμβάνονται ςτα παραπάνω επίπεδα Feasibility Study: Ανάλυςθ του απϊτερου ςτόχου, εκτίμθςθ των προβλθμάτων που είναι πικανό να παρουςιαςτοφν και των πόρων που ζχουμε ςτθ διάκεςι μασ. 2. Die Size Estimation: Εκτίμθςθ του χϊρου που απαιτείται για τθν υλοποίθςθ του κυκλϊματοσ. 3. Functional Verification: Επαλικευςθ τθσ λογικισ τθσ ςχεδίαςθσ. Η ςχεδίαςι μασ πρζπει να πλθρεί τισ λειτουργικζσ προδιαγραφζσ που ζχουν τεκεί. 1 Δαδαλιάρθσ Αντϊνιοσ, Χωροκζτθςθ Ολοκλθρωμζνων Κυκλωμάτων με Ραραμζτρουσ Αξιοπιςτίασ, ΔΙΔΑΚΤΟΙΚΗ ΔΙΑΤΙΒΗ,, Βόλοσ,

16 4. RTL Design: Ρεριγραφι τθσ λειτουργίασ του κυκλϊματοσ ςε επίπεδο καταχωρθτϊν (register-transfer level). 5. RTL Simulation: Ρροςομοίωςθ τθσ λειτουργίασ του κυκλϊματοσ βάςει τθσ περιγραφισ που ζχει δοκεί ςτο προθγοφμενο βιμα. 6. Logic Simulation: Ρροςομοίωςθ τθσ λειτουργίασ τθσ ςχεδίαςθσ με χριςθ κατάλλθλων λογιςμικϊν. 7. Floor Planning: Σχθματικι αναπαράςταςθ μιασ «πρϊιμθσ» τοπολογίασ όλων των λειτουργικϊν τμθμάτων τθσ ςχεδίαςθσ. 8. Layout: Αναπαράςταςθ του ολοκλθρωμζνου κυκλϊματοσ με μια ςειρά γεωμετρικϊν ςχθμάτων τα οποία αντιςτοιχοφν ςτο ςφνολο των ςτρωμάτων θμιαγωγοφ, μετάλλου και οξειδίου που απαρτίηουν τθ ςχεδίαςθ. 9. Static Timing Analysis: Μελζτθ του χρονιςμοφ του ολοκλθρωμζνου κυκλϊματοσ, θ οποία δεν απαιτεί τθν εκ νζου προςομοίωςθ τθσ λειτουργικότθτάσ του. 10. Layout Review: Επανεξζταςθ του layout που ζχουμε ςχεδιάςει. Η προκείμενθ διαδικαςία μπορεί να οδθγιςει ςτον επαναςχεδιαςμό του ολοκλθρωμζνου, ζχει τθ δυνατότθτα δθλαδι να λειτουργιςει αναδρομικά και να επανατροφοδοτιςει με επιπλζον πλθροφορίεσ προθγοφμενα βιματα τθσ διαδικαςίασ που ζχουν παρζλκει χρονικά. 11. Design For Test: Χριςθ ςυγκεκριμζνων τεχνικϊν ςχεδίαςθσ, οι οποίεσ προςδίδουν ιδιαίτερα χαρακτθριςτικά ςτο κφκλωμα ζτςι ϊςτε να γίνεται πιο εφκολθ θ διαδικαςία ελζγχου τθσ ορκότθτάσ του. 12. Automatic Test Pattern Generation: Εφρεςθ τθσ κατάλλθλθσ αλλθλουχίασ ειςόδων, θ οποία όταν εφαρμοςκεί βοθκάει ςτον εντοπιςμό τυχόν λακϊν που μπορεί να υπάρχουν ςτθ ςυμπεριφορά του κυκλϊματοσ. 13. Design For Manufacturability: Εφαρμογι μιασ ςειράσ τεχνικϊν οι οποίεσ τροποποιοφν κατάλλθλα το κφκλωμα ζτςι ϊςτε θ υλοποίθςθ του ςε βιομθχανικό περιβάλλον να κακίςταται ευκολότερθ. 15

17 14. Mask Data Preparation: Το βιμα αυτό κατά το οποίο θ layout περιγραφι του κυκλϊματοσ «μεταφράηεται» ςε κάποια καταλλθλότερθ μορφι θ οποία μπορεί να χρθςιμοποιθκεί από ζναν photomask writer. 15. Wafer Fabrication: Η διαδικαςία κατά τθν οποία δθμιουργείται το ολοκλθρωμζνο κφκλωμα, αποκτϊντασ τθ μορφι που γνωρίηουμε μελετϊντασ μια οποιαδιποτε θλεκτρονικι ςυςκευι. 16. Packaging: Το τελευταίο, πρακτικά, ςτάδιο τθσ καταςκευισ. Το κφκλωμα «ςυςκευάηεται» ςε κάποιο κεραμικό ι πλαςτικό υλικό προκειμζνου να αποφευχκεί θ φκορά του, αλλά και να διευκολυνκεί θ θλεκτρικι ςφνδεςι του και θ ενςωμάτωςι του ςε ζνα ολοκλθρωμζνο ςφςτθμα. 17. Device Characterization: Η διαδικαςία κατά τθν οποία μζςω μιασ ςειράσ μετριςεων, με τθ βοικεια των κατάλλθλων οργάνων, ςυγκεντρϊνουμε και παρουςιάηουμε τα ιδιαίτερα χαρακτθριςτικά τθσ τελικισ υλοποίθςθσ, βάςει ευρζωσ αποδεκτϊν μετρικϊν. 18. Yield Analysis: Συλλογι και ανάλυςθ των κατάλλθλων δεδομζνων που απαιτοφνται για τον εντοπιςμό και τθ διόρκωςθ αςτοχιϊν που προκφπτουν κατά τθ λειτουργία του ολοκλθρωμζνου. 2.2 Χωροθέτηςη Placement Στθ ςυγκεκριμζνθ διπλωματικι κα μασ απαςχολιςει το κομμάτι τθσ Φυςικισ Σχεδίαςθσ (Physical Design) και ςυγκεκριμζνα το ςτάδιο που αναφζρεται ςτο Placement. 16

18 2.2 Physical Design Flow Η παραπάνω εικόνα απεικονίηει τα βιματα τθσ Φυςικισ ςχεδίαςθσ. Αναλυτικά, τα βιματα τθσ Φυςικισ Σχεδίαςθσ (Physical Design) ενόσ ολοκλθρωμζνου κυκλϊματοσ είναι τα εξισ: Design Netlist Ουςιαςτικά είναι το αποτζλεςμα τθσ διαδικαςίασ τθσ ςφνκεςθσ ενόσ ψθφιακοφ κυκλϊματοσ. Floor Planning - Στο βιμα αυτό, γίνεται μια πρϊτθ εκτίμθςθ του ςυνολικοφ χϊρου που απαιτείται για τισ δομικζσ μονάδεσ του και κακορίηονται οι κζςεισ τουσ πάνω ςτο chip. Η 17

19 διαδικαςία αυτι είναι απαραίτθτθ για να ελζγξουμε αν όντωσ χωράει θ ςχεδίαςθ εντόσ του προκακοριςμζνου χϊρου. Partitioning Διαίρεςθ τθσ περιοχισ τθσ ςχεδίαςθσ με τον καταλλθλότερο τρόπο. Placement Στόχοσ είναι η βζλτιςτη χωροθζτηςη των κελιών τησ ςχεδίαςησ ςτον προκαθοριςμζνο χώρο, προκειμζνου να ελαχιςτοποιηθεί η τιμή μιασ αντικειμενικήσ ςυνάρτηςησ. (Αποτελεί το αντικείμενο αυτήσ τησ εργαςίασ) Clock Tree Synthesis Η διαδικαςία του Clock Tree Synthesis επιχειρεί να ελαχιςτοποιιςει το skew και το insertion delay. Routing Υπάρχουν δφο τφποι routing, το global routing και το detailed routing. Το πρϊτο τοποκετεί τα routing resources τα οποία χρθςιμοποιοφνται για τισ ςυνδζςεισ μεταξφ των κελιϊν, ενϊ το δεφτερο ανακζτει ςυγκεκριμζνα μονοπάτια (routes) ςε ςυγκεκριμζνα επίπεδα μετάλλου. Physical Verification Είναι το τελευταίο ςτάδιο τθσ φυςικισ ςχεδίαςθσ όπου γίνεται ο ζλεγχοσ τθσ ορκότθτασ του layοut. Η παραπάνω περιγραφι είναι θ βαςικι περιγραφι ςχεδίαςθσ. Ριο αναλυτικζσ ροζσ ςχεδίαςθσ μποροφν να προκφψουν ανάλογα με τα λογιςμικά που χρθςιμοποιοφνται και τθν επιλογι των κατάλλθλων μεκοδολογιϊν Ειςαγωγή Η χωροκζτθςθ ( Placement ) είναι βαςικό βιμα τθσ φυςικισ ςχεδίαςθσ και ζχει ωσ ςτόχο τθ βζλτιςτθ τοποκζτθςθ των κυκλωματικϊν ςτοιχείων (πφλεσ/gates ςτθν «ιδανικι» περίπτωςθ με τθν οποία κα αςχολθκοφμε και ςτθν παροφςα διπλωματικι) τθσ ςχεδίαςθσ πάνω ςε προκακοριςμζνο χϊρο. Ππωσ ζχει ιδθ αναφερκεί, θ χωροκζτθςθ εφαρμόηεται μετά το partitioning. Από τθν ζλευςθ τθσ τεχνολογίασ θ κακυςτζρθςθ διαςφνδεςθσ των ςτοιχείων, θ οποία κακορίηεται ςε μεγάλο βακμό από τθν τοποκζτθςθ τουσ πάνω ςτο chip, αποτελεί κυρίαρχθ ςυνιςτϊςα τθσ ςυνολικισ κακυςτζρθςθσ του κυκλϊματοσ. Ωσ αποτζλεςμα, θ πλθροφορία που παρζχει θ χωροκζτθςθ είναι απαραίτθτθ για να επιτευχκεί, ακόμθ και από τα αρχικά ςτάδια τθσ ςχεδίαςθσ, καλφτερθ απόδοςθ. Η ςθμαςία του βιματοσ αυτοφ ζγκειται ςτο γεγονόσ ότι επθρεάηει τθν επίδοςθ, τθν ζκλυςθ κερμότθτασ και ςε ζνα ποςοςτό και τθν κατανάλωςθ ιςχφοσ. 18

20 Εν ολίγοισ, όςο πιο βζλτιςτο το Placement, τόςο πιο αποδοτικό είναι και το κφκλωμα Η ςημαςία τησ χωροθέτηςησ/placement Η ςθμαςία τθσ χωροκζτθςθσ τονίηεται κυρίωσ από τζςςερισ λόγουσ. 1. Αποτελεί τον κφριο παράγοντα που επθρεάηει τθν αποδοτικότθτα του κυκλϊματοσ, κακϊσ κακορίηει το μικοσ τθσ διαςφνδεςθσ μεταξφ των πυλϊν και κατ επζκταςθ τθν κακυςτζρθςθ. 2. Μια καλι χωροκζτθςθ ςθμαίνει καλφτερο routing, ελαχιςτοποιϊντασ ςυνωςτιςμζνεσ περιοχζσ. 3. Κακορίηει τθν κατανομι κερμότθτασ ςτθν επιφάνεια. 4. Η κατανάλωςθ ιςχφοσ επθρεάηεται και αυτι από τθ χωροκζτθςθ, ζχοντασ μικρότερα μικθ καλωδίων και μεγαλφτερο διαχωριςμό γειτονικϊν καλωδίων Ροή χωροθέτηςησ/placement Γενικά, το πρόβλθμα τθσ χωροκζτθςθσ δεν είναι απλό να διαχειριςκεί. Ζνασ τρόποσ να ξεπεραςτεί θ πολυπλοκότθτα του κζματοσ είναι να χωριςτοφν τα βιματα χωροκζτθςθσ. Η ςυνθκιςμζνθ ροι του Placement περιγράφεται, ςφμφωνα με τθν διεκνι βιβλιογραφία, ςυνοπτικά ςτα παρακάτω 5 βιματα: Global placement: Το global placement παράγει ζνα αρχικό placement ςτο οποίο υπάρχει αλλθλοεπικάλυψθ (overlap) μεταξφ των κελιϊν. Η διαδικαςία μπορεί να εκτελεςτεί επαναλθπτικά, προκειμζνου να παραχκεί κάποιο καλφτερο αποτζλεςμα. Final Placement: Βελτιςτοποιεί τισ κζςεισ των κελιϊν που ζχουν προκφψει από το παραπάνω βιμα. Η διαδικαςία είναι πάντοτε επαναλθπτικι και τα αποτελζςματα που δίνει κινοφνται εντόσ ςυγκεκριμζνου ςυνόλου λφςεων. Area Minimization: Αναφζρεται ςτθν ελαχιςτοποίθςθ τθσ καταλαμβανόμενθσ από κελιά περιοχισ. Είναι διςδιάςτατο πρόβλθμα και ανικει ςτα NP-hard προβλιματα. 19

21 Legalization: Εάν το τελικό κφκλωμα εξακολουκεί να παρουςιάηει αλλθλοεπικάλυψθ μεταξφ των κελιϊν, πρζπει εκ νζου να εφαρμοςτοφν τεχνικζσ «νομιμοποίθςθσ» των κζςεϊν τουσ. Detailed placement: Ρεραιτζρω βελτίωςθ του προβλιματοσ με τεχνικζσ οι οποίεσ αναδιατάςςουν μια μικρι ομάδα κελιϊν αφινοντασ ανζπαφθ τθν πλειοψθφία των κελιϊν Αντικείμενο τησ χωροθέτηςησ/placement Ζνα κατϊτερο Placement εκτόσ από τθν αρνθτικι επιρροι πάνω ςτθν επίδοςθ, μπορεί να καταςτιςει και το chip μθ καταςκευάςιμο. Κατά ςυνζπεια, για να εξαςφαλιςτεί ότι θ ςχεδίαςθ ενόσ κυκλϊματοσ ικανοποιεί τισ προδιαγραφζσ πρζπει να βελτιςτοποιθκοφν κάποιοι ςτόχοι. I. Total wirelength - Άκροιςμα του μικουσ όλων των καλωδίων των κυκλωματικϊν ςτοιχείων (ςτθ ςυγκεκριμζνθ εργαςία τα κυκλωματικά ςτοιχεία είναι πφλεσ (gates)). Είναι το πιο δθμοφιλζσ αντικείμενο ζρευνασ. Στόχοσ είναι να ελαχιςτοποιθκεί το άκροιςμα αυτό, εφόςον βοθκάει όχι μόνο ςτθ μείωςθ του μεγζκουσ του chip και κατ επζκταςθ ςτθ μείωςθ του κόςτουσ του, αλλά ελαχιςτοποιεί τθν ιςχφ και τθν κακυςτζρθςθ, παράγοντεσ άμεςα ςυνδεδεμζνοι με το wirelength. II. Timing Χρονιςμόσ: το μονοπάτι με τθ μεγαλφτερθ κακυςτζρθςθ ονομάηεται κρίςιμο μονοπάτι και πρζπει να διαςφαλιςτεί ότι δεν υπάρχει διαδρομι με κακυςτζρθςθ που να υπερβαίνει το ανϊτατο όριο προβλζπεται από το κρίςιμο μονοπάτι. Επειδι θ κακυςτζρθςθ εξαρτάται από πολλοφσ παράγοντεσ όπωσ το routing, το μζγεκοσ του δίςκου, το πάχοσ των καλωδίων είναι υπολογιςτικά ακριβό να διενεργθκοφν μετριςεισ για όλα και αυτό που γίνεται ςτθν πράξθ είναι να ελζγχεται ευρετικά. III. Congestion Συμφόρθςθ: ενϊ είναι απαραίτθτο να ελαχιςτοποιθκεί το wirelength, είναι επίςθσ απαραίτθτο να αποφευχκεί μια ςυνωςτιςμζνθ περιοχι πάνω ςτο chip που μπορεί να οδθγιςει ςε παρακάμψεισ διαδρομϊν ι μπορεί να αποκλείςει τθ διαδρομι τελείωσ. Εξαιτίασ ωςτόςο του μεγάλου υπολογιςτικοφ κόςτουσ ςπάνια χρθςιμοποιείται ωσ πρωταρχικόσ ςτόχοσ ςε ςχεδίαςθ ενόσ placement ςτθν πράξθ. 20

22 IV. Power / Heat Ιςχφσ / Θερμότθτα: ςτόχοσ είναι θ ιςοκατανομι τθσ πάνω ςτο chip. Κατορκϊνεται με τθν τοποκζτθςθ των ςτοιχείων με τζτοιο τρόπο ϊςτε να μειωκεί θ ςυνολικι κατανάλωςθ ενζργειασ, να απαλλαχκεί το chip από «καυτζσ» περιοχζσ (hotspots) και να ομαλοποιθκοφν οι αποκλίςεισ κερμοκραςίασ. 2.3 Χωροθέτηςη κελιών με παραμέτρουσ θερμοκραςίασ Εξέλιξη των τρανζίςτορσ Το διάγραμμα που ακολουκεί παρουςιάηει τθ ραγδαία αφξθςθ του αρικμοφ των τρανηίςτορ ςε κάποιεσ από τισ ςθμαντικότερεσ μονάδεσ επεξεργαςτϊν ςε ςυνάρτθςθ με το νόμο του Moore. O νόμοσ του Moore υποςτθρίηει πωσ κάκε δφο χρόνια επιτυγχάνεται ο διπλαςιαςμόσ του αρικμοφ των τρανηίςτορ που ςυνκζτουν ζνα επεξεργαςτι. 2.3 Moore s Law/Transistor Count 21

23 Η εξζλιξθ αυτι, ςτθ μικροθλεκτρονικι ορίηει τα τρανηίςτορσ να ςχεδιάηονται κοντά το ζνα ςτο άλλο προκειμζνου να ελαχιςτοποιθκοφν οι κακυςτεριςεισ διάδοςθσ και ο όγκοσ, ωςτόςο αυτό δεν ζχει γίνει χωρίσ τθν εμφάνιςθ ςοβαρϊν προβλθμάτων. Ραρόλα αυτά, δεν είναι μόνο ο αρικμόσ των τρανηίςτορ αυτόσ που ζχει μεγαλϊςει. Με τθν αφξθςθ του μεγζκουσ των chip επζρχεται και θ αφξθςθ του μικουσ των καλωδίων που ενϊνουν τα ςτοιχεία αυτά, κακϊσ θ χωρθτικότθτα του οποίου ςε κυκλωματικά ςτοιχεία ζχει πολλαπλαςιαςτεί. Ωσ αποτζλεςμα των παραπάνω είναι θ μεγαλφτερθ κατανάλωςθ ενζργειασ Προβλήματα χωροθέτηςησ για standard cells και gate arrays Το ςτάδιο τθσ χωροκζτθςθσ ζχει προςελκφςει το ερευνθτικό ενδιαφζρον ςε μεγάλο βακμό, προςπακϊντασ να επιλφςει τα κζματα που παρουςιάηονται εξαιτίασ όλων όςων αναφζρκθκαν ςτθν παράγραφο Βαρφτθτα ζχει δοκεί ςτθ χωροκζτθςθ με παραμζτρουσ κερμοκραςίασ/κερμότθτασ. Καλοφμαςτε να τοποκετιςουμε τα κυκλωματικά ςτοιχεία πάνω ςτο chip, προκειμζνου να ελαχιςτοποιθκεί θ τιμι μιασ ςυνάρτθςθσ. Υπάρχουν πζντε μορφζσ απεικόνιςθσ του αποτελζςματοσ του placement : I. Gate Array II. Sea of Gates III. Standard Cell IV. Mixed Cell V. General Cell ( Macros) 2.4 a.gate array 2.5 b.sea of gates 22

24 2.6 e.general cell 2.7 c.standard cell 2.8 d.mixed cell Οι 2 πιο διαδεδομζνεσ αναπαραςτάςεισ είναι το standard cells και gate arrays. Η αναπαράςταςθ του standard cell περιλαμβάνει μια ςειρά από κελιά (cells) κακζνα από τα οποία αναπαριςτά ζνα ολοκλθρωμζνο κφκλωμα, όπωσ flip-flop, logic gate. Εν αντικζςει, ςτθ μορφι του gate array κάκε ςτοιχείο, δθλαδι όλα τα κελιά (cells), είναι ίδια. Εφόςον δεν υπολογίηεται θ διάςταςθ του ςτοιχείου υπάρχει περίπτωςθ να δθμιουργθκεί επικάλυψθ, με όλουσ τουσ κινδφνουσ που μπορεί να επιφζρει αυτι θ εξζλιξθ. Στην παροφςα διπλωματική θεωροφμε ότι τα κελιά είναι πφλεσ και ζχουν το ίδιο μήκοσ και φψοσ Ανάγκη για χαμηλή κατανάλωςη Η ολοζνα μεγαλφτερθ ςυρρίκνωςθ των τρανηίςτορ δθμιοφργθςε και προβλιματα που δεν επθρζαηαν παλαιότερεσ γενιζσ. Η πρόοδοσ τθσ τεχνολογίασ των τρανηίςτορσ ζχει οδθγιςει ςτθν ανάπτυξθ πολυπλοκότερων ςυςτθμάτων αυξάνοντασ ζτςι τθν πικανότθτα εμφάνιςθσ ςφαλμάτων ςε κάποιο από αυτά. Ωσ βλάβθ, ορίηεται θ απόκλιςθ από τθν τιρθςθ των προδιαγραφϊν, θ οποία μπορεί να οφείλεται από ςχεδιαςτικά προβλιματα μζχρι καταςκευαςτικά ςφάλματα και εςωτερικζσ διαταραχζσ. 23

25 Ριο ςυγκεκριμζνα, αυτι θ τάςθ ςυρρίκνωςθσ ζχει ωσ αποτζλεςμα τθν υψθλότερθ ροι κερμότθτασ ςτο υπόςτρωμα. Η διακφμανςθ που παρουςιάηεται ςτισ παραμζτρουσ του κυκλϊματοσ ςε ςυνδυαςμό με διακυμάνςεισ ςτθ τάςθ λειτουργίασ, ςτθ κερμοκραςία και τισ τιμζσ ειςόδου (PVTI Parameters/Voltage/Temperature/Input), κάνουν τα κυκλωματικά ςτοιχεία να ςυμπεριφζρονται πλζον περιςςότερο ςαν τυχαίεσ μεταβλθτζσ αχρθςτεφοντασ ζτςι τισ ςφγχρονεσ τεχνικζσ ανάλυςθσ του χείριςτου χρονιςμοφ του κυκλϊματοσ. Επιπρόςκετα, ακόμα υψθλότερεσ κερμοκραςίεσ μπορεί να προκφψουν, αν θ κερμότθτα που διαχζεται δεν εξαλειφκεί ςωςτά. Μια υψθλότερθ κερμοκραςία, δεν επθρεάηει μόνο τθν απόδοςθ του κυκλϊματοσ, αλλά μειϊνει και τθν αξιοπιςτία του. Αν θ ενζργεια διανεμθκεί άνιςα, προκφπτουν τα λεγόμενα hot spots τα οποία μπορεί να επιφζρουν κερμικζσ εντάςεισ. Αν αυτζσ οι εντάςεισ είναι ςοβαρζσ και ςυνεχιςτοφν για αρκετοφσ κφκλουσ, τότε μπορεί να οδθγιςουν ςε καταςτροφι του chip. H κερμικι διαχείριςθ είναι ο πιο ςθμαντικόσ παράγοντασ αξιοπιςτίασ και κα πρζπει να λαμβάνεται όςο πιο νωρίσ γίνεται υπόψθ ςτθν διαδικαςία ςχεδιαςμοφ. Το καλφτερο ςτάδιο, για να γίνει αυτό, είναι αυτό τθσ τοποκζτθςθσ των κελιϊν, κακϊσ θ κατανομι τθσ κερμοκραςίασ εξαρτάται άμεςα από τα αποτελζςματα τθσ χωροκζτθςθσ. Ζνα εργαλείο χωροκζτθςθσ, που δεν ζχει λάβει υπόψθ τθ κερμοκραςία, κα μποροφςε να τοποκετιςει κάποια chips με υψθλι κερμοκραςία πολφ κοντά το ζνα ςτο άλλο και αυτό κα δθμιουργοφςε ζνα hot spot ςτο υπόςτρωμα, ακόμα και αν θ ςυνολικι κατανάλωςθ ενζργειασ ιταν περιοριςμζνθ. Τζλοσ, μια ςοβαρι ανάγκθ για χαμθλισ κατανάλωςθσ ςυςτιματα πθγάηει από περιβαλλοντικοφσ λόγουσ. Η παραγωγι θλεκτρικισ ενζργειασ είναι θ βαςικι πθγι ρφπανςθσ τθσ ατμόςφαιρασ και ο ραγδαία αυξανόμενοσ κλάδοσ των υπολογιςτικϊν ςυςκευϊν ςυμβάλλει δραματικά ςτθ ρφπανςθ του περιβάλλοντοσ 2.9 The temperature prole of an industrial chip. 24

26 2.3.4 χεδίαςη χαμηλήσ κατανάλωςησ Ιςχύοσ Ιςτορικά, οι τεχνικζσ χωροταξικισ τοποκζτθςθσ κελιϊν, ζχουν αναπτυχκεί κυρίωσ με βάςθ τθ δρομολόγθςθ. Οι αλγόρικμοι αυτοί, ςυνικωσ, επικεντρϊνονται ςτθν ελαχιςτοποίθςθ του ςυνολικοφ κακαροφ μικουσ, ενϊ άλλοι εςτιάηουν ςτθν ελαχιςτοποίθςθ καλωδίων. Ωςτόςο, με τθν αυξθμζνθ ηιτθςθ για υψθλισ ποιότθτασ και μακροπρόκεςμθ αξιόπιςτθ απόδοςθ, ζχουν αναπτυχκεί πολλζσ νζεσ τεχνικζσ. Η τεχνικι ςτθν οποία κα επικεντρωκοφμε, που αφορά ςτο κομμάτι τθσ χωροκζτθςθσ με παραμζτρουσ κερμοκραςίασ ι αλλιϊσ thermally driven placement, είναι θ ανάλυςθ και βελτιςτοποίθςθ των ολοκλθρωμζνων κυκλωμάτων ωσ προσ τθν κατανάλωςθ ιςχφοσ. Ιςχφσ είναι ο ρυκμόσ με τον οποίο καταναλϊνεται θ ενζργεια. Σε ζνα ολοκλθρωμζνο κφκλωμα θ θλεκτρικι ενζργεια μετατρζπεται ςε κερμότθτα, θ οποία πρζπει να απαχκεί προσ αποφυγιν ανόδου τθσ κερμοκραςίασ του κυκλϊματοσ, θ οποία με τθ ςειρά τθσ μπορεί να οδθγιςει ςε βλάβεσ. Η ανάλυςθ και βελτιςτοποίθςθ των ολοκλθρωμζνων κυκλωμάτων ωσ προσ τθ κατανάλωςθ ιςχφοσ ξεκίνθςε ςαν ζνασ περιοριςμζνοσ ςε ζκταςθ κλάδοσ τθσ ςχεδίαςθσ αναλογικϊν κυκλωμάτων. Σιμερα αποτελεί μζροσ του βαςικοφ κορμοφ τθσ ςχεδίαςθσ των ψθφιακϊν κυκλωμάτων και επθρεάηει όλα τα ςτάδια ςχεδίαςθσ ενόσ ςυςτιματοσ, κακϊσ θ ανάγκθ για χαμθλότερθ κατανάλωςθ κακοδθγείται από τθ βιομθχανία με νζεσ εφαρμογζσ αλλά και από τθν εξζλιξθ τθσ τεχνολογίασ. Οι δφο διαδικαςίεσ που ςχετίηονται με τθν κατανάλωςθ ιςχφοσ είναι θ ανάλυςθ και θ βελτιςτοποίθςθ. Στόχοσ τθσ ανάλυςθσ είναι θ ακριβισ εκτίμθςθ τθσ κατανάλωςθσ ενζργειασ. Η ανάλυςθ πρζπει να πραγματοποιείται ςε κάκε ϕάςθ τθσ ςχεδίαςθσ, ϊςτε να εξαςφαλίηεται ότι οι προδιαγραφζσ κατανάλωςθσ ιςχφοσ δεν παραβιάηονται και ότι ο ςχεδιαςμόσ είναι αξιόπιςτοσ. Υπάρχουν πολλζσ διαφορετικζσ τεχνικζσ ανάλυςθσ, κάκε μία από τισ οποίεσ δίνει αποτελζςματα διαφορετικισ ακρίβειασ και ζχει διαφορετικζσ απαιτιςεισ υπολογιςτικισ ιςχφοσ. Ρρζπει να τονιςτεί ότι θ ανάλυςθ ιςχφοσ αποτελεί βάςθ για τθ βελτιςτοποίθςθ του ςχεδίου. Βελτιςτοποίθςθ δεν είναι τίποτα άλλο παρά θ διαδικαςία τθσ δθμιουργίασ του καλφτερου ςχεδίου με βάςθ ζνα ςτόχο, χωρίσ να παραβιάηονται οι προδιαγραφζσ που ζχουν τεκεί. Υπάρχουν διάφορεσ τεχνικζσ που μποροφν να εφαρμοςτοφν τόςο αυτόματα όςο και από τον ςχεδιαςτι, οι οποίεσ φζρουν διαφορετικά αποτελζςματα ανάλογα με τθν περίπτωςθ. 25

27 2.3.5 Βαςικέσ αρχέσ ςχεδίαςησ Κατά το ςχεδιαςμό χαμθλισ ιςχφοσ υπάρχει διαχωριςμόσ μεταξφ δφο τεχνικϊν, τθσ ςυντθρθτικισ και ςυμβιβαςτικι τεχνικι. Σφμφωνα με τθ ςυντθρθτικι τεχνικι, ςτόχοσ είναι θ μείωςθ τθσ ιςχφοσ που καταναλϊνεται χωρίσ ςοβαρό λόγο. Το κατορκϊνει με τθν ανάλυςθ και ελαχιςτοποίθςθ των απωλειϊν κατά τθ διάρκεια τθσ ςχεδίαςθσ. Από τθν άλλθ πλευρά, θ ςυμβιβαςτικι τεχνικι εξετάηει εναλλακτικοφσ τρόπουσ λογικισ ςχεδίαςθσ που μειϊνουν τθν κατανάλωςθ. Είναι ςθμαντικό να υπογραμμίςουμε ότι δεν υπάρχει μια τεχνικι που να προςαρμόηεται αποτελεςματικά ςε όλεσ τισ εφαρμογζσ. Κάκε ςχεδίαςθ ζχει τισ δικζσ τθσ προδιαγραφζσ και περιοριςμοφσ που μασ αναγκάηουν να διαφοροποιοφμε τθ προςζγγιςθ μασ κάκε φορά. Μερικζσ από τισ τεχνικζσ με ζμφαςθ ςτθ Χαμθλι Κατανάλωςθ Ιςχφοσ αναφζρονται ςτθν παρακάτω εικόνα Τεχνικζσ Low-Power Σχεδίαςθσ Ππωσ ςε κάκε υλοποίθςθ, κάκε τεχνικι βελτίωςθσ ζχει και το αντίςτοιχο «κόςτοσ» που επιβαρφνει κάποιεσ άλλεσ παραμζτρουσ του κυκλϊματοσ όπωσ για παράδειγμα το χρονιςμό του. Γίνεται γνωςτό πωσ πρζπει να δϊςουμε μεγάλθ προςοχι ςτο τι μποροφμε να κυςιάςουμε και τι μποροφμε να κερδίςουμε χρθςιμοποιϊντασ τθν εκάςτοτε τεχνικι. 26

28 Τα κζρδθ-κόςτθ μερικϊν από τισ τεχνικζσ που αναφζρκθκαν ςτθν παραπάνω εικόνα περιγράφονται ςυνοπτικά ςτθν εικόνα που ακολουκεί Κζρδθ-Κόςτθ Τεχνικϊν Low-Power Σχεδίαςθσ χεδίαςη ημαντικότητασ Ππωσ ιδθ ζχουμε αναφζρει ςτισ προθγοφμενεσ παραγράφουσ, θ ηιτθςθ για ςυςτιματα επεξεργαςίασ ςιματοσ που προςφζρουν υψθλά επίπεδα ποιότθτασ και επιδόςεων με χαμθλι κατανάλωςθ ιςχφοσ ζχει αυξθκεί τρομακτικά. Μια διαφορετικι μεκοδολογία από αυτζσ που ζχουν ιδθ προτακεί είναι αυτι που βαςίηεται ςτο γεγονόσ ότι ςτα DSP ςυςτιματα, όλοι οι υπολογιςμοί δεν είναι εξίςου ςθμαντικοί ςτθ διαμόρφωςθ τθσ εξόδου. Για τα ςυςτιματα αυτά, μπορεί να υπάρξει αποτελεςματικι ανταλλαγι μεταξφ τθσ κλιμάκωςθσ τθσ τάςθσ, τθσ μεταβλθτότθτασ και τθσ ποιότθτασ εξόδου του ςυςτιματοσ. Βαςικό βιμα ςε αυτι τθν προςζγγιςθ αποτελεί ο προςδιοριςμόσ των ςθμαντικϊν υπολογιςμϊν ενόσ ςυςτιματοσ οι οποίοι ςυνειςφζρουν περιςςότερο ςτθ διαμόρφωςθ τθσ ποιότθτασ τθσ εξόδου. Μόλισ κακοριςτοφν αυτοί, γίνεται προςπάκεια να δοκεί μεγαλφτερθ προτεραιότθτα ςε αυτοφσ μζςω αλγορικμικϊν, αρχιτεκτονικϊν και κυκλωματικϊν μεταςχθματιςμϊν. Με τθ χριςθ αυτισ τθσ μεκοδολογίασ μποροφμε να αυξιςουμε τθν ανεκτικότθτα του ςυςτιματοσ ςε οποιαδιποτε είδουσ μεταβλθτότθτα και ωσ εκ τοφτου ςτθν αφξθςθ τθσ αξιοπιςτίασ του. Ραρ όλα αυτά, θ χριςθ αυτισ τθσ μεκοδολογίασ ςε 27

29 διαφορετικά ςυςτιματα απαιτεί διαφορετικι προςζγγιςθ κάκε φορά λόγω τθσ διαφορετικισ φφςθσ κάκε αλγορίκμου και αρχιτεκτονικισ. Ζνα αντιπροςωπευτικό παράδειγμα είναι ο αλγόρικμοσ Discrete Cosine Transform (DCT). Ππωσ είναι γνωςτό ςε ζναν DCT μεταςχθματιςμό ςε ζνα μπλοκ εικόνασ διαςτάςεων 8x8 δεν ζχουν όλοι οι ςυντελεςτζσ τθν ίδια ςυνειςφορά ςτθν ποιότθτα τθσ εξόδου. Το μεγαλφτερο μζροσ τθσ ενζργειασ τθσ εικόνασ ειςόδου (περίπου 85% ι περιςςότερο) περιζχεται ςτουσ πρϊτουσ 20 ςυντελεςτζσ του πίνακα DCT μετά τον 2D-DCT μεταςχθματιςμό. Οι ςυντελεςτζσ πζρα από αυτόν (21-64) δεν ςυμβάλλουν ςθμαντικά ςτθ βελτίωςθ τθσ ποιότθτασ τθσ εικόνασ, και ωσ εκ τοφτου, του Peak signal-to-noise Ratio (PSNR) Οι ςυντελεςτζσ του DCT 2.13 Η ενζργεια των ςυντελεςτϊν. 28

30 ΚΕΦΑΛΑΙΟ 3 ΥΛΟΠΟΙΗΣΗ Στο κεφάλαιο αυτό θα περιγράψουμε το εργαλείο που υλοποιήθηκε και τισ υπηρεςίεσ που παρζχει. 3.1 Διατύπωςη του προβλήματοσ Στόχοσ τθσ ζρευνασ μασ είναι θ παρουςίαςθ ενόσ εργαλείου που διαχζει τθ κερμότθτα ςε ζνα κφκλωμα ομοιόμορφα. Το κφριο ςθμείο επικεντρϊνεται ςτον υπολογιςμό τθσ κερμοκραςίασ με βάςθ τθ ςυνολικι ζκλυςθ κερμότθτασ κυκλωματικοφ ςτοιχείου. Τοπικζσ περιοχζσ του chip με υψθλι ροι κερμότθτασ δθμιουργοφν «hot spots», περιοχζσ όπου θ κερμοκραςία είναι ςθμαντικά αυξθμζνθ ςε ςχζςθ με αυτι του υπολοίπου κυκλϊματοσ, με αποτζλεςμα θ εμφάνιςι τουσ να υπονομεφει τθν επίδοςθ, αξιοπιςτία και αποδοτικότθτά του. Σε υψθλισ επίδοςθσ ολοκλθρωμζνα κυκλϊματα οι περιοχζσ αυτζσ δθμιουργοφνται από τον ςυνωςτιςμό των τρανηίςτορσ και από τθν ζκλυςθ κερμότθτασ που προζρχεται από τουσ επεξεργαςτζσ του πυρινα. 3.1 Temperature profiles with (left) random placement and (right) thermal placement 29

31 Ρροτείνουμε, λοιπόν, ζνα τρόπο εξάλειψθσ των προβλθματικϊν περιοχϊν λαμβάνοντασ υπόψθ τθν ιςχφ που εκλφει κάκε ςτοιχείο Κατανάλωςη Ιςχυόσ Η κατανάλωςθ ιςχφοσ οφείλεται ςε δφο παράγοντεσ τθ δυναμικι κατανάλωςθ και τθ ςτατικι. P avg = P dynamic + P static Ωσ ςτατικι ιςχφ (quiescent power dissipation) χαρακτθρίηεται θ ιςχφ που καταναλϊνει μια πφλθ είτε είναι αδρανισ είτε όχι. Η ςτατικι ιςχφσ ιςοφται µε το γινόμενο τθσ τάςθσ τροφοδοςίασ V CC επί το ρεφμα τροφοδοςίασ I CC, το οποίο ρζει προσ το κφκλωμα από τουσ ακροδζκτεσ τροφοδοςίασ. Το ρεφμα I CC οφείλεται κυρίωσ ςτο άκροιςμα των ρευμάτων διαρροισ ςτισ θµιαγωγικζσ επαφζσ του κυκλϊματοσ και αυξάνεται µε τθν αφξθςθ τθσ κεοκραςίασ, είναι δε ανάλογο τθσ πολυπλοκότθτασ του ψθφιακοφ κυκλϊματοσ. Η δυναμικι ιςχφσ (active power dissipation), που κα μασ απαςχολιςει παρακάτω, προκφπτει από τθ μετάβαςθ των εςωτερικϊν κόμβων και εξόδων του κυκλϊματοσ από τθ μία λογικι κατάςταςθ ςτθν άλλθ. Η ιςχφσ αυτι καταναλϊνεται όταν μια πφλθ είναι ενεργι κακϊσ οι τάςεισ του δικτφου εναλλάςςονται λόγω κάποιου εξωτερικοφ ερεκίςματοσ που ζχει εφαρμοςτεί ςτθν ζξοδο. Επειδι θ τάςθ ςτθν είςοδο μπορεί να αλλάξει, χωρίσ αυτό να ςυνεπάγεται κάποια λογικι μεταβολι ςτθν ζξοδο, δυναμικι ιςχφσ καταναλϊνεται και όταν θ ζξοδοσ δεν αλλάηει τθ λογικι κατάςταςθ. Ο τφποσ που υπολογίηει τθ δυναμικι ιςχφ είναι P dyn = α Χ C X V DD 2 X fp Ππου: C θ χωρθτικότθτα εξόδου V DD θ τάςθ τροφοδοςίασ f p ςυχνότθτα παλμοφ α παράγοντασ μεταβάςεων, ο οποίοσ υπολογίηει τον αρικμό των μεταβάςεων ανά κφκλο ρολογιοφ 30

32 Συνεπϊσ, θ δυναμικι κατανάλωςθ ιςχφοσ εξαρτάται γραμμικά από τθ ςυχνότθτα λειτουργίασ του κυκλϊματοσ. Θα χρθςιμοποιιςουμε τον τφπο που είδαμε πιο πάνω με μια προςκικθ, τθν απϊλεια ιςχφοσ που παρουςιάηεται ςε κάκε ςτοιχείο, για να υπολογίςουμε τθν ιςχφ που καταναλϊνει κάκε πφλθ του κυκλϊματοσ. Άρα ο νζοσ τφποσ γράφεται: Ππου leakage θ διαρροι ιςχφοσ τθσ πφλθσ Μια διαφορετική προςέγγιςη του προβλήματοσ ςύνθεςησ πινάκων. Τθ βάςθ του προβλιματόσ μασ αποτελεί ζνα πίνακασ Μ που αποτελείται από μθ αρνθτικοφσ πραγματικοφσ αρικμοφσ κακζνασ από τουσ οποίουσ αντιπροςωπεφει τθν ιςχφσ που εκλφει κάκε πφλθ ςτθν περίπτωςι μασ. Ζνασ τετραγωνικόσ υποπίνακασ τάξθσ (t x t), μικρότερθσ ςε ςφγκριςθ με αυτι τον Μ, αντιςτοιχεί ςε μια υποπεριοχι του παραπάνω πίνακα και ζςτω S t (M) το ςφνολο τον υποπινάκων. Ο υποπίνακασ με το μεγαλφτερο άκροιςμα αντιπροςωπεφει το «hot spot» του κυκλϊματοσ. Επόμενο βιμα είναι θ εναλλαγι των ςτοιχείων του πίνακα Μ με τζτοιο τρόπο που να ελαχιςτοποιεί το άκροιςμα τζτοιων περιοχϊν. Θα προχωριςουμε ςε ζνα παράδειγμα ϊςτε να γίνει κατανοθτι θ παραπάνω μεκοδολογία. Ζςτω ότι ζχουμε τον παρακάτω πίνακα μεγζκουσ 4x3. Οι αρικμοί αντιπροςωπεφουν τθν ιςχφ που εκλφεται ςε κάκε περιοχι. Θεωροφμε το t=2 οπότε οι υποπεριοχζσ κα είναι πίνακεσ τάξθσ 2x2. 31

33 3.2 Σχιμα (α): Αρχικι κατάςταςθ Ακροίηουμε τα ςτοιχεία κάκε πίνακα και βρίςκουμε ότι το μεγαλφτερο άκροιςμα είναι 28 άρα εκείνθ θ περιοχι είναι «hot spot». Εναλλάςςουμε τα ςτοιχεία με τζτοιο τρόπο ϊςτε να μειϊςουμε το άκροιςμα των υποπινάκων. Μια βζλτιςτθ λφςθ του προβλιματοσ είναι 32

34 3.3 Σχιμα (β) Βζλτιςτθ τοποκζτθςθ Ραρατθροφμε ότι ςτο ςχιμα (β) που απεικονίηει τθ βζλτιςτθ τοποκζτθςθ ζχουμε μείωςθ του ακροίςματοσ ςε 13. Είναι πρακτικά αδφνατον να εξαλείψουμε τα «hot spots», αλλά μποροφμε να ομαλοποιιςουμε τθν κατανομι τθσ κερμότθτασ. Η βελτίωςθ που προκφπτει ςτο ςυγκεκριμζνο παράδειγμα είναι τθσ τάξθσ 48%. Οριςμόσ Κρίςιμου Κατωφλίου: Για ζνα ςυγκεκριμζνο πλικοσ ςτοιχείου ενόσ πίνακα Μ που αναπαριςτά τισ απϊλειεσ ιςχφοσ, και μιασ τιμισ t, ωσ το Κρίςιμο Κατϊφλι του Μ χαρακτθρίηεται θ μεγαλφτερθ τιμι ακροίςματοσ που αντιςτοιχεί ςτον υποπίνακα txt, μεταξφ εναλλακτικϊν τοποκετιςεων των ςτοιχείων του πίνακα Μ. 33

35 Ζςτω ότι ορίηουμε η(μ) ωσ το κρίςιμο κατϊφλι ενόσ πίνακα Μ πραγματικϊν αρικμϊν, τάξθσ mxm. Οι πραγματικοί αυτοί αρικμοί αναπαριςτοφν τισ απϊλειεσ ιςχφοσ. Ζςτω St το ςφνολο των txt υποπινάκων του Μ. Ζχουμε ς(μ) το ςυνολικό άκροιςμα όλων των καταχωριςεων του Μ. Τζλοσ, μ t (M) = max S St ς(s). Ταξινομοφμε τα ςτοιχεία με τζτοιο τρόπο ϊςτε θ τιμι του μ t (M) να είναι ελάχιςτθ. Άρα ζχουμε η(μ) = Min( μ t (Μ) ). Η εφρεςθ μια ελάχιςτθσ ταξινόμθςθσ των καταχωριςεων του πίνακα είναι ιςοδφναμθ με τθν τοποκζτθςθ των κυκλωματικϊν ςτοιχείων ςε ζνα chip. Με παρόμοιο τρόπο κινθκικαμε και ςτθν καταςκευι του αλγορίκμου. Αφοφ χωρίςουμε ςε τετραγωνικζσ περιοχζσ το chip, επιλζγουμε τθν τάξθ του υποπίνακα. Βρίςκουμε τα αρχικά ακροίςματα κακενόσ και εν ςυνεχεία με εναλλαγζσ προςπακοφμε να βροφμε τθν βζλτιςτθ λφςθ. 3.2 Προτεινόμενοσ αλγόριθμοσ Ο προτεινόμενοσ αλγόρικμοσ είναι ζνασ καινοφργιοσ αλγόρικμοσ τοποκζτθςθσ, οποίοσ βαςίηεται ςτθν παραπάνω ιδζα των πινάκων. Αυτι θ ιδζα αντιμετωπίηει το chip ωσ ζνασ πίνακασ Μ (mxm), τον οποίο χωρίηουμε ςε περιοχζσ, κάκε μια αποτελεί το κελί του Μ.. Ζνα netlist κφκλωμα παρουςιάηεται ωσ ζνα ςτακμιςμζνο υπζρ-γράφθµα µε m= M κορυφζσ. Η αρχικι τοποκζτθςθ επιδιϊκει να αντιςτοιχίςει όλεσ τισ m μετακινοφμενεσ πφλεσ πάνω ςε επιτρεπτζσ κζςεισ τθσ διςδιάςτατθσ περιοχισ διάταξθσ (chip). Αφοφ τοποκετιςουμε τυχαία τισ πφλεσ πάνω ςτο χϊρο, βρίςκουμε τισ ςυνταγμζνεσ τουσ και τθν ιςχφ τουσ. Στθ ςυνζχεια ορίηουμε το t, που αντιπροςωπεφει τον υποπίνακα (txt), μια υποπεριοχι πάνω ςτο χϊρο. Στθ ςυνζχεια αφοφ βροφμε και το άκροιςμα των υποπεριοχϊν βρίςκουμε ποια ζχει το μικρότερο, ποια το μεγαλφτερο και ανταλλάηουμε τα περιεχόμενα. Ξανατρζχουμε τον αλγόρικμο με βάςθ τα νζα δεδομζνα, προςζχοντασ να μθν επιλζγονται ςυνεχϊσ τα ίδια τετράγωνα ςτθν περίπτωςθ που παραπάνω από δφο τετράγωνα ζχουν το ίδιο άκροιςμα. 34

36 Με βάςθ τα παραπάνω κα μποροφςαμε να ςυνοψίςουμε τον αλγόρικμο ςτα παρακάτω βιματα: 1. Ξεκινά με τθν τυχαία τοποκζτθςθ των πυλϊν πάνω ςτο chip 2. Βρεσ τθν δυναμικι ιςχφ κάκε ςτοιχείου του κυκλϊματοσ με βάςθ τον τφπο: P dyn = α Χ C X V DD 2 X fp + leakage 3. Χϊριςε το chip ςε τετραγωνικζσ περιοχζσ (δθμιουργείται ζνα πίνακασ mxm), και για κάκε μια από αυτζσ βρεσ από πόςα κυκλωματικά ςτοιχεία αποτελείται και το ςυνολικό άκροιςμα των P dyn τουσ. 4. Επίλεξε τθν τάξθ του πίνακα txt (πρζπει να είναι τα t<m). Για κάκε υποπίνακα, βρεσ τα ακροίςματα όλων των υποπινάκων. 5. Βρεσ ποιοσ υποπίνακασ ζχει το μεγαλφτερο και ποιοσ το μικρότερο άκροιςμα. 6. Αντάλλαξε τισ πφλεσ που περιζχονται ςτον υποπίνακα με το μεγαλφτερο άκροιςμα με τισ πφλεσ που περιζχονται ςε αυτόν με το μικρότερο. 7. Αν ζχουν γίνει m 2 προχϊρα πιο κάτω διαφορετικά πιγαινε ςτο βιμα 5 και επανζλαβε. 8. Συνζλεξε τα αποτελζςματα και βρεσ το μικρότερο άκροιςμα άρα και το καλφτερο placement. 3.3 Πειραματικά Αποτελέςματα Benchmarks Circuits Ο πιο δθμοφιλισ τρόποσ ελζγχου του τελικοφ αποτελζςματοσ είναι θ επιλογι των κατάλλθλων Benchmarks Circuits τα οποία κα δοκοφν ωσ είςοδοσ ςτο λογιςμικό. Στθν εργαςία χρθςιμοποιικθκαν τα κυκλϊματα ISCAS 89 προκειμζνου να τρζξουμε τον αλγόρικμο και να εξάγουμε τα αντίςτοιχα αποτελζςματα του κακενόσ κυκλϊματοσ. Οι κυκλωματικζσ περιγραφζσ των ISCAS 89 παρζχονται τόςο ςε structural όςο και ςε behavioral μορφι. Το ςφνολό τουσ, αυτά τα υψθλοφ επιπζδου μοντζλα ςχεδίαςθσ ζχουν αποδειχκεί, ιδιαιτζρωσ, χριςιμα ωσ εργαλεία ζρευνασ ςε πολλοφσ τομείσ τθσ ψθφιακισ ςχεδίαςθσ. 35

37 Αξίηει να ςθμειωκεί ότι το γράμμα s ςτθν ονομαςία των κυκλωμάτων ςθμαίνει ότι τα κυκλϊματα είναι ςφγχρονα ακολουκιακά (synchronous sequential) και ο αρικμόσ που ακολουκεί το γράμμα s αντιπροςωπεφει τον αρικμό των γραμμϊν διαςφνδεςθσ μεταξφ των κυκλωματικϊν ςτοιχείων. Ο πίνακασ που ακολουκεί παρουςιάηει τα κυκλϊματα που χρθςιμοποιιςαμε ςτα πειράματά μασ. Circuits Name #of Primary Inputs #of Primary Outputs #of Gates S s s s s s s s s s s s s s s s s s s s s s s s s Πίνακασ κυκλωμάτων 36

38 3.3.2 Πειραματικά αποτελέςματα Θα παρουςιάςουμε τα πειραματικά αποτελζςματα από τθν εφαρμογι του αλγορίκμου πάνω ςτο ςφνολο των benchmark circuits που προαναφζραμε. Θζτουμε τισ παραμζτρουσ τισ εξίςωςθσ με τθν οποία κα βροφμε τθν ιςχφ κάκε πφλθσ : 2 P dyn = α Χ C X V DD X f p + leakage όπου α=1, V DD =1, f p =1, για τισ τιμζσ των C και leakage διαβάηουμε βιβλιοκικθ που περιζχει τα ςτοιχεία όλων των πυλϊν. Τρζχουμε όλα τα κυκλϊματα για διαφορετικζσ περιπτϊςεισ. Στουσ παρακάτω πίνακεσ παρουςιάηονται οι μζγιςτεσ τιμζσ των hots pots και θ βελτιςτοποίθςι τουσ μετά τθν εφαρμογι του αλγορίκμου. Ωσ hot spot ορίηουμε τον υποπίνακα txt με το πιο μεγάλο άκροιςμα. Το initial hot spot είναι θ διαφορά του μεγαλφτερου υποπίνακα με τον μικρότερο, τισ τιμζσ των οποίων παράγει θ τυχαία τοποκζτθςθ των πυλϊν πάνω ςτο chip. Το final θ διαφορά που προκφπτει μετά τθν εφαρμογι του αλγορίκμου. Πςο πιο μικρι θ διαφορά, τόςο πιο ιςοκατανεμθμζνθ είναι θ κερμότθτα. Ραρατθροφμε ότι ςε όλα τα κυκλϊματα υπάρχει βελτίωςθ. Size of M matrix Size of t submatrix Initial hotspot Final hotspot % improvement 4x4 2x x4 3x x5 2x x5 3x x5 4x x10 2x x10 4x x10 5x x15 5x x15 10x x20 2x x20 5x x20 10x x30 2x x30 10x x30 20x Στιγμιότυπα τρεξίματοσ για το Circuits Name: S27 37

39 Size of M matrix Size of t submatrix Initial hotspot Final hotspot % improvement 4x4 2x x4 3x x5 2x x5 3x x5 4x x10 2x x10 4x x10 5x x15 5x x15 10x x20 2x x20 5x x20 10x x20 15x x30 2x x30 10x x30 20x Στιγμιότυπα τρεξίματοσ για το Circuits Name: S208 Size of M matrix Size of t submatrix Initial hotspot Final hotspot % improvement 4x4 2x x4 3x x5 2x x5 3x x5 4x x10 2x x10 4x x10 5x x15 5x x15 10x x20 2x x20 5x x20 10x x20 15x x30 2x x30 10x x30 20x Στιγμιότυπα τρεξίματοσ για το Circuits Name: S298 38

40 Size of M matrix Size of t submatrix Initial hotspot Final hotspot % improvement 4x4 2x x4 3x x5 2x x5 3x x5 4x x10 2x x10 4x x10 5x x15 5x x15 10x x20 2x x20 5x x20 10x x20 15x x30 2x x30 10x x30 20x Στιγμιότυπα τρεξίματοσ για το Circuits Name: S344 Size of M matrix Size of t submatrix Initial hotspot Final hotspot % improvement 4x4 2x x4 3x x5 2x x5 3x x5 4x x10 2x x10 4x x10 5x x15 5x x15 10x x20 2x x20 5x x20 10x x20 15x x30 2x x30 10x x30 20x Στιγμιότυπα τρεξίματοσ για το Circuits Name: S349 39

41 Size of M matrix Size of t submatrix Initial hotspot Final hotspot % improvement 4x4 2x x4 3x x5 2x x5 3x x5 4x x10 2x x10 4x x10 5x x15 5x x15 10x x20 2x x20 5x x20 10x x20 15x x30 2x x30 10x x30 20x Στιγμιότυπα τρεξίματοσ για το Circuits Name: S382 Size of M matrix Size of t submatrix Initial hotspot Final hotspot % improvement 4x4 2x x4 3x x5 2x x5 3x x5 4x x10 2x x10 4x x10 5x x15 5x x15 10x x20 2x x20 5x x20 10x x20 15x x30 2x x30 10x x30 20X Στιγμιότυπα τρεξίματοσ για το Circuits Name: S386 40

42 Size of M matrix Size of t submatrix Initial hotspot Final hotspot % improvement 4x4 2x x4 3x x5 2x x5 3x x5 4x x10 2x x10 4x x10 5x x15 5x x15 10x x20 2x x20 5x x20 10x x20 15x x30 2x x30 10x x30 20X Στιγμιότυπα τρεξίματοσ για το Circuits Name: S400 Size of M matrix Size of t submatrix Initial hotspot Final hotspot % improvement 4x4 2x x4 3x x5 2x x5 3x x5 4x x10 2x x10 4x x10 5x x15 5x x15 10x x20 2x x20 5x x20 10x x20 15x x30 2x x30 10x x30 20X Στιγμιότυπα τρεξίματοσ για το Circuits Name: S420 41

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 1

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 1 Τμήμα Μησανικών Πληποφοπικήρ, Τ.Ε.Ι. Ηπείπος Ακαδημαϊκό Έτορ 2016-2017, 6 ο Εξάμηνο Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 1 Διδάςκων Τςιακμάκθσ Κυριάκοσ, Phd MSc in Electronic Physics (Radioelectrology)

Διαβάστε περισσότερα

ΘΥ101: Ειςαγωγι ςτθν Πλθροφορικι

ΘΥ101: Ειςαγωγι ςτθν Πλθροφορικι Παράςταςη κινητήσ υποδιαςτολήσ ςφμφωνα με το πρότυπο ΙΕΕΕ Δρ. Χρήστος Ηλιούδης το πρότυπο ΙΕΕΕ 754 ζχει χρθςιμοποιθκεί ευρζωσ ςε πραγματικοφσ υπολογιςτζσ. Το πρότυπο αυτό κακορίηει δφο βαςικζσ μορφζσ κινθτισ

Διαβάστε περισσότερα

Ένα πρόβλθμα γραμμικοφ προγραμματιςμοφ βρίςκεται ςτθν κανονικι μορφι όταν:

Ένα πρόβλθμα γραμμικοφ προγραμματιςμοφ βρίςκεται ςτθν κανονικι μορφι όταν: Μζθοδος Simplex Η πλζον γνωςτι και περιςςότερο χρθςιμοποιουμζνθ μζκοδοσ για τθν επίλυςθ ενόσ γενικοφ προβλιματοσ γραμμικοφ προγραμματιςμοφ, είναι θ μζκοδοσ Simplex θ οποία αναπτφχκθκε από τον George Dantzig.

Διαβάστε περισσότερα

ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΠΟΛΟΓΙΣΤΗ. ΚΕΦΑΛΑΙΟ 5: Γνωριμία με το λογιςμικό του υπολογιςτι

ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΠΟΛΟΓΙΣΤΗ. ΚΕΦΑΛΑΙΟ 5: Γνωριμία με το λογιςμικό του υπολογιςτι ΕΝΟΤΗΤΑ 2: ΤΟ ΛΟΓΙΣΜΙΚΟ ΤΟΥ ΥΠΟΛΟΓΙΣΤΗ ΚΕΦΑΛΑΙΟ 5: Γνωριμία με το λογιςμικό του υπολογιςτι Λογιςμικό (Software), Πρόγραμμα (Programme ι Program), Προγραμματιςτισ (Programmer), Λειτουργικό Σφςτθμα (Operating

Διαβάστε περισσότερα

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 7 θ Διάλεξθ Διαχείριςθ Μνιμθσ Μζροσ Γ

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 7 θ Διάλεξθ Διαχείριςθ Μνιμθσ Μζροσ Γ ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ 7 θ Διάλεξθ Διαχείριςθ Μνιμθσ Μζροσ Γ ελιδοποίθςθ (1/10) Σόςο θ κατάτμθςθ διαμεριςμάτων ςτακεροφ μεγζκουσ όςο και θ κατάτμθςθ διαμεριςμάτων μεταβλθτοφ και άνιςου μεγζκουσ δεν κάνουν

Διαβάστε περισσότερα

Σ ΤΑΤ Ι Σ Τ Ι Κ Η. Statisticum collegium V

Σ ΤΑΤ Ι Σ Τ Ι Κ Η. Statisticum collegium V Σ ΤΑΤ Ι Σ Τ Ι Κ Η i Statisticum collegium V Στατιςτική Συμπεραςματολογία Ι Σημειακζσ Εκτιμήςεισ Διαςτήματα Εμπιςτοςφνησ Στατιςτική Συμπεραςματολογία (Statistical Inference) Το πεδίο τθσ Στατιςτικισ Συμπεραςματολογία,

Διαβάστε περισσότερα

3 θ διάλεξθ Επανάλθψθ, Επιςκόπθςθ των βαςικϊν γνϊςεων τθσ Ψθφιακισ Σχεδίαςθσ

3 θ διάλεξθ Επανάλθψθ, Επιςκόπθςθ των βαςικϊν γνϊςεων τθσ Ψθφιακισ Σχεδίαςθσ 3 θ διάλεξθ Επανάλθψθ, Επιςκόπθςθ των βαςικϊν γνϊςεων τθσ Ψθφιακισ Σχεδίαςθσ 1 2 3 4 5 6 7 Παραπάνω φαίνεται θ χαρακτθριςτικι καμπφλθ μετάβαςθσ δυναμικοφ (voltage transfer characteristic) για ζναν αντιςτροφζα,

Διαβάστε περισσότερα

Παράςταςη ακεραίων ςτο ςυςτημα ςυμπλήρωμα ωσ προσ 2

Παράςταςη ακεραίων ςτο ςυςτημα ςυμπλήρωμα ωσ προσ 2 Παράςταςη ακεραίων ςτο ςυςτημα ςυμπλήρωμα ωσ προσ 2 Δρ. Χρήζηος Ηλιούδης Μθ Προςθμαςμζνοι Ακζραιοι Εφαρμογζσ (ςε οποιαδιποτε περίπτωςθ δεν χρειάηονται αρνθτικοί αρικμοί) Καταμζτρθςθ. Διευκυνςιοδότθςθ.

Διαβάστε περισσότερα

Σχεδίαςη Σφγχρονων Ακολουθιακών Κυκλωμάτων

Σχεδίαςη Σφγχρονων Ακολουθιακών Κυκλωμάτων Σχεδίαςη Σφγχρονων Ακολουθιακών Κυκλωμάτων Πίνακεσ Διζγερςησ των FF Όπωσ είδαμε κατά τθ μελζτθ των FF, οι χαρακτθριςτικοί πίνακεσ δίνουν τθν τιμι τθσ επόμενθσ κατάςταςθσ κάκε FF ωσ ςυνάρτθςθ τθσ παροφςασ

Διαβάστε περισσότερα

Λαμβάνοντασ υπόψη ότι κατά την πρόςθεςη δφο δυαδικϊν ψηφίων ιςχφει: Κρατοφμενο

Λαμβάνοντασ υπόψη ότι κατά την πρόςθεςη δφο δυαδικϊν ψηφίων ιςχφει: Κρατοφμενο Αριθμητικά κυκλώματα Ημιαθροιστής (Half Adder) Ο ημιαθροιςτήσ είναι ζνα κφκλωμα το οποίο προςθζτει δφο δυαδικά ψηφία (bits) και δίνει ωσ αποτζλεςμα το άθροιςμά τουσ και το κρατοφμενο. Με βάςη αυτή την

Διαβάστε περισσότερα

x n D 2 ENCODER m - σε n (m 2 n ) x 1 Παραδείγματα κωδικοποιθτϊν είναι ο κωδικοποιθτισ οκταδικοφ ςε δυαδικό και ο κωδικοποιθτισ BCD ςε δυαδικό.

x n D 2 ENCODER m - σε n (m 2 n ) x 1 Παραδείγματα κωδικοποιθτϊν είναι ο κωδικοποιθτισ οκταδικοφ ςε δυαδικό και ο κωδικοποιθτισ BCD ςε δυαδικό. Κωδικοποιητές Ο κωδικοποιθτισ (nor) είναι ζνα κφκλωμα το οποίο διακζτει n γραμμζσ εξόδου και το πολφ μζχρι m = 2 n γραμμζσ ειςόδου και (m 2 n ). Οι ζξοδοι παράγουν τθν κατάλλθλθ λζξθ ενόσ δυαδικοφ κϊδικα

Διαβάστε περισσότερα

ελ. 11/235, Περιεχόμενα Φακζλου "Σεχνικι Προςφορά"

ελ. 11/235, Περιεχόμενα Φακζλου Σεχνικι Προςφορά υντάκτθσ : Ευάγγελοσ Κρζτςιμοσ χόλιο: ΠΑΡΑΣΗΡΗΗ 1 ελ. 11/235, Περιεχόμενα Φακζλου "Σεχνικι Προςφορά" Για τθν αποφυγι μεγάλου όγκου προςφοράσ και για τθ διευκόλυνςθ του ζργου τθσ επιτροπισ προτείνεται τα

Διαβάστε περισσότερα

ΔΕΛΣΙΟ ΣΤΠΟΤ ΣΟΧΑΙ ΑΕ: «ΚΛΑΔΙΚΕ ΣΟΧΕΤΕΙ» ΜΕΛΕΣΗ ΑΓΟΡΑ ΑΛΤΙΔΩΝ ΛΙΑΝΙΚΟΤ ΕΜΠΟΡΙΟΤ

ΔΕΛΣΙΟ ΣΤΠΟΤ ΣΟΧΑΙ ΑΕ: «ΚΛΑΔΙΚΕ ΣΟΧΕΤΕΙ» ΜΕΛΕΣΗ ΑΓΟΡΑ ΑΛΤΙΔΩΝ ΛΙΑΝΙΚΟΤ ΕΜΠΟΡΙΟΤ ΔΕΛΣΙΟ ΣΤΠΟΤ ΣΟΧΑΙ ΑΕ: «ΚΛΑΔΙΚΕ ΣΟΧΕΤΕΙ» ΜΕΛΕΣΗ ΑΓΟΡΑ ΑΛΤΙΔΩΝ ΛΙΑΝΙΚΟΤ ΕΜΠΟΡΙΟΤ Μείωςθ 1,9% ςε ςχζςθ με το 2009, παρουςίαςε θ αγορά των αλυςίδων λιανικοφ εμπορίου των οκτϊ εξεταηόμενων κατθγοριϊν το 2010

Διαβάστε περισσότερα

ςυςτιματα γραμμικϊν εξιςϊςεων

ςυςτιματα γραμμικϊν εξιςϊςεων κεφάλαιο 7 Α ςυςτιματα γραμμικϊν εξιςϊςεων αςικζσ ζννοιεσ Γραμμικά, λζγονται τα ςυςτιματα εξιςϊςεων ςτα οποία οι άγνωςτοι εμφανίηονται ςτθν πρϊτθ δφναμθ. Σα γραμμικά ςυςτιματα με δφο εξιςϊςεισ και δφο

Διαβάστε περισσότερα

Πόςο εκτατό μπορεί να είναι ζνα μη εκτατό νήμα και πόςο φυςικό. μπορεί να είναι ζνα μηχανικό ςτερεό. Συνιςταμζνη δφναμη versus «κατανεμημζνησ» δφναμησ

Πόςο εκτατό μπορεί να είναι ζνα μη εκτατό νήμα και πόςο φυςικό. μπορεί να είναι ζνα μηχανικό ςτερεό. Συνιςταμζνη δφναμη versus «κατανεμημζνησ» δφναμησ Πόςο εκτατό μπορεί να είναι ζνα μη εκτατό νήμα και πόςο φυςικό μπορεί να είναι ζνα μηχανικό ςτερεό. Συνιςταμζνη δφναμη versus «κατανεμημζνησ» δφναμησ Για τθν ανάδειξθ του κζματοσ κα λφνουμε κάποια προβλιματα

Διαβάστε περισσότερα

Ειςαγωγι ςτο Δομθμζνο Προγραμματιςμό. Βαγγζλθσ Οικονόμου

Ειςαγωγι ςτο Δομθμζνο Προγραμματιςμό. Βαγγζλθσ Οικονόμου Ειςαγωγι ςτο Δομθμζνο Προγραμματιςμό Βαγγζλθσ Οικονόμου Περιεχόμενα Πλθροφορίεσ Μακιματοσ Δομθμζνοσ Προγραμματιςμόσ (Οριςμοί, Γενικζσ Ζννοιεσ) Αλγόρικμοι και Ψευδοκϊδικασ Γλϊςςα προγραμματιςμοφ C Πλθροφορίεσ

Διαβάστε περισσότερα

Ψθφιακά Ηλεκτρονικά. Ενότθτα 7 : Ελαχιςτοποίθςθ και κωδικοποίθςθ καταςτάςεων Φϊτιοσ Βαρτηιϊτθσ

Ψθφιακά Ηλεκτρονικά. Ενότθτα 7 : Ελαχιςτοποίθςθ και κωδικοποίθςθ καταςτάςεων Φϊτιοσ Βαρτηιϊτθσ Ελλθνικι Δθμοκρατία Τεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Ψθφιακά Ηλεκτρονικά Ενότθτα 7 : Ελαχιςτοποίθςθ και κωδικοποίθςθ καταςτάςεων Φϊτιοσ Βαρτηιϊτθσ 1 Ανοιχτά Ακαδημαϊκά Μαθήματα ςτο ΤΕΙ Ηπείρου Τμιμα

Διαβάστε περισσότερα

ΒΙΟΛΟΓΟΙ ΓΙΑ ΦΥΣΙΚΟΥΣ

ΒΙΟΛΟΓΟΙ ΓΙΑ ΦΥΣΙΚΟΥΣ ΦΥΣΙΚΗ vs ΒΙΟΛΟΓΙΑ ΒΙΟΛΟΓΟΙ ΓΙΑ ΦΥΣΙΚΟΥΣ «Προτείνω να αναπτφξουμε πρώτα αυτό που κα μποροφςε να ζχει τον τίτλο: «ιδζεσ ενόσ απλοϊκοφ φυςικοφ για τουσ οργανιςμοφσ». Κοντολογίσ, τισ ιδζεσ που κα μποροφςαν

Διαβάστε περισσότερα

1 0 ΕΠΑΛ ΞΑΝΘΗ ΕΙΔΙΚΟΣΗΣΑ : ΗΛΕΚΣΡΟΝΙΚΩΝ ΕΙΔΙΚΗ ΘΕΜΑΣΙΚΗ ΕΡΓΑΙΑ Β ΗΛΕΚΣΡΟΝΙΚΩΝ ΘΕΜΑ : ΚΑΣΑΚΕΤΗ ΠΟΜΠΟΤ FM

1 0 ΕΠΑΛ ΞΑΝΘΗ ΕΙΔΙΚΟΣΗΣΑ : ΗΛΕΚΣΡΟΝΙΚΩΝ ΕΙΔΙΚΗ ΘΕΜΑΣΙΚΗ ΕΡΓΑΙΑ Β ΗΛΕΚΣΡΟΝΙΚΩΝ ΘΕΜΑ : ΚΑΣΑΚΕΤΗ ΠΟΜΠΟΤ FM 1 0 ΕΠΑΛ ΞΑΝΘΗ ΕΙΔΙΚΟΣΗΣΑ : ΗΛΕΚΣΡΟΝΙΚΩΝ ΕΙΔΙΚΗ ΘΕΜΑΣΙΚΗ ΕΡΓΑΙΑ Β ΗΛΕΚΣΡΟΝΙΚΩΝ ΘΕΜΑ : ΚΑΣΑΚΕΤΗ ΠΟΜΠΟΤ FM ΣΙ ΕΙΝΑΙ ΠΟΜΠΟ FM; Πρόκειται για μια θλεκτρονικι διάταξθ που ςκοπό ζχει τθν εκπομπι ραδιοςυχνότθτασ

Διαβάστε περισσότερα

Ακολουκιακά Λογικά Κυκλώματα

Ακολουκιακά Λογικά Κυκλώματα Ακολουκιακά Λογικά Κυκλώματα Τα ψθφιακά λογικά κυκλϊματα που μελετιςαμε μζχρι τϊρα ιταν ςυνδυαςτικά κυκλϊματα. Στα ςυνδυαςτικά κυκλϊματα οι ζξοδοι ςε κάκε χρονικι ςτιγμι εξαρτϊνται αποκλειςτικά και μόνο

Διαβάστε περισσότερα

Δείκτεσ απόδοςθσ υλικών

Δείκτεσ απόδοςθσ υλικών Δείκτεσ απόδοςθσ υλικών Κάκε ςυνδυαςμόσ λειτουργίασ, περιοριςμϊν και ςτόχων, οδθγεί ςε ζνα μζτρο τθσ απόδοςθσ τθσ λειτουργίασ του εξαρτιματοσ και περιζχει μια ομάδα ιδιοτιτων των υλικϊν. Αυτι θ ομάδα των

Διαβάστε περισσότερα

ΕΦΑΡΜΟΓΕ ΒΑΕΩΝ ΔΕΔΟΜΕΝΩΝ ΣΗ ΝΟΗΛΕΤΣΙΚΗ. Φιλιοποφλου Ειρινθ

ΕΦΑΡΜΟΓΕ ΒΑΕΩΝ ΔΕΔΟΜΕΝΩΝ ΣΗ ΝΟΗΛΕΤΣΙΚΗ. Φιλιοποφλου Ειρινθ ΕΦΑΡΜΟΓΕ ΒΑΕΩΝ ΔΕΔΟΜΕΝΩΝ ΣΗ ΝΟΗΛΕΤΣΙΚΗ Φιλιοποφλου Ειρινθ Προςθήκη νζων πεδίων Ασ υποκζςουμε ότι μετά τθ δθμιουργία του πίνακα αντιλαμβανόμαςτε ότι ζχουμε ξεχάςει κάποια πεδία. Είναι ζνα πρόβλθμα το οποίο

Διαβάστε περισσότερα

ΕΝΟΣΗΣΑ 1: ΓΝΩΡIΖΩ ΣΟΝ ΤΠΟΛΟΓΙΣΗ. ΚΕΦΑΛΑΙΟ 2: Σο Τλικό του Τπολογιςτι

ΕΝΟΣΗΣΑ 1: ΓΝΩΡIΖΩ ΣΟΝ ΤΠΟΛΟΓΙΣΗ. ΚΕΦΑΛΑΙΟ 2: Σο Τλικό του Τπολογιςτι ΕΝΟΣΗΣΑ 1: ΓΝΩΡIΖΩ ΣΟΝ ΤΠΟΛΟΓΙΣΗ ΚΕΦΑΛΑΙΟ 2: Σο Τλικό του Τπολογιςτι Τλικό υπολογιςτι (Hardware), Προςωπικόσ Τπολογιςτισ (ΡC), υςκευι ειςόδου, υςκευι εξόδου, Οκόνθ (Screen), Εκτυπωτισ (Printer), αρωτισ

Διαβάστε περισσότερα

Διαδικαςία Διαχείριςθσ Στθλϊν Βιβλίου Εςόδων - Εξόδων. (v.1.0.7)

Διαδικαςία Διαχείριςθσ Στθλϊν Βιβλίου Εςόδων - Εξόδων. (v.1.0.7) Διαδικαςία Διαχείριςθσ Στθλϊν Βιβλίου Εςόδων - Εξόδων (v.1.0.7) 1 Περίληψη Το ςυγκεκριμζνο εγχειρίδιο δθμιουργικθκε για να βοθκιςει τθν κατανόθςθ τθσ διαδικαςίασ διαχείριςθσ ςτθλών βιβλίου Εςόδων - Εξόδων.

Διαβάστε περισσότερα

Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο τησ Αριθμογραμμήσ

Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο τησ Αριθμογραμμήσ Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο τησ Αριθμογραμμήσ Αυτζσ οι οδθγίεσ ζχουν ςτόχο να βοθκιςουν τουσ εκπαιδευτικοφσ να καταςκευάςουν τισ δικζσ τουσ δραςτθριότθτεσ με το μοντζλο τθσ Αρικμογραμμισ.

Διαβάστε περισσότερα

Ηλιακι Θζρμανςθ οικίασ

Ηλιακι Θζρμανςθ οικίασ Ηλιακι Θζρμανςθ οικίασ Δυνατότθτα κάλυψθσ κερμαντικϊν αναγκϊν ζωσ και 100% (εξαρτάται από τθν τοποκεςία, τθν ςυλλεκτικι επιφάνεια και τθν μάηα νεροφ αποκθκεφςεωσ) βελτιςτοποιθμζνο ςφςτθμα με εγγυθμζνθ

Διαβάστε περισσότερα

ΠΑΙΔΑΓΩΓΙΚΟ ΙΝΣΙΣΟΤΣΟ ΚΤΠΡΟΤ Πρόγραμμα Επιμόρυωσης Τποψηυίων Καθηγητών Σεχνολογίας. Ηλεκτρονικά ΙΙ

ΠΑΙΔΑΓΩΓΙΚΟ ΙΝΣΙΣΟΤΣΟ ΚΤΠΡΟΤ Πρόγραμμα Επιμόρυωσης Τποψηυίων Καθηγητών Σεχνολογίας. Ηλεκτρονικά ΙΙ ΠΑΙΔΑΓΩΓΙΚΟ ΙΝΣΙΣΟΤΣΟ ΚΤΠΡΟΤ Πρόγραμμα Επιμόρυωσης Τποψηυίων Καθηγητών Σεχνολογίας Ηλεκτρονικά ΙΙ Πέμπτη 3/3/2011 Διδάζκων: Γιώργος Χαηζηιωάννοσ Τηλέθωνο: 99653828 Ε-mail: georghios.h@cytanet.com.cy Ώρες

Διαβάστε περισσότερα

Slide 1. Εισαγωγή στη ψυχρομετρία

Slide 1. Εισαγωγή στη ψυχρομετρία Slide 1 Εισαγωγή στη ψυχρομετρία 1 Slide 2 Σφντομη ειςαγωγή ςτη ψυχρομετρία. Διάγραμμα Mollier (πίεςησ-ενθαλπίασ P-H) Σο διάγραμμα Mollier είναι μία γραφικι παράςταςθ ςε ζναν άξονα ςυντεταγμζνων γραμμϊν

Διαβάστε περισσότερα

Δείκτεσ Διαχείριςθ Μνιμθσ. Βαγγζλθσ Οικονόμου Διάλεξθ 8

Δείκτεσ Διαχείριςθ Μνιμθσ. Βαγγζλθσ Οικονόμου Διάλεξθ 8 Δείκτεσ Διαχείριςθ Μνιμθσ Βαγγζλθσ Οικονόμου Διάλεξθ 8 Δείκτεσ Κάκε μεταβλθτι ςχετίηεται με μία κζςθ ςτθν κφρια μνιμθ του υπολογιςτι. Κάκε κζςθ ςτθ μνιμθ ζχει τθ δικι τθσ ξεχωριςτι διεφκυνςθ. Με άμεςθ

Διαβάστε περισσότερα

Πειραματικι Ψυχολογία (ΨΧ66)

Πειραματικι Ψυχολογία (ΨΧ66) Πειραματικι Ψυχολογία (ΨΧ66) Διάλεξη 7 Σεχνικζσ για τθν επίτευξθ ςτακερότθτασ Πζτροσ Ροφςςοσ Μζθοδοι για την επίτευξη του ελζγχου Μζςω του κατάλλθλου ςχεδιαςμοφ του πειράματοσ (ςτόχοσ είναι θ εξάλειψθ

Διαβάστε περισσότερα

ΕΝΟΣΗΣΑ 1: ΓΝΩΡIΖΩ ΣΟΝ ΤΠΟΛΟΓΙΣΗ. ΚΕΦΑΛΑΙΟ 3: Εργονομία

ΕΝΟΣΗΣΑ 1: ΓΝΩΡIΖΩ ΣΟΝ ΤΠΟΛΟΓΙΣΗ. ΚΕΦΑΛΑΙΟ 3: Εργονομία ΕΝΟΣΗΣΑ 1: ΓΝΩΡIΖΩ ΣΟΝ ΤΠΟΛΟΓΙΣΗ Εργονομία, ωςτι ςτάςθ εργαςίασ, Εικονοςτοιχείο (pixel), Ανάλυςθ οκόνθσ (resolution), Μζγεκοσ οκόνθσ Ποιεσ επιπτϊςεισ μπορεί να ζχει θ πολφωρθ χριςθ του υπολογιςτι ςτθν

Διαβάστε περισσότερα

Διαχείριςη Αριθμοδεικτών (v.1.0.7)

Διαχείριςη Αριθμοδεικτών (v.1.0.7) Διαχείριςη Αριθμοδεικτών (v.1.0.7) Περιεχόμενα 1. Μενοφ... 5 1.1 Αρικμοδείκτεσ.... 5 1.1.1 Δθμιουργία Αρικμοδείκτθ... 6 1.1.2 Αντιγραφι Αρικμοδείκτθ... 11 2. Παράμετροι... 12 2.1.1 Κατθγορίεσ Αρικμοδεικτϊν...

Διαβάστε περισσότερα

Ενδεικτικζσ Λφςεισ Θεμάτων

Ενδεικτικζσ Λφςεισ Θεμάτων c AM (t) x(t) ΤΕΙ Σερρών Τμήμα Πληροφορικής & Επικοινωνιών Σειρά Β Ειςηγητήσ: Δρ Απόςτολοσ Γεωργιάδησ ΕΠΙΚΟΙΝΩΝΙΕΣ Ι Ενδεικτικζσ Λφςεισ Θεμάτων Θζμα 1 ο (1 μον.) Ζςτω περιοδικό ςιμα πλθροφορίασ με περίοδο.

Διαβάστε περισσότερα

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 2 ο Εργαςτιριο Διαχείριςθ Διεργαςιϊν

ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ. 2 ο Εργαςτιριο Διαχείριςθ Διεργαςιϊν ΛΕΙΣΟΤΡΓΙΚΆ ΤΣΉΜΑΣΑ 2 ο Εργαςτιριο Διαχείριςθ Διεργαςιϊν Τπόβακρο (1/3) τουσ παλαιότερουσ υπολογιςτζσ θ Κεντρικι Μονάδα Επεξεργαςίασ (Κ.Μ.Ε.) μποροφςε κάκε ςτιγμι να εκτελεί μόνο ζνα πρόγραμμα τουσ ςφγχρονουσ

Διαβάστε περισσότερα

Σο θλεκτρικό κφκλωμα

Σο θλεκτρικό κφκλωμα Σο θλεκτρικό κφκλωμα Για να είναι δυνατι θ ροι των ελεφκερων θλεκτρονίων, για να ζχουμε θλεκτρικό ρεφμα, απαραίτθτθ προχπόκεςθ είναι θ φπαρξθ ενόσ κλειςτοφ θλεκτρικοφ κυκλϊματοσ. Είδθ κυκλωμάτων Σα κυκλϊματα

Διαβάστε περισσότερα

Μάρκετινγκ V Κοινωνικό Μάρκετινγκ. Πόπη Σουρμαΐδου. Σεμινάριο: Αναπτφςςοντασ μια κοινωνική επιχείρηςη

Μάρκετινγκ V Κοινωνικό Μάρκετινγκ. Πόπη Σουρμαΐδου. Σεμινάριο: Αναπτφςςοντασ μια κοινωνική επιχείρηςη Μάρκετινγκ V Κοινωνικό Μάρκετινγκ Πόπη Σουρμαΐδου Σεμινάριο: Αναπτφςςοντασ μια κοινωνική επιχείρηςη Σφνοψη Τι είναι το Marketing (βαςικι ειςαγωγι, swot ανάλυςθ, τα παλιά 4P) Τι είναι το Marketing Plan

Διαβάστε περισσότερα

ΑΝΣΙΣΡΟΦΗ ΤΝΑΡΣΗΗ. f y x y f A αντιςτοιχίηεται ςτο μοναδικό x A για το οποίο. Παρατθριςεισ Ιδιότθτεσ τθσ αντίςτροφθσ ςυνάρτθςθσ 1. Η. f A τθσ f.

ΑΝΣΙΣΡΟΦΗ ΤΝΑΡΣΗΗ. f y x y f A αντιςτοιχίηεται ςτο μοναδικό x A για το οποίο. Παρατθριςεισ Ιδιότθτεσ τθσ αντίςτροφθσ ςυνάρτθςθσ 1. Η. f A τθσ f. .. Αντίςτροφθ ςυνάρτθςθ Ζςτω θ ςυνάρτθςθ : A θ οποία είναι " ". Τότε ορίηεται μια νζα ςυνάρτθςθ, θ μζςω τθσ οποίασ το κάκε ιςχφει y. : A με Η νζα αυτι ςυνάρτθςθ λζγεται αντίςτροφθ τθσ. y y A αντιςτοιχίηεται

Διαβάστε περισσότερα

HY437 Αλγόριθμοι CAD

HY437 Αλγόριθμοι CAD HY437 Αλγόριθμοι CAD Διδϊςκων: Χ. Σωτηρύου http://inf-server.inf.uth.gr/courses/ce437/ 1 Περιεχόμενα Στόχοι τθσ Τεχνολογικισ Απεικόνιςθσ Περιγραφι σ ωσ Βαςικοί Γράφοι Μεταςχθματιςμόσ Δυαδικοφ Κυκλϊματοσ

Διαβάστε περισσότερα

Αναφορά Εργαςίασ Nim Game

Αναφορά Εργαςίασ Nim Game Αναφορά Εργαςίασ Nim Game Αυτόνομοι Πράκτορεσ (ΠΛΗ 513) Βαγενάσ Σωτιριοσ 2010030034 Ειςαγωγή Για τθν εργαςία του μακιματοσ αςχολικθκα με το board game Nim. Ρρόκειται για ζνα παιχνίδι δφο παιχτϊν (2-player

Διαβάστε περισσότερα

Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο του Άβακα

Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο του Άβακα Οδηγίεσ προσ τουσ εκπαιδευτικοφσ για το μοντζλο του Άβακα Αυτζσ οι οδθγίεσ ζχουν ςτόχο λοιπόν να βοθκιςουν τουσ εκπαιδευτικοφσ να καταςκευάςουν τισ δικζσ τουσ δραςτθριότθτεσ με το μοντζλο του Άβακα. Παρουςίαςη

Διαβάστε περισσότερα

Γ' ΛΥΚΕΙΟΥ Η ΤΑΞΗ ΤΗΣ ΤΕΛΙΚΗΣ ΕΠΙΛΟΓΗΣ. Στθ ΓϋΛυκείου οι Ομάδεσ Προςανατολιςμοφ είναι τρεισ:

Γ' ΛΥΚΕΙΟΥ Η ΤΑΞΗ ΤΗΣ ΤΕΛΙΚΗΣ ΕΠΙΛΟΓΗΣ. Στθ ΓϋΛυκείου οι Ομάδεσ Προςανατολιςμοφ είναι τρεισ: Γ' ΛΥΚΕΙΟΥ Η ΤΑΞΗ ΤΗΣ ΤΕΛΙΚΗΣ ΕΠΙΛΟΓΗΣ Στθ ΓϋΛυκείου οι Ομάδεσ Προςανατολιςμοφ είναι τρεισ: 1. Ομάδα Ανκρωπιςτικών Σπουδών 2. Ομάδα Οικονομικών, Πολιτικών, Κοινωνικών & Παιδαγωγικών Σπουδών 3. Ομάδα Θετικών

Διαβάστε περισσότερα

Μάκθςθ Κατανομϊν Πικανότθτασ και Ομαδοποίθςθ

Μάκθςθ Κατανομϊν Πικανότθτασ και Ομαδοποίθςθ Μάκθςθ Κατανομϊν Πικανότθτασ και Ομαδοποίθςθ Κϊςτασ Διαμαντάρασ Τμιμα Πλθροφορικισ ΤΕΙ Θεςςαλονίκθσ 1 Μάκθςθ κατανομισ πικανότθτασ Σε όλθ τθν ανάλυςθ μζχρι τϊρα ζγινε ςιωπθρά θ παραδοχι ότι γνωρίηουμε

Διαβάστε περισσότερα

Μάθημα 9 ο ΤΕΧΝΙΚΕΣ ΔΙΑΧΕΙΡΙΣΗΣ ΕΙΚΟΝΙΚΗΣ ΜΝΗΜΗΣ

Μάθημα 9 ο ΤΕΧΝΙΚΕΣ ΔΙΑΧΕΙΡΙΣΗΣ ΕΙΚΟΝΙΚΗΣ ΜΝΗΜΗΣ Μάθημα 9 ο ΤΕΧΝΙΚΕΣ ΔΙΑΧΕΙΡΙΣΗΣ ΕΙΚΟΝΙΚΗΣ ΜΝΗΜΗΣ Ειςαγωγό Όπωσ είδαμε, ο χϊροσ εικονικϊν διευκφνςεων μνιμθσ που χρθςιμοποιεί κάκε διεργαςία, είναι αρκετά μεγαλφτεροσ από το χϊρο των φυςικϊν διευκφνςεων.

Διαβάστε περισσότερα

Ιδιότθτεσ πεδίων Γενικζσ.

Ιδιότθτεσ πεδίων Γενικζσ. Οι ιδιότθτεσ των πεδίων διαφζρουν ανάλογα με τον τφπο δεδομζνων που επιλζγουμε. Ορίηονται ςτο κάτω μζροσ του παρακφρου ςχεδίαςθσ του πίνακα, ςτθν καρτζλα Γενικζσ. Ιδιότθτα: Μζγεκοσ πεδίου (Field size)

Διαβάστε περισσότερα

Η γλώςςα προγραμματιςμού C

Η γλώςςα προγραμματιςμού C Η γλώςςα προγραμματιςμού C Οι εντολζσ επανάλθψθσ (while, do-while, for) Γενικά για τισ εντολζσ επανάλθψθσ Συχνά ςτο προγραμματιςμό είναι επικυμθτι θ πολλαπλι εκτζλεςθ μιασ ενότθτασ εντολϊν, είτε για ζνα

Διαβάστε περισσότερα

Θέματα διπλωματικών εργαςιών ςτην ανάλυςη εικόνασ

Θέματα διπλωματικών εργαςιών ςτην ανάλυςη εικόνασ Εθνικό Μετςόβιο Πολυτεχνείο Εργαςτήριο Ευφυών Συςτημάτων, Περιεχομένου και Αλληλεπίδραςησ Θέματα διπλωματικών εργαςιών ςτην ανάλυςη εικόνασ 2010 2011 ΑΚΜΕ, ΣΟΠΚΚΑ ΧΑΡΑΚΣΗΡΚΣΚΚΑ, Θ ΚΑΣΑΣΜΗΗ; ΜΚΑ ΕΝΟΠΟΚΗΜΕΝΗ

Διαβάστε περισσότερα

Διαχείριςη έργου. Αντώνησ Μαΰργιώτησ Msc, PhD Πληροφορικήσ

Διαχείριςη έργου. Αντώνησ Μαΰργιώτησ Msc, PhD Πληροφορικήσ Διαχείριςη έργου Αντώνησ Μαΰργιώτησ Msc, PhD Πληροφορικήσ Ανάλυςη Βαςικών εννοιών για τα έργα (1) Τα ζργα υπιρξαν μζροσ τθσ ανκρώπινθσ ηωισ από τθ ςτιγμι που ξεκίνθςε ο πολιτιςμόσ. Η ανάγκθ για οργάνωςθ

Διαβάστε περισσότερα

Ανάπτυξη Εφαρμογών Σε Προγραμματιςτικό Περιβάλλον

Ανάπτυξη Εφαρμογών Σε Προγραμματιςτικό Περιβάλλον Γραπτι Εξζταςθ ςτο μάκθμα Ανάπτυξη Εφαρμογών Σε Προγραμματιςτικό Περιβάλλον Όνομα: Επϊνυμο: Τμιμα: Ημερομθνία: 20/02/11 Θζμα 1 ο Α. Να χαρακτθρίςετε κακεμιά από τισ παρακάτω προτάςεισ ωσ Σωςτι (Σ) ι Λάκοσ

Διαβάστε περισσότερα

Πολυπλέκτες. 0 x 0 F = S x 0 + Sx 1 1 x 1

Πολυπλέκτες. 0 x 0 F = S x 0 + Sx 1 1 x 1 Πολυπλέκτες Ο πολυπλζκτθσ (multipleer - ) είναι ζνα ςυνδυαςτικό κφκλωμα που επιλζγει δυαδικι πλθροφορία μιασ από πολλζσ γραμμζσ ειςόδου και τθν κατευκφνει ςε μια και μοναδικι γραμμι εξόδου. Η επιλογι μιασ

Διαβάστε περισσότερα

Διάδοση θερμότητας σε μία διάσταση

Διάδοση θερμότητας σε μία διάσταση Διάδοση θερμότητας σε μία διάσταση Η θεωρητική μελζτη που ακολουθεί πραγματοποιήθηκε με αφορμή την εργαςτηριακή άςκηςη μζτρηςησ του ςυντελεςτή θερμικήσ αγωγιμότητασ του αλουμινίου, ςτην οποία διαγωνίςτηκαν

Διαβάστε περισσότερα

3 ο ΓΥΜΝΑΣΙΟ ΤΡΙΚΑΛΩΝ ΕΡΓΑΣΙΑ ΤΕΧΝΟΛΟΓΙΑΣ Γ ΓΥΜΝΑΣΙΟΥ

3 ο ΓΥΜΝΑΣΙΟ ΤΡΙΚΑΛΩΝ ΕΡΓΑΣΙΑ ΤΕΧΝΟΛΟΓΙΑΣ Γ ΓΥΜΝΑΣΙΟΥ 3 ο ΓΥΜΝΑΣΙΟ ΤΡΙΚΑΛΩΝ ΕΡΓΑΣΙΑ ΤΕΧΝΟΛΟΓΙΑΣ Γ ΓΥΜΝΑΣΙΟΥ 1) Τίτλοσ τθσ ζρευνασ: «Ποια είναι θ επίδραςθ τθσ κερμοκραςίασ ςτθ διαλυτότθτα των ςτερεϊν ςτο νερό;» 2) Περιγραφι του ςκοποφ τθσ ζρευνασ: Η ζρευνα

Διαβάστε περισσότερα

Μετατροπι Αναλογικοφ Σιματοσ ςε Ψθφιακό. Διάλεξθ 10

Μετατροπι Αναλογικοφ Σιματοσ ςε Ψθφιακό. Διάλεξθ 10 Μετατροπι Αναλογικοφ Σιματοσ ςε Ψθφιακό Διάλεξθ 10 Γενικό Σχιμα Μετατροπζασ Αναλογικοφ ςε Ψθφιακό Ψθφιακό Τθλεπικοινωνιακό Κανάλι Μετατροπζασ Ψθφιακοφ ςε Αναλογικό Τα αναλογικά ςιματα μετατρζπονται ςε

Διαβάστε περισσότερα

Η θεωρία τησ ςτατιςτικήσ ςε ερωτήςεισ-απαντήςεισ Μέροσ 1 ον (έωσ ομαδοποίηςη δεδομένων)

Η θεωρία τησ ςτατιςτικήσ ςε ερωτήςεισ-απαντήςεισ Μέροσ 1 ον (έωσ ομαδοποίηςη δεδομένων) 1)Πώσ ορύζεται η Στατιςτικό επιςτόμη; Στατιςτικι είναι ζνα ςφνολο αρχϊν και μεκοδολογιϊν για: το ςχεδιαςμό τθσ διαδικαςίασ ςυλλογισ δεδομζνων τθ ςυνοπτικι και αποτελεςματικι παρουςίαςι τουσ τθν ανάλυςθ

Διαβάστε περισσότερα

Ενεργειακά Τηάκια. Πουκεβίλ 2, Ιωάννινα Τθλ. 26510.23822 www.energeiaka-ktiria.gr www.facebook.com/energeiaka.ktiria

Ενεργειακά Τηάκια. Πουκεβίλ 2, Ιωάννινα Τθλ. 26510.23822 www.energeiaka-ktiria.gr www.facebook.com/energeiaka.ktiria Ενεργειακά Τηάκια Πουκεβίλ 2, Ιωάννινα Τθλ. 26510.23822 www.facebook.com/energeiaka.ktiria Σελ. 2 Η ΕΣΑΙΡΕΙΑ Η εταιρεία Ενεργειακά Κτίρια δραςτθριοποιείται ςτθν παροχι ολοκλθρωμζνων υπθρεςιϊν και ςτθν

Διαβάστε περισσότερα

Αυτόνομοι Πράκτορες. Αναφορά Εργασίας Εξαμήνου. Το αστέρι του Aibo και τα κόκαλα του

Αυτόνομοι Πράκτορες. Αναφορά Εργασίας Εξαμήνου. Το αστέρι του Aibo και τα κόκαλα του Αυτόνομοι Πράκτορες Αναφορά Εργασίας Εξαμήνου Το αστέρι του Aibo και τα κόκαλα του Jaohar Osman Η πρόταςθ εργαςίασ που ζκανα είναι το παρακάτω κείμενο : - ξ Aibo αγαπάει πάρα πξλύ ρα κόκαλα και πάμρα ρα

Διαβάστε περισσότερα

Παραπάνω παρουςιάηεται ο πιο ςυνικθσ χωροκζτθςθ αρικμθτικϊν, λογικϊν κυκλωμάτων. Η μονάδα επεξεργαςίασ είναι θ λζξθ (λ.χ. 32-bit ςε επεξεργαςτζσ,

Παραπάνω παρουςιάηεται ο πιο ςυνικθσ χωροκζτθςθ αρικμθτικϊν, λογικϊν κυκλωμάτων. Η μονάδα επεξεργαςίασ είναι θ λζξθ (λ.χ. 32-bit ςε επεξεργαςτζσ, 1 2 3 4 Παραπάνω παρουςιάηεται ο πιο ςυνικθσ χωροκζτθςθ αρικμθτικϊν, λογικϊν κυκλωμάτων. Η μονάδα επεξεργαςίασ είναι θ λζξθ (λ.χ. 32-bit ςε επεξεργαςτζσ, 8-bit ςε DSP) και αυτι κακορίηει και τθν δομι τθσ

Διαβάστε περισσότερα

Ενδεικτική Οργάνωςη Ενοτήτων. Α Σάξη. Διδ. 1 ΕΝΟΣΗΣΑ 1. 6 Ομαδοποίθςθ, Μοτίβα,

Ενδεικτική Οργάνωςη Ενοτήτων. Α Σάξη. Διδ. 1 ΕΝΟΣΗΣΑ 1. 6 Ομαδοποίθςθ, Μοτίβα, Ενδεικτική Οργάνωςη Ενοτήτων Α Σάξη Α/ Μαθηματικό περιεχόμενο Δείκτεσ Επιτυχίασ Ώρεσ Α Διδ. 1 ΕΝΟΣΗΣΑ 1 Αλ1.1 υγκρίνουν και ταξινομοφν αντικείμενα ςφμφωνα με κάποιο χαρακτθριςτικό/κριτιριο/ιδιότθτά Ομαδοποίθςθ,

Διαβάστε περισσότερα

ΠΡΟΦΟΡΑ ΖΗΣΗΗ ΚΡΑΣΘΚΗ ΠΑΡΕΜΒΑΗ

ΠΡΟΦΟΡΑ ΖΗΣΗΗ ΚΡΑΣΘΚΗ ΠΑΡΕΜΒΑΗ ΠΡΟΦΟΡΑ ΖΗΣΗΗ ΚΡΑΣΘΚΗ ΠΑΡΕΜΒΑΗ 1 Ειςαγωγι: Οι αγοραίεσ δυνάµεισ τθσ προςφοράσ και ηιτθςθσ Προσφορά και Ζήτηση είναι οι πιο γνωςτοί οικονοµικοί όροι. Η λειτουργία των αγορϊν προςδιορίηεται από δφο βαςικζσ

Διαβάστε περισσότερα

Δίκτυα Υπολογιςτϊν 2-Rooftop Networking Project

Δίκτυα Υπολογιςτϊν 2-Rooftop Networking Project Ονοματεπώνυμα και Α.Μ. μελών ομάδασ Κοφινάσ Νίκοσ ΑΜ:2007030111 Πζρροσ Ιωακείμ ΑΜ:2007030085 Site survey Τα κτιρια τθσ επιλογισ μασ αποτελοφν το κτιριο επιςτθμϊν και το κτιριο ςτο οποίο ςτεγάηεται θ λζςχθ

Διαβάστε περισσότερα

ΧΕΔΙΑΜΟ ΠΡΟΪΟΝΣΩΝ ΜΕ Η/Τ

ΧΕΔΙΑΜΟ ΠΡΟΪΟΝΣΩΝ ΜΕ Η/Τ ΧΕΔΙΑΜΟ ΠΡΟΪΟΝΣΩΝ ΜΕ Η/Τ ΚΑΜΠΤΛΕ ΕΛΕΤΘΕΡΗ ΜΟΡΦΗ Χριςιμεσ για τθν περιγραφι ομαλών και ελεφκερων ςχθμάτων Αμάξωμα αυτοκινιτου, πτερφγια αεροςκαφών, ςκελετόσ πλοίου χιματα χαρακτιρων κινουμζνων ςχεδίων Περιγραφι

Διαβάστε περισσότερα

Θεςιακά ςυςτιματα αρίκμθςθσ

Θεςιακά ςυςτιματα αρίκμθςθσ Θεςιακά ςυςτιματα αρίκμθςθσ Δρ. Χρήστος Ηλιούδης αρικμθτικό ςφςτθμα αρίκμθςθσ (Number System) Αξία (value) παράςταςθ Οι αξίεσ (π.χ. το βάροσ μιασ ποςότθτασ μιλων) μποροφν να παραςτακοφν με πολλοφσ τρόπουσ

Διαβάστε περισσότερα

Ε. ε περίπτωςθ που θ διαφορά των δφο ηαριϊν είναι 3 τότε ο παίκτθσ ξαναρίχνει μόνο ζνα ηάρι.

Ε. ε περίπτωςθ που θ διαφορά των δφο ηαριϊν είναι 3 τότε ο παίκτθσ ξαναρίχνει μόνο ζνα ηάρι. 1 ο Σετ Ασκήσεων Δομή Επιλογής - Επανάληψης Άςκθςθ 1θ: Ζνα παιχνίδι με ηάρια παίηεται ωσ εξισ: Α. Ο παίκτθσ αρχικά ποντάρει κάποιο ποςό και ρίχνει δφο ηάρια. Β. Ο παίκτθσ κερδίηει (το ποςό που ζχει ποντάρει)

Διαβάστε περισσότερα

Ζρευνα ικανοποίθςθσ τουριςτϊν

Ζρευνα ικανοποίθςθσ τουριςτϊν Ζρευνα ικανοποίθςθσ τουριςτϊν Ammon Ovis_Ζρευνα ικανοποίθςθσ τουριςτϊν_ Ραδιοςτακμόσ Flash 96 1 ΣΤΟΙΧΕΙΑ ΔΕΙΓΜΑΤΟΣ Σο δείγμα περιλαμβάνει 332 τουρίςτεσ από 5 διαφορετικζσ θπείρουσ. Οι περιςςότεροι εξ αυτϊν

Διαβάστε περισσότερα

Σφςτθμα Διαχείριςθσ Αντλιοςταςίων. Διαφείπιςη ςτςσημάσων ύδπετςηρ Μονάδερ αςύπμασηρ μεσαυοπάρ ςημάσων Radio telemetry

Σφςτθμα Διαχείριςθσ Αντλιοςταςίων. Διαφείπιςη ςτςσημάσων ύδπετςηρ Μονάδερ αςύπμασηρ μεσαυοπάρ ςημάσων Radio telemetry Σφςτθμα Διαχείριςθσ Αντλιοςταςίων Διαφείπιςη ςτςσημάσων ύδπετςηρ Μονάδερ αςύπμασηρ μεσαυοπάρ ςημάσων Radio telemetry Η ςωςτι διαχείριςθ των υδάτινων πόρων αποτελεί ςτθ ςθμερινι εποχι επιτακτικι ανάγκθ,

Διαβάστε περισσότερα

Παράςταςη ςυμπλήρωμα ωσ προσ 1

Παράςταςη ςυμπλήρωμα ωσ προσ 1 Δρ. Χρήστος Ηλιούδης Θζματα διάλεξησ ΣΤ1 Προςθεςη αφαίρεςη ςτο ΣΤ1 2 ή ΣΤ1 Ονομάηουμε ςυμπλιρωμα ωσ προσ μειωμζνθ βάςθ R ενόσ μθ προςθμαςμζνου αρικμοφ Χ = ( Χ θ-1 Χ θ-2... Χ 0 ) R ζναν άλλον αρικμό Χ'

Διαβάστε περισσότερα

ΗΛΕΚΣΡΟΝΙΚΗ ΤΠΗΡΕΙΑ ΑΠΟΚΣΗΗ ΑΚΑΔΗΜΑΪΚΗ ΣΑΤΣΟΣΗΣΑ

ΗΛΕΚΣΡΟΝΙΚΗ ΤΠΗΡΕΙΑ ΑΠΟΚΣΗΗ ΑΚΑΔΗΜΑΪΚΗ ΣΑΤΣΟΣΗΣΑ ΗΛΕΚΣΡΟΝΙΚΗ ΤΠΗΡΕΙΑ ΑΠΟΚΣΗΗ ΑΚΑΔΗΜΑΪΚΗ ΣΑΤΣΟΣΗΣΑ Οδηγός Χρήσης Εφαρμογής Ελέγχου Προσφορών Αφοφ πιςτοποιθκεί ο λογαριαςμόσ που δθμιουργιςατε ςτο πρόγραμμα ωσ Πάροχοσ Προςφορϊν, κα λάβετε ζνα e-mail με

Διαβάστε περισσότερα

Τεχνικζσ Ανάλυςησ Διοικητικών Αποφάςεων

Τεχνικζσ Ανάλυςησ Διοικητικών Αποφάςεων Τεχνικζσ Ανάλυςησ Διοικητικών Αποφάςεων Ενότητα 7: Ειςαγωγι ςτο Δυναμικό Προγραμματιςμό Κακθγθτισ Γιάννθσ Γιαννίκοσ Σχολι Οργάνωςθσ και Διοίκθςθσ Επιχειριςεων Τμιμα Διοίκθςθσ Επιχειριςεων Σκοποί ενότητασ

Διαβάστε περισσότερα

Προςέγγιςη ςυςτημάτων και μηχανική ςυςτημάτων. Αντώνησ Μαΰργιώτησ

Προςέγγιςη ςυςτημάτων και μηχανική ςυςτημάτων. Αντώνησ Μαΰργιώτησ Προςέγγιςη ςυςτημάτων και μηχανική ςυςτημάτων Αντώνησ Μαΰργιώτησ Το έργο ωσ ςύςτημα Ζνα ζργο (project), ωσ μία εναλλακτικι κεώρθςθ, είναι ζνα ςφςτθμα ανκρϊπων, ςυςκευϊν, υλικϊν και όλων εκείνων των ςυςτατικϊν

Διαβάστε περισσότερα

τατιςτικά ςτοιχεία ιςτότοπου Κ.Ε.Π.Α. Α.Ν.Ε.Μ, www.e-kepa.gr για τθν περίοδο 1/1/2011-31/12/2014

τατιςτικά ςτοιχεία ιςτότοπου Κ.Ε.Π.Α. Α.Ν.Ε.Μ, www.e-kepa.gr για τθν περίοδο 1/1/2011-31/12/2014 τατιςτικά ςτοιχεία ιςτότοπου Κ.Ε.Π.Α. Α.Ν.Ε.Μ, www.e-kepa.gr για τθν περίοδο 1/1/2011-31/12/2014 Ειςαγωγι Στο παρόν κείμενο παρουςιάηονται και αναλφονται τα ςτατιςτικά ςτοιχεία του ιςτοτόπου τθσ ΚΕΠΑ-ΑΝΕΜ,

Διαβάστε περισσότερα

Άςκθςθ 1θ: Να γραφεί αλγόρικμοσ που κα δθμιουργεί με τθ βοικεια διπλοφ επαναλθπτικοφ βρόχου, τον ακόλουκο διςδιάςτατο πίνακα:

Άςκθςθ 1θ: Να γραφεί αλγόρικμοσ που κα δθμιουργεί με τθ βοικεια διπλοφ επαναλθπτικοφ βρόχου, τον ακόλουκο διςδιάςτατο πίνακα: 2 ο Σετ Ασκήσεων Δομές Δεδομένων - Πίνακες Άςκθςθ 1θ: Να γραφεί αλγόρικμοσ που κα δθμιουργεί με τθ βοικεια διπλοφ επαναλθπτικοφ βρόχου, τον ακόλουκο διςδιάςτατο πίνακα: 2 3 4 5 3 4 5 6 4 5 6 7 5 6 7 8

Διαβάστε περισσότερα

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 2

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 2 Τμήμα Μησανικών Πληποφοπικήρ, Τ.Ε.Ι. Ηπείπος Ακαδημαϊκό Έτορ 2016-2017, 6 ο Εξάμηνο Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Εργαςτιριο 2 Διδάςκων Τςιακμάκθσ Κυριάκοσ, Phd MSc in Electronic Physics (Radioelectrology)

Διαβάστε περισσότερα

Περιφερειακό Ψθφιακό Αποκετιριο: Ανοικτά Δεδομζνα και Επιχειρθματικότθτα. Γ. Φραγκιαδάκθσ Κζντρο Επικοινωνιϊν και Δικτφων Πανεπιςτιμιο Κριτθσ

Περιφερειακό Ψθφιακό Αποκετιριο: Ανοικτά Δεδομζνα και Επιχειρθματικότθτα. Γ. Φραγκιαδάκθσ Κζντρο Επικοινωνιϊν και Δικτφων Πανεπιςτιμιο Κριτθσ Περιφερειακό Ψθφιακό Αποκετιριο: Ανοικτά Δεδομζνα και Επιχειρθματικότθτα Γ. Φραγκιαδάκθσ Κζντρο Επικοινωνιϊν και Δικτφων Πανεπιςτιμιο Κριτθσ Περιφερειακό υμβοφλιο Καινοτομίασ Κριτθσ Σο ΠΚΚ αποτελείται

Διαβάστε περισσότερα

Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 9 : Διαδικαςία φνκεςθσ Φϊτιοσ Βαρτηιϊτθσ

Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου. Ψθφιακά Ηλεκτρονικά. Ενότθτα 9 : Διαδικαςία φνκεςθσ Φϊτιοσ Βαρτηιϊτθσ Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Ψθφιακά Ηλεκτρονικά Ενότθτα 9 : Διαδικαςία φνκεςθσ Φϊτιοσ Βαρτηιϊτθσ 1 Ανοιχτά Σμιμα Ψθφιακά Ηλεκτρονικά Ενότητα 9: Διαδικαςία φνκεςθσ Φϊτιοσ

Διαβάστε περισσότερα

ΚΥΚΛΩΜΑΤΑ VLSI. Ασκήσεις Ι. Γ. Τσιατούχας. Πανεπιςτιμιο Ιωαννίνων. Τμιμα Μθχανικϊν Η/Υ και Πλθροφορικισ 8/11/18

ΚΥΚΛΩΜΑΤΑ VLSI. Ασκήσεις Ι. Γ. Τσιατούχας. Πανεπιςτιμιο Ιωαννίνων. Τμιμα Μθχανικϊν Η/Υ και Πλθροφορικισ 8/11/18 ΚΥΚΛΩΜΑΤΑ LSI Πανεπιςτιμιο Ιωαννίνων Ασκήσεις Ι Τμιμα Μθχανικϊν Η/Υ και Πλθροφορικισ 8/11/18 Γ. Τσιατούχας Άσκηση 1 1) Σχεδιάςτε τισ ςφνκετεσ COS λογικζσ πφλεσ (ςε επίπεδο τρανηίςτορ) που υλοποιοφν τισ

Διαβάστε περισσότερα

ΑΣΛΑΝΣΙΚΗ ΕΝΩΗ ΠΑΝΕΤΡΩΠΑΪΚΟ STRESS TEST ΑΦΑΛΙΣΙΚΩΝ ΕΣΑΙΡΙΩΝ ΑΠΟΣΕΛΕΜΑΣΑ 2014

ΑΣΛΑΝΣΙΚΗ ΕΝΩΗ ΠΑΝΕΤΡΩΠΑΪΚΟ STRESS TEST ΑΦΑΛΙΣΙΚΩΝ ΕΣΑΙΡΙΩΝ ΑΠΟΣΕΛΕΜΑΣΑ 2014 ΑΣΛΑΝΣΙΚΗ ΕΝΩΗ ΠΑΝΕΤΡΩΠΑΪΚΟ STRESS TEST ΑΦΑΛΙΣΙΚΩΝ ΕΣΑΙΡΙΩΝ ΑΠΟΣΕΛΕΜΑΣΑ 2014 τθ διάρκεια του τρζχοντοσ ζτουσ εξελίχκθκε θ ευρωπαϊκι άςκθςθ προςομοίωςθσ ακραίων καταςτάςεων για τισ Αςφαλιςτικζσ Εταιρίεσ

Διαβάστε περισσότερα

ΘΕΡΜΟΔΤΝΑΜΙΚΗ Ι. Ενότθτα 1: Βαςικά χαρακτθριςτικά τθσ Θερμοδυναμικισ. ογομϊν Μπογοςιάν Πολυτεχνικι χολι Σμιμα Χθμικϊν Μθχανικϊν

ΘΕΡΜΟΔΤΝΑΜΙΚΗ Ι. Ενότθτα 1: Βαςικά χαρακτθριςτικά τθσ Θερμοδυναμικισ. ογομϊν Μπογοςιάν Πολυτεχνικι χολι Σμιμα Χθμικϊν Μθχανικϊν ΘΕΡΜΟΔΤΝΑΜΙΚΗ Ι Ενότθτα 1: Βαςικά χαρακτθριςτικά τθσ Θερμοδυναμικισ ογομϊν Μπογοςιάν Πολυτεχνικι χολι Σμιμα Χθμικϊν Μθχανικϊν κοποί ενότθτασ κοπόσ τθσ ενότθτασ αυτισ είναι θ περιγραφι των οριςμϊν και και

Διαβάστε περισσότερα

HY437 Αλγόριθμοι CAD

HY437 Αλγόριθμοι CAD HY437 Αλγόριθμοι CAD Διδάςκων: Χ. Σωτηρίου http://inf-server.inf.uth.gr/courses/ce437/ 1 ΗΥ437 - Πολυεπίπεδθ Λογικι Απλοποίθςθ με Περιεχόμενα Είδθ Αδιάφορων Τιμϊν ςε Πολφ-επίπεδα Δυαδικά Δίκτυα Αδιάφορεσ

Διαβάστε περισσότερα

Δομζσ Δεδομζνων Πίνακεσ

Δομζσ Δεδομζνων Πίνακεσ Δομζσ Δεδομζνων Πίνακεσ Διάλεξθ 2 Περιεχόμενα Πίνακεσ: Οριςμοί, Γενικζσ ζννοιεσ Αποκικευςθ πινάκων Ειδικζσ μορφζσ πινάκων Αλγόρικμοι Αναηιτθςθσ Σειριακι Αναηιτθςθ Δυαδικι Αναηιτθςθ Οριςμοί, Γενικζσ ζννοιεσ

Διαβάστε περισσότερα

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 4

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 4 Τμήμα Μησανικών Πληποφοπικήρ, Τ.Ε.Ι. Ηπείπος Ακαδημαϊκό Έτορ 2016-2017, 6 ο Εξάμηνο Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 4 Διδάςκων Τςιακμάκθσ Κυριάκοσ, Phd MSc in Electronic Physics (Radioelectrology)

Διαβάστε περισσότερα

1 ο ΜΑΘΗΜΑ Κεφάλαιο 1, Παράγραφοι 1.1, 1.2 ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΤΑΤΙΣΤΙΚΗ ΒΑΣΙΚΕΣ ΕΝΝΟΙΕΣ

1 ο ΜΑΘΗΜΑ Κεφάλαιο 1, Παράγραφοι 1.1, 1.2 ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΤΑΤΙΣΤΙΚΗ ΒΑΣΙΚΕΣ ΕΝΝΟΙΕΣ 1 ο ΜΑΘΗΜΑ Κεφάλαιο 1, Παράγραφοι 1.1, 1.2 ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΤΑΤΙΣΤΙΚΗ ΒΑΣΙΚΕΣ ΕΝΝΟΙΕΣ Στατιςτικι είναι ο κλάδοσ των μακθματικϊν που αςχολείται με τθ ςυλλογι, τθν οργάνωςθ, τθν παρουςίαςθ και τθν ανάλυςθ αρικμθτικϊν

Διαβάστε περισσότερα

Δ ιαγώνιςμα ς το μάθημα Ανάπτυξη Εφαρμογών ςε Προγ ραμματιςτικό Περιβάλ λον

Δ ιαγώνιςμα ς το μάθημα Ανάπτυξη Εφαρμογών ςε Προγ ραμματιςτικό Περιβάλ λον Δ ιαγώνιςμα ς το μάθημα Ανάπτυξη Εφαρμογών ςε Προγ ραμματιςτικό Περιβάλ λον Ο ν ο μ α τ ε π ώ ν υ μ ο : _ Θ Ε Μ Α 1 ο Α. Ν α χ α ρ α κ τ θ ρ ι ς τ ο φ ν ο ι α κ ό λ ο υ κ ε σ π ρ ο τ ά ς ε ι σ μ ε τ ο

Διαβάστε περισσότερα

Συγγραφι επιςτθμονικισ εργαςίασ. Η κορφφωςθ τθσ προςπάκειάσ μασ

Συγγραφι επιςτθμονικισ εργαςίασ. Η κορφφωςθ τθσ προςπάκειάσ μασ Συγγραφι επιςτθμονικισ εργαςίασ Η κορφφωςθ τθσ προςπάκειάσ μασ Περίγραμμα Ειςήγηςησ Στάδια υλοποίθςθσ τθσ επιςτθμονικισ εργαςίασ Δομι επιςτθμονικισ / πτυχιακισ εργαςίασ Ζθτιματα ερευνθτικισ και ακαδθμαϊκισ

Διαβάστε περισσότερα

ΕΡΓΑΣΗΡΙΟ ΕΦΑΡΜΟΜΕΝΗ ΠΛΗΡΟΦΟΡΙΚΗ

ΕΡΓΑΣΗΡΙΟ ΕΦΑΡΜΟΜΕΝΗ ΠΛΗΡΟΦΟΡΙΚΗ Στο εργαςτιριο αυτό κα δοφμε πωσ μποροφμε να προςομοιϊςουμε μια κίνθςθ χωρίσ τθ χριςθ εξειδικευμζνων εργαλείων, παρά μόνο μζςω ενόσ προγράμματοσ λογιςτικϊν φφλλων, όπωσ είναι το Calc και το Excel. Τα δφο

Διαβάστε περισσότερα

ESC CAMPS. To Esc Camp βαςίηεται ςτθ δόμθςθ με containers.

ESC CAMPS. To Esc Camp βαςίηεται ςτθ δόμθςθ με containers. ESC CAMPS H HELLENIC ROWING κζλει να δθμιουργιςει Esc Camps, ζνα ςε κάκε περιοχι των Πρωτακλθτϊν. Στόχοσ του camp είναι θ φιλοξενία νζων και ομάδων από το εξωτερικό, με απϊτερο ςκοπό τθν ακλθτικι τουριςτικι

Διαβάστε περισσότερα

8 τριγωνομετρία. βαςικζσ ζννοιεσ. γ ςφω. εφω και γ. κεφάλαιο

8 τριγωνομετρία. βαςικζσ ζννοιεσ. γ ςφω. εφω και γ. κεφάλαιο κεφάλαιο 8 τριγωνομετρία Α βαςικζσ ζννοιεσ τθν τριγωνομετρία χρθςιμοποιοφμε τουσ τριγωνομετρικοφσ αρικμοφσ, οι οποίοι ορίηονται ωσ εξισ: θμω = απζναντι κάκετθ πλευρά υποτείνουςα Γ ςυνω = εφω = προςκείμενθ

Διαβάστε περισσότερα

ΛΕΙΤΟΥΓΙΚΆ ΣΥΣΤΉΜΑΤΑ. 5 ο Εργαςτιριο Ειςαγωγι ςτθ Γραμμι Εντολϊν

ΛΕΙΤΟΥΓΙΚΆ ΣΥΣΤΉΜΑΤΑ. 5 ο Εργαςτιριο Ειςαγωγι ςτθ Γραμμι Εντολϊν ΛΕΙΤΟΥΓΙΚΆ ΣΥΣΤΉΜΑΤΑ 5 ο Εργαςτιριο Ειςαγωγι ςτθ Γραμμι Εντολϊν Τι είναι θ Γραμμι Εντολϊν (1/6) Στουσ πρϊτουσ υπολογιςτζσ, και κυρίωσ από τθ δεκαετία του 60 και μετά, θ αλλθλεπίδραςθ του χριςτθ με τουσ

Διαβάστε περισσότερα

Ψθφιακά Ηλεκτρονικά. Ενότθτα 5 : Ανάλυςθ κυκλώματοσ με D και JK FLIP- FLOP Φώτιοσ Βαρτηιώτθσ

Ψθφιακά Ηλεκτρονικά. Ενότθτα 5 : Ανάλυςθ κυκλώματοσ με D και JK FLIP- FLOP Φώτιοσ Βαρτηιώτθσ Ελλθνικι Δθμοκρατία Σεχνολογικό Εκπαιδευτικό Ίδρυμα Ηπείρου Ψθφιακά Ηλεκτρονικά Ενότθτα 5 : Ανάλυςθ κυκλώματοσ με D και JK FLIP- FLOP Φώτιοσ Βαρτηιώτθσ 1 Ανοιχτά Ακαδημαϊκά Μαθήματα ςτο ΤΕΙ Ηπείρου Σμιμα

Διαβάστε περισσότερα

Δια-γενεακι κινθτικότθτα

Δια-γενεακι κινθτικότθτα Δια-γενεακι κινθτικότθτα Κατά κανόνα οι τρζχουςεσ επιλογζσ των ατόμων ζχουν ςυνζπειεσ ςτο μζλλον (δυναμικι ςχζςθ). Σε ότι αφορά τισ επιλογζσ των ατόμων ςε ςχζςθ με τθν εκπαίδευςθ γνωρίηουμε ότι τα άτομα

Διαβάστε περισσότερα

Ειδικά Θζματα Βάςεων Δεδομζνων

Ειδικά Θζματα Βάςεων Δεδομζνων Ειδικά Θζματα Βάςεων Δεδομζνων Ενότητα 15: Εξόρυξη Δεδομζνων (Data Mining) Δρ. Τςιμπίρθσ Αλκιβιάδθσ Τμιμα Μθχανικϊν Πλθροφορικισ ΤΕ Άδειεσ Χρήςησ Το παρόν εκπαιδευτικό υλικό υπόκειται ςε άδειεσ χριςθσ

Διαβάστε περισσότερα

Τεχνικι Παρουςιάςεων με PowerPoint

Τεχνικι Παρουςιάςεων με PowerPoint Τεχνικι Παρουςιάςεων με PowerPoint Δρ. Παφλοσ Θεοδϊρου Ανϊτατθ Εκκλθςιαςτικι Ακαδθμία Ηρακλείου Κριτθσ Περιεχόμενα Ειςαγωγι Γιατί πρζπει να γίνει παρουςίαςθ τθσ εργαςίασ μου Βαςικι προετοιμαςία Δομι παρουςίαςθσ

Διαβάστε περισσότερα

HY437 Αλγόριθμοι CAD

HY437 Αλγόριθμοι CAD HY437 Αλγόριθμοι CAD Διδάςκων: Χ. Σωτηρίου http://inf-server.inf.uth.gr/courses/ce437/ 1 Περιεχόμενα Κανονικζσ Μορφζσ Οριςμόσ των Δυαδικών Διαγραμμάτων Αποφάςεων (Binary Decision Diagrams BDDs) Αναπαράςταςθ

Διαβάστε περισσότερα

ΕΦΑΡΜΟΓΖσ ΒΆΕΩΝ ΔΕΔΟΜΖΝΩΝ ΚΑΙ ΔΙΑΔΙΚΣΥΟΤ. Ειρινθ Φιλιοποφλου

ΕΦΑΡΜΟΓΖσ ΒΆΕΩΝ ΔΕΔΟΜΖΝΩΝ ΚΑΙ ΔΙΑΔΙΚΣΥΟΤ. Ειρινθ Φιλιοποφλου ΕΦΑΡΜΟΓΖσ ΒΆΕΩΝ ΔΕΔΟΜΖΝΩΝ ΚΑΙ ΔΙΑΔΙΚΣΥΟΤ Ειρινθ Φιλιοποφλου Ειςαγωγι Ο Παγκόςμιοσ Ιςτόσ (World Wide Web - WWW) ι πιο απλά Ιςτόσ (Web) είναι μία αρχιτεκτονικι για τθν προςπζλαςθ διαςυνδεδεμζνων εγγράφων

Διαβάστε περισσότερα

Τεχνικζσ Ανάλυςησ Διοικητικών Αποφάςεων

Τεχνικζσ Ανάλυςησ Διοικητικών Αποφάςεων Τεχνικζσ Ανάλυςησ Διοικητικών Αποφάςεων Ενότητα 3: υςτιματα ουρϊν αναμονισ Κακθγθτισ Γιάννθσ Γιαννίκοσ χολι Οργάνωςθσ και Διοίκθςθσ Επιχειριςεων Σμιμα Διοίκθςθσ Επιχειριςεων Σκοποί ενότητασ Μελζτθ ςυςτθμάτων

Διαβάστε περισσότερα

2

2 1 2 3 Η βαςικι λειτουργία του τρανηίςτορ είναι να διακόπτει ι να επιτρζπει τθν παροχι ρεφματοσ μεταξφ των δυο του άκρων, βάςθ του δυναμικοφ ςτθν πφλθ του, είναι δθλαδι ζνασ θλεκτρικόσ διακόπτθσ ελεγχόμενοσ

Διαβάστε περισσότερα

Α) Ενδεικτικϋσ απαντόςεισ των θεμϊτων

Α) Ενδεικτικϋσ απαντόςεισ των θεμϊτων Πανελλόνιεσ εξετϊςεισ Γ Τϊξησ 2011 Ανϊπτυξη Εφαρμογών ςε Προγραμματιςτικό Περιβϊλλον ΘΕΜΑ Α Α) Ενδεικτικϋσ απαντόςεισ των θεμϊτων Α1. Σ/Λ 1. Σωςτι 2. Σωςτι 3. Λάκοσ 4. Λάκοσ 5. Λάκοσ Α2. Σ/Λ 1. Σωςτι 2.

Διαβάστε περισσότερα

Οδηγόσ αρχαρίων για το Φωτιςμό Χαμηλήσ Ενεργειακήσ Κατανάλωςησ

Οδηγόσ αρχαρίων για το Φωτιςμό Χαμηλήσ Ενεργειακήσ Κατανάλωςησ Οδηγόσ αρχαρίων για το Φωτιςμό Χαμηλήσ Ενεργειακήσ Κατανάλωςησ Γιατί να μάκετε για το φωτιςμό χαμθλισ ενεργειακισ κατανάλωςθσ ςτο ςπίτι ςασ; Ζχει εκτιμθκεί ότι περνάμε το 90% τθσ ηωισ μασ ςε εςωτερικοφσ

Διαβάστε περισσότερα

Δείκτησ Αξιολόγηςησ 1.1: χολικόσ χώροσ, υλικοτεχνική υποδομή και οικονομικοί πόροι

Δείκτησ Αξιολόγηςησ 1.1: χολικόσ χώροσ, υλικοτεχνική υποδομή και οικονομικοί πόροι Δείκτησ Αξιολόγηςησ 1.1: χολικόσ χώροσ, υλικοτεχνική υποδομή και οικονομικοί πόροι ΣΟΜΕΑ 1: ΜΕΑ ΚΑΙ ΠΟΡΟΙ ΔΕΔΟΜΕΝΑ ΣΟΤ ΧΟΛΕΙΟΤ Περιγραφή: Ο ςυγκεκριμζνοσ δείκτθσ αναφζρεται ςτον βακμό που οι υπάρχοντεσ

Διαβάστε περισσότερα

ΕΝΟΤΘΤΑ 2: ΕΠΙΚΟΙΝΩΝΩ ΜΕ ΤΟΝ ΥΠΟΛΟΓΙΣΤΘ. ΚΕΦΑΛΑΙΟ 6: Θ «Βοικεια» ςτον Υπολογιςτι

ΕΝΟΤΘΤΑ 2: ΕΠΙΚΟΙΝΩΝΩ ΜΕ ΤΟΝ ΥΠΟΛΟΓΙΣΤΘ. ΚΕΦΑΛΑΙΟ 6: Θ «Βοικεια» ςτον Υπολογιςτι ΕΝΟΤΘΤΑ 2: ΕΠΙΚΟΙΝΩΝΩ ΜΕ ΤΟΝ ΥΠΟΛΟΓΙΣΤΘ ΚΕΦΑΛΑΙΟ 6: Θ «Βοικεια» ςτον Υπολογιςτι Βοικεια (Help), Ευρετιριο, Κόμβοσ, Λζξθ κλειδί, Σφνδεςμόσ, Υπερκείμενο Τι είναι θ «Βοικεια» ςτουσ υπολογιςτζσ; Πώσ ενεργοποιοφμε

Διαβάστε περισσότερα

HY523 Εργαςτηριακή Σχεδίαςη Ψηφιακών Κυκλωμάτων με εργαλεία Ηλεκτρονικού Σχεδιαςτικού Αυτοματιςμού. http://www.csd.uoc.gr/~hy523. 2 ΗΥ523 - Χωροκζτθςθ

HY523 Εργαςτηριακή Σχεδίαςη Ψηφιακών Κυκλωμάτων με εργαλεία Ηλεκτρονικού Σχεδιαςτικού Αυτοματιςμού. http://www.csd.uoc.gr/~hy523. 2 ΗΥ523 - Χωροκζτθςθ HY523 Εργαςτηριακή Σχεδίαςη Ψηφιακών Κυκλωμάτων με εργαλεία Ηλεκτρονικού Σχεδιαςτικού Αυτοματιςμού Διδάςκων: Χ. Σωτηρίου http://www.csd.uoc.gr/~hy523 1 ΗΥ523 - Χωροκζτθςθ Περιεχόμενα Δομζσ Ειςόδου/Εξόδου

Διαβάστε περισσότερα

Αςκιςεισ ςε (i) Δομζσ Ευρετθρίων και Οργάνωςθ Αρχείων (ii) Κανονικοποίθςθ

Αςκιςεισ ςε (i) Δομζσ Ευρετθρίων και Οργάνωςθ Αρχείων (ii) Κανονικοποίθςθ Αςκιςεισ ςε (i) Δομζσ Ευρετθρίων και Οργάνωςθ Αρχείων (ii) Κανονικοποίθςθ Δεκζμβριοσ 2016 Άςκθςθ 1 Θεωρείςτε ότι κζλουμε να διαγράψουμε τθν τιμι 43 ςτο Β+ δζντρο τθσ Εικόνασ 1. Η διαγραφι αυτι προκαλεί

Διαβάστε περισσότερα