Λογική Σχεδίαση. Τμήμα Πληροφορικής και Τηλεματικής Χαροκόπειο Πανεπιστήμιο Αθηνών. Διδάσκων: Θωμάς Καμαλάκης

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Λογική Σχεδίαση. Τμήμα Πληροφορικής και Τηλεματικής Χαροκόπειο Πανεπιστήμιο Αθηνών. Διδάσκων: Θωμάς Καμαλάκης (thkam@hua.gr)"

Transcript

1 Λογική Σχεδίαση Τμήμα Πληροφορικής και Τηλεματικής Χαροκόπειο Πανεπιστήμιο Αθηνών Διδάσκων: Θωμάς Καμαλάκης

2 Μέρος Ι Εισαγωγή

3 Ψηφιακά Συστήματα και Ψηφιακοί Υπολογιστές Οι ψηφιακοί υπολογιστές γενικού σκοπού(general purpose) είναι σε θέση να πραγματοποιήσουν μία τεράστια ποικιλία επεξεργασίας πληροφοριών Ο υπολογιστής ακολουθεί μία σειρά εντολών(instructions) που ονομάζεται πρόγραμμα(program) και το οποίο επεξεργάζεται τα δεδομένα(data). Οι ψηφιακοί υπολογιστές είναι ένα παράδειγμα ψηφιακού συστήματος, των οποίων βασικό χαρακτηριστικό είναι η επεξεργασία διακριτών στοιχείων πληροφορίας Τα διακριτά στοιχεία πληροφορίας μπορεί να είναι δεκαδικά ψηφία, γράμματα αλφαβήτου και κάθε άλλο σύνολο σύμβολων Τα διακριτά στοιχεία αναπαρίστανται σε ένα ψηφιακό σύστημα με κάποιες φυσικέςποσότητες(π.χ. τάσεις, ρεύματα). Σταψηφιακάσυστήματαοιτιμέςτωνφυσικώνποσοτήτωνείναικβαντισμένες, δηλαδή το εν γένει συνεχές πεδίο τιμών τους χωρίζεται σε πεπερασμένο αριθμό διαστημάτων(στάθμες) και σε κάθε στάθμη αντιστοιχεί ένα σύμβολο. Στα σύγχρονα ηλεκτρονικά ψηφιακά συστήματα χρησιμοποιούμε δύο στάθμες σημάτων που αντιστοιχούν στα σύμβολα και του δυαδικού αλφαβήτου.

4 Ψηφιακά Συστήματα και Ψηφιακοί Υπολογιστές Οφυσικόςκόσμοςστονοποίοζούμεσυμπεριφέρεταιωςένααναλογικόσύστημα, δηλαδή τα φυσικά μεγέθη τείνουν να έχουν συνεχές και όχι διακριτό πεδίο τιμών (αν και η κβαντική μηχανική έχει διαφορετική άποψη επί του θέματος!) Για να προσομοιώσουμε ένα φυσικό σύστημα θα πρέπει να μετατρέψουμε τα αναλογικά του μεγέθη σε ψηφιακά. Για παράδειγμα, η θέση ενός δορυφόρου καθορίζεται από τρεις συντεταγμένες (X,Y,Z) που μπορούν να πάρουν οποιαδήποτε τιμή στο πεδίο των πραγματικών αριθμών. Ωστόσο, για να υπολογίσουμε την τροχιά του δορυφόρου με την βοήθεια ενός ψηφιακούυπολογιστήθαπρέπειμεκάποιοτρόπονακβαντίσουμεταx,y καιz ώστε να αναπαρασταθούν με ψηφιακά σήματα. Ηδιαδικασίααυτήονομάζεταιμετατροπήαναλογικούσεψηφιακό(analog to digital conversion, A/D conversion). Ένας ψηφιακός υπολογιστής διαθέτει πέντε βασικές μονάδες οι οποίες αλληλεπιδρούν ώστε να καταστεί δυνατή η επεξεργασία των δεδομένων που βρίσκονται σε ψηφιακή μορφή

5 Ψηφιακά Συστήματα και Ψηφιακοί Υπολογιστές Μονάδα Ελέγχου Μονάδα Εισόδου Μονάδα Επεξεργασίας Μονάδα Αποθήκευσης Μονάδα Εξόδου Ημονάδααποθήκευσης(μνήμη) αποθηκεύει τα δεδομένα καθώς και τα ενδιάμεσα και τελικά στάδια των υπολογισμών. Η μονάδα επεξεργασίας πραγματοποιεί αριθμητικές και άλλες λειτουργίες. Η μονάδα ελέγχου διαβάζει τις εντολές του προγράμματος από την μνήμη και πληροφορεί την μονάδα επεξεργασίας για το τι πρέπει να κάνει Η μονάδα εισόδου χρησιμοποιείται γιαναδιαβάσειταδεδομένααπότο χρήστη. Η μονάδα εξόδου χρησιμοποιείται για να παραδοθούν τα αποτελέσματα των υπολογισμών στο χρήστη.

6 Αριθμητικά Συστήματα Στα ψηφιακά κυκλώματα που θα εξετάσουμε, οι πληροφορίες αναπαρίστανται με αριθμούς του δυαδικού συστήματος Το δυαδικό σύστημα χρησιμοποιεί δύο ψηφία για να κάνει την αναπαράσταση τωναριθμών: το καιτο Γιανακαταλάβουμεπωςναμετατρέπουμεσεδιαφορετικάσυστήματα, χρησιμοποιούμε τον παρακάτω τύπο που αποτελεί την αναπαράσταση ενός αριθμούχστοr δικό σύστημα: X + = n= a r n n Τα a n r-είναιταψηφίατουαριθμούχστοr δικό σύστημα. Γιαπαράδειγμαο αριθμός 453 γράφεται στο δεκαδικό σύστημα(r=) ως: 3 2 X = Επομένωςa =3,a =5,a 2 =4καιa 3 =. Γιαναμετατρέψουμεέναναριθμόαπότοr δικό στορ δικό εξισώνουμετιςαναπαραστάσειςτους. n a r n n = n n b ρ Η μετατροπή προχωράει πραγματοποιώντας μια σειρά από ακέραιες διαιρέσεις n

7 Από το Δεκαδικό στο Δυαδικό Πιοαπλά, ανέχουμεναμετατρέψουμεέναναριθμόαπότοδεκαδικόστοδυαδικό μπορούμεναδιαιρούμετοναριθμόμετο2 καιαντοαποτέλεσματηςδιαίρεσης έχει υπόλοιπο μηδέν τότε το αντίστοιχο ψηφίο είναι το, διαφορετικά είναι το Ανοαριθμόςείναιακέραιος, τοπρώτουπόλοιποαντιστοιχείστοa, τοδεύτερο στοa καιούτωκαθεξής Π.χ.Ανθέλουμεναμετατρέψουμετο4 απότοδεκαδικόστοδυαδικόκάνουμετις παρακάτω διαιρέσεις: Επομένωςτο4 είναιτο στοδυαδικό Οι παραπάνω διαδικασία μπορεί να γενικευτεί και για την μετατροπή από το δεκαδικό σε οποιοδήποτε σύστημα με την διαφορά ότι το εκάστοτε ψηφίο είναι το υπόλοιπο της διαίρεσης.

8 Από το δεκαδικό σε οποιαδήποτε άλλη βάση Για παράδειγμα αν θέλουμε να μετατρέψουμε το 4 στο πενταδικό σύστημα κάνουμε τις εξής διαιρέσεις: Επομένωςτο4 είναιτο3 στοπενταδικό. Αν ο αριθμός που θέλουμε να μετατρέψουμε δεν είναι ακέραιος, μετατρέπουμε χωριστά το ακέραιο και το μη ακέραιο μέρος. Γιατομηακέραιομέροςηδιαδικασίαείναιπαρόμοιαμόνοπου πολλαπλασιάζουμε με τη νέα βάση αντί να διαιρούμε και κάθε φορά παίρνουμε το ακέραιο μέρος, ενώ οι διαιρέσεις συνεχίζονται με το υπόλοιπο. Π.χ. Ανθέλουμεναμετατρέψουμετο,53 απότοδεκαδικόστοοκταδικόθα έχουμε

9 Οκταδικοί Αριθμοί Το δυαδικό σύστημα που χρησιμοποιείται στα ψηφιακά συστήματα χρειάζεται πολλά ψηφία για να αναπαραστήσει έναν αριθμό. Π.χ. Το 255 στο δεκαδικό είναι στο δυαδικό. Για πιο συμπαγή μορφή μπορούμε να χρησιμοποιούμε το οκταδικό ή ακόμα καλύτερα το δεκάεξαδικό σύστημα. Γιαναμετατρέψουμεέναναριθμόαπότοδυαδικόστοοκταδικό, σεκάθετριάδα δυαδικών ψηφίων το αντιστοιχούμε απευθείας σε ένα ψηφίο του οκταδικού, π.χ. Επομένωςο. στοδυαδικό, γράφεται στο οκταδικό. Παρατηρείστε πως έχουμε προσθέσει ένα στα ψηφία του δυαδικού αριθμούπριντηνυποδιαστολήώστεναφτιάξουμετηντελευταίατριάδα.

10 Δεκαεξαδικοί Αριθμοί Οιδεκαεξαδικοίαριθμοίπροσφέρουνακόμαπιοσυμπαγήγραφήτωνδυαδικώναριθμών. Στοδεκαεξαδικόσύστηματαψηφίαπουαντιστοιχούνστο, το, το2, το3, το4 και το5 αναπαρίστανταιμεταγράμματαa, B, C, D, E καιf αντίστοιχα. Τα δυαδικά ψηφία τώρα χωρίζονται σε τετράδες και σε κάθε τετράδα αντιστοιχεί ένα δεκάεξαδικό ψηφίο. Επομένως ο. στο δυαδικό, γράφεται 2C6B.F2 στο δεκαεξαδικό. Παρατηρείστε πως έχουμε προσθέσει ένα στα ψηφία του δυαδικού αριθμούπριντηνυποδιαστολήώστεναφτιάξουμετηντελευταίατετράδα.

11 Συμπληρώματα Υπάρχουνδύοειδώνσυμπληρώματα: Ωςπροςτηνβάσηrκαιωςπροςτοr-. ΑνέναςαριθμόςΝέχειnψηφίαστοσύστημαμεβάσηr, τότετοσυμπλήρωμαως προςτοr-είναιτο(r n -)-N. Π.χ. Οαριθμός5467 στοδεκαδικόέχεισυμπλήρωμαωςπροςτο9 το = σημειώνουμεπωςr n -=r 6 -= Ειδικά για τους δυαδικούς αριθμούς, μπορούμε να υπολογίσουμε το συμπλήρωμα ως προς αν αντιστρέψουμε κάθε ψηφίο του αριθμού. Π.χ. ο έχει συμπλήρωμα τον. ΑνέναςαριθμόςΝέχειnψηφίαστοσύστημαμεβάσηr, τότετοσυμπλήρωμαως προςτοrείναιτοr n -N. ΑνΝ= τότετοσυμπλήρωμαείναιίσομεμηδέν, εξ ορισμού. Μπορούμε να υπολογίσουμε το συμπλήρωμα ως προς r προσθέτοντας στο συμπλήρωμα ως προς r-. Γιαπαράδειγμαο2398 έχεισυμπλήρωμαωςπρος9 τον9876 καιωςπρος τον Παρατηρείστε πως άλλο συμπλήρωμα έχει ο 2398 και άλλο ο Εναλλακτικά, αφαιρούμετοπρώτοψηφίοτουαριθμούαπότοrκαιόλατα υπόλοιπα από το r-

12 Αφαίρεση με Συμπληρώματα ΓιανααφαιρέσουμεδύοαριθμούςΜκαιΝπουβρίσκονταικαιοιδύοστηνβάση r καιέχουνεn ψηφία, κάνουμεταεξήςβήματα:. Προσθέτουμε στο μειωτέο Μ το συμπλήρωμα του Ν ως προς r και υπολογίζουμε έτσιτομ-ν+r n 2. ΑνΜ Ν,τότεθαπρέπεινααπορρίψουμετοr n 3. Αν Μ<Ν, τότε το αποτέλεσμα είναι αρνητικός αριθμός και θα πρέπει να πάρουμε τοσυμπλήρωματουμ-ν+r n καιναβάλουμεέναμείονμπροστά. Για παράδειγμα έστω πως θέλουμε να αφαιρέσουμε το 325 από το (στο δεκαδικό). Τοσυμπλήρωματου325 είναιτο9675 καιπροσθέτονταςτο72532 μετο9675 βρίσκουμε Απορρίπτονταςτοr n =r 5 = θαέχουμετο τελικό αποτέλεσμα: Ανθέλαμενααφαιρέσουμετο72532 απότο325 θαπρέπειναυπολογίσουμετο συμπλήρωματου72532 πουείναιτο27468 καιναπροσθέσουμετο325 οπότε λαμβάνουμε 378. Ωστόσο επειδή 325<72532 θα πρέπει να πάρουμε το συμπλήρωματου378 πουείναιτο69282 καιναεισάγουμεέναμείον. Τοτελικό αποτέλεσμα είναι

13 Αφαίρεση με Συμπληρώματα στο Δυαδικό Στο δυαδικό σύστημα η αφαίρεση είναι πολύ εύκολη αφού ο υπολογισμός του συμπληρώματοςωςπρος2 μπορείναγίνειαπότοσυμπλήρωμαωςπρος (που λαμβάνεταιαντιστρέφονταςταψηφίατουδυαδικούαριθμού) προσθέτοντας. Εναλλακτικά μπορούμε να χρησιμοποιήσουμε το συμπλήρωμα ως προς και να προσθέσουμε στο τελικό αποτέλεσμα. Π.χ. Για να αφαιρέσουμε το από το υπολογίζουμε το συμπλήρωμα του ως προς 2 που είναι το +=. Σε αυτό προσθέτουμε το και λαμβάνουμε. Αφαιρώντας το τελικό κρατούμενο(δηλαδήτο2 7 =) θαέχουμετοτελικόαποτέλεσματης αφαίρεσης, δηλαδή το. Αν θέλαμε να αφαιρέσουμε το από το τότε υπολογίζουμε το συμπλήρωμα ως προς 2 του που είναι το που προσθέτουμε στο και βρίσκουμε. Επειδή > θα πρέπει να βρούμε το συμπλήρωματουαποτελέσματοςωςπροςτο2 πουείναιτο καινα προσθέσουμε ένα μείον. Επομένως το τελικό αποτέλεσμα είναι το-.

14 Αναπαράσταση Προσημασμένων Αριθμών Στα ψηφιακά κυκλώματα το πρόσημο ενός αριθμού πρέπει να αποθηκεύεται μαζί με τον αριθμό. Για παράδειγμα αν πρέπει να αποθηκεύσουμε δυαδικούς αριθμούςμε4 ψηφία(bits) το+ μπορείνααποθηκεύεταισαν ενώτο- θα είναι το. Δηλαδή χρησιμοποιούμε ένα επιπλέον bit για να δηλώσουμε το πρόσημο. Ωστόσο είναι πιο εύκολο να αποθηκεύουμε τον προσημασμένο αριθμό n bits χρησιμοποιώντας n+ bits ως εξής: Αν είναι θετικός τότε αποθηκεύουμε απλά τον αριθμό(θέτοντας το n+ bit ίσο με μηδέν). Αν είναι αρνητικός, τότε αποθηκεύουμετοσυμπλήρωμαωςπρος2 ήωςπρος. Αυτόγίνεταιώστενα μπορούμε να προσθέτουμε αρνητικούς και θετικούς αριθμούς χωρίς επιπλέον μετατροπές. Π.χ. Το-9 στοδεκαδικόαποθηκεύεταισεn+=8 bits ως ενώτο+9 ως. Ο παραπάνω τρόπος αποθήκευσης προσημασμένων αριθμών ονομάζεται «απεικόνιση προσημασμένου συμπληρώματος ως προς 2».

15 Προσθαφαιρέσεις Προσημασμένων Αριθμών Αν δύο προσημασμένοι αριθμοί απεικονίζονται με το σύστημα απεικόνισης προσημασμένου συμπληρώματος 2 τότε απλά προσθέτουμε τους δύο αριθμούς συμπεριλαμβανομένωνκαιτωνbits πρόσημου. Π.χ. Ανθέλουμεναπροσθέσουμετο+6 καιτο+3 καιαναπαριστάνουμετους αριθμούς με 8 δυαδικά ψηφία τότε προσθέτουμε τις αναπαραστάσεις τους και και βρίσκουμε, δηλαδή +9 Ανθέλουμεναπροσθέσουμετο-6 καιτο+3 τότεπροσθέτουμετις αναπαραστάσεις τους και και βρίσκουμε, δηλαδή +7. Ανθέλουμεναπροσθέσουμετο+6 καιτο-3 τότεπροσθέτουμετις αναπαραστάσεις τους και και βρίσκουμε. Παίρνουμε τοσυμπλήρωμαωςπροςτο2 καιβρίσκουμε (+7) καιεπομένωςτο αποτέλεσμα της πρόσθεσης() αντιστοιχεί στο-7 Ανθέλουμεναπροσθέσουμετο-6 καιτο-3 τότεπροσθέτουμετις αναπαραστάσειςτους και καιβρίσκουμε. Απορρίπτουμε το τελικό κρατούμενο και παίρνουμε το συμπλήρωμα ως προς το 2 και βρίσκουμε και επομένως το αποτέλεσμα της πρόσθεσης () αντιστοιχεί στο-9.

16 Δυαδικοί Κώδικες Μίασειράαπόnbits μπορείναχρησιμοποιηθείγιανααναπαραστήσειμέχρι2 n διαφορετικά σύμβολα. Ένας δυαδικός κώδικας αντιστοιχεί ένα πλήθος από Ν σύμβολα σε δυαδικές ακολουθίεςμήκουςnόπουν 2 n. Για παράδειγμα μπορούμε να αποθηκεύσουμε τα σύμβολα,,...,9 με αρκετούς διαφορετικούς τρόπους: Δεκαδικό BCD Excess Biquinary Ψηφίο ΣτονκώδικαBCD απλάαποθηκεύουμετοψηφίομετηνδυαδικήτουτιμή. ΣτουςExcess-3,στον84-2- καιστον242, τοσυμπλήρωμαωςπροςτο9 κάθε ψηφίου λαμβάνεται αν αντικαταστήσουμε όπου το και αντίστροφα (αυτοσυμπληρωματικοί κώδικες). ΟBiquinaryπροσφέρειαυξημένηπροστασίααπόσφάλματα: Αναλλάξειέναbit εξαιτίαςτουθορύβουοαριθμόςτων θαγίνειδιάφοροςτου2 καιεπομένωςθα γνωρίζουμεπωςέχεισυμβείένασφάλμα.

17 Προστασία Από Σφάλματα Σε πολλές περιπτώσεις είμαστε αναγκασμένοι να προστατεύουμε την πληροφορία μας από σφάλματα χρησιμοποιώντας πλεονασμό, δηλαδή επιπλέον bits. Για παράδειγμα, για κάθε 4 bits πληροφορίας(μηνύματος) θα μπορούσαμε να χρησιμοποιούμε ένα πέμπτο, το οποίο θα καθοριζότανε από το αν το πλήθος των άσωνστα4 bits ήτανεάρτιοςήπεριττός. Το επιπλέον bit ονομάζεται bit ισοτιμίας P. Μήνυµα Περιττή Ισοτιµία P Μήνυµα Άρτια Ισοτιµία P

18 Προστασία Από Σφάλματα Όταν χρησιμοποιούμε δυαδικά ψηφία για να αποθηκεύουμε αριθμούς θα επιθυμούσαμε ένα απλό σφάλμα να μην προκαλεί μεγάλες αλλαγές στην τιμή του αριθμού. Για το σκοπό αυτό μπορούμε να χρησιμοποιήσουμε τον κώδικα Gray στον οποίο οι αναπαραστάσεις διαδοχικών αριθμών διαφέρουν κατά ένα bit. Κώδικας Gray Ισοδύναµος εκαδικός

19 Αλφαριθμητικοί Κώδικες Για να αποθηκεύσουμε ένα κείμενο σε έναν υπολογιστή, μπορούμε να χρησιμοποιήσουμε αλφαριθμητικούς κώδικες. Οικώδικεςαυτοίαντιστοιχούνσεκάθεσύμβολο(π.χ. Γράμμααλφαβήτου, δεκαδικό ψηφίο, σημείο στίξης, κτλ κτλ) ένα δυαδικό αριθμό. Ο κώδικας ASCII χρησιμοποιεί 7 bits για την κωδικοποίηση 7 χαρακτήρων. Για παράδειγμα το γράμμα A παρίσταται με την ακολουθία. Για την αποθήκευση ελληνικών κειμένων, μπορούμε να χρησιμοποιήσουμε μια επέκταση του ASCII όπου προσθέτουμε ένα επιπλέον bit. Τοεπιπλέοναυτόbit είναι ανθέλουμενααναπαραστήσουμεένασύμβολοπου ήδηυπάρχειστοascii, ενώτίθεταιίσομε γιασύμβολαπουδενανήκουνστον ASCII, όπως για παράδειγμα τα ελληνικά γράμματα, κτλ, κτλ.

20 Δυαδική Αποθήκευση και Καταχωρητές Ένα δυαδικό κύτταρο είναι μία διάταξη που έχει δύο σταθερές καταστάσεις( και ). Ένας καταχωρητής(register) είναι μία σειρά από n δυαδικά κύτταρα. Υπάρχουν2 n διαφορετικέςκαταστάσειςγιαένανκαταχωρητήμήκουςn. Η μνήμη του υπολογιστή αποτελείται από εκατομμύρια καταχωρητές. Επίσης υπάρχουν καταχωρητές της CPU που χρησιμοποιούνται στις αριθμητικές πράξεις ή για την επίβλεψη της σωστής ροής του προγράμματος. Κάθε συσκευή εισόδου/εξόδου πρέπει να περιέχει καταχωρητές για να αποθηκεύει την πληροφορία που μεταφέρεται από και προς αυτήν.

21 Δυαδική Λογική Η δυαδική λογική ασχολείται με μεταβλητές που μπορούν να πάρουν δύο πιθανέςτιμές: το καιτο. Χρησιμοποιείται για να περιγράψει με μαθηματικό τρόπο την επεξεργασία δυαδικών πληροφοριών και ταιριάζει εξαιρετικά με την ανάλυση και σχεδίαση ψηφιακών κυκλωμάτων. Η δυαδική λογική είναι ισοδύναμη με την Άλγεβρα Boole. Μπορούμε να ορίσουμε τρεις βασικές πράξεις στην δυαδική λογική: Λογικό ΚΑΙ(AND) Λογικό Η (OR) Λογικό ΟΧΙ(ΝΟΤ) x y x y x y x+y x x

22 Λογικές Πύλες Οι λογικές πύλες είναι ηλεκτρονικά ψηφιακά κυκλώματα που χρησιμοποιούνται για να υλοποιήσουν τις βασικές λογικές πράξεις. x y x y Για να αναπαραστήσουμε το και το χρησιμοποιούμε δύο στάθμες τάσης τις οποίες ονομάζουμε«χαμηλή» και«υψηλή» αντίστοιχα. AND x y x+y OR x x NOT Γιαπαράδειγμαανητάσηβρίσκεταιμεταξύ2V και4vτότεαντιστοιχείστολογικό ενώ όταν είναι μεταξύ-,5v και,5v αντιστοιχεί στο λογικό. Το γεγονός πως τα ψηφιακά κυκλώματα λειτουργούν με στάθμες προσφέρει αυξημένη προστασία από τον θόρυβο. Όταν το σήμα περνάει από πολλές λογικές πύλες τότε προστίθεται θόρυβος ο οποίος μπορεί να οδηγήσει την τάση στην μεταβατική περιοχή.

23 Μέρος ΙΙ Άλγεβα Boole και Λογικές Πύλες

24 Μαθηματικά Αξιώματα και Ιδιότητες Κάθε μαθηματικό επαγωγικό σύστημα ορίζεται αν έχουμε: Ένα σύνολο στοιχείων Ι. Ένα σύνολο τελεστών Τ, δηλαδή συναρτήσεων που έχουν πεδίο ορισμού και τιμών στο σύνολο των στοιχείων Ι. Ένα σύνολο αξιωμάτων πάνω στα οποία βασιζόμαστε για να συνάγουμε τους κανόνες, θεωρήματα και τις ιδιότητες του συστήματος. Οι παρακάτω ιδιότητες είναι χρήσιμες για το καθορισμό των χαρακτηριστικών των διάφορων αλγεβρικών δομών: Κλειστότητα(Closure): ΈνασύνολοS είναικλειστόπροςένανδυαδικότελεστήt αν για κάθε x,y S, έχουμε και T(x,y) S. Προσεταιριστικός Νόμος(Associative Law). Ένας δυαδικός τελεστής είναι προσεταιριστικός αν T(x,T(y,z))=T(T(x,y),z) για κάθε x,y,z S Αντιμεταθετικός Νόμος(Commutative Law). Ένας δυαδικός τελεστής είναι αντιμεταθετικός αν Τ(x,y)=T(y,x), για κάθε x,y S. Ουδέτερο Στοιχείο, ονομάζεται ένα στοιχείο e S το οποίο έχει την ιδιότητα Τ(e,x)=T(x,e)=x, για κάθε x,y S. Αντίστροφοστοιχείο. ΤοxείναιτοαντίστροφοτουyανκαιμόνοανT(x,y)=T(y,x)=e Επιμεριστική Ιδιότητα. Ο τελεστής Τ είναι επιμεριστικός ως προς τον J αν Τ(x,J(y,z))=J(T(x,y),T(x,z)).

25 Παραδείγματα... Η πρόσθεση T(x,y)=x+y και ο πολλαπλασιασμός J(x,y)=x y είναι δύο παραδείγματα δυαδικών τελεστών που μπορούν να οριστούν στο σύνολο των ακεραίων αριθμών Ι={...,-2,-,,,2,... } Το Ι είναι κλειστό ως προς την πρόσθεση, τον πολλαπλασιασμό και την αφαίρεση. Αντίθετα το σύνολο των φυσικών αριθμών Ν={,2,...} δεν είναι κλειστό ως προς την αφαίρεση. ΤοΙέχειωςουδέτεροστοιχείοτο ωςπροςτηνπρόσθεσηκαιτο ωςπροςτον πολλαπλασιασμό. ΚάθεστοιχείοτουΙέχειαντίθετοωςπροςτηνπρόσθεση. Π.χ. Οαντίθετοςτου2 είναι ο-2 επειδή 2+(-2)=(-2)+2=. Ωστόσο δεν έχει αντίθετο ως προς τον πολλαπλασιασμό. Η πρόσθεση και ο πολλαπλασιασμός είναι αντιμεταθετικοί τελεστές σε αντίθεση με την αφαίρεση. Ο πολλαπλασιασμός είναι επιμεριστικός ως προς την πρόσθεση: (x+y) z= x z+y z στοικαιστον. Ο πολλαπλασιασμός και η πρόσθεση είναι αντιμεταθετικοί τελεστές: x+y=y+x και x y=y x.

26 Ορισμός της Άλγεβρας Boole. ΈνασύνολοστοιχείωνBμαζίμεδύοτελεστές+ και ονομάζεταιάλγεβραboole αρκεί να ικανοποιούνται τα παρακάτω αξιώματα(αξιώματα Huntington):. ΤοΒείναικλειστόωςπροςτουςδύοτελεστές. 2. Υπάρχει ουδέτερο στοιχείο ως προς τον +, το οποίο συμβολίζεται με. 3. Υπάρχει ουδέτερο στοιχείο ως προς τον, το οποίο συμβολίζεται με. 4. Οι τελεστές + και είναι αντιμεταθετικοί. 5. Ο+ είναιεπιμεριστικόςωςπροςτον καιταυτόχροναο είναιεπιμεριστικόςως προςτον+. 6. Για κάθε x Β υπάρχει ένα στοιχείο x το οποίο ονομάζεται συμπλήρωμα του x και για το οποίο ισχύει x+x = και x x =. 7. Υπάρχουντουλάχιστονδύοστοιχείατουxκαιy τουβγιαταοποίαέχουμεx y. ΤοσύνολοτωνακεραίωνΙδενείναιάλγεβραBooleωςπροςτον πολλαπλασιασμό και την πρόσθεση!!!!!

27 Μερικές Παρατηρήσεις... ΤααξιώματατουHuntington δενσυμπεριλαμβάνουνετονπροσεταιριστικόνόμο, ο οποίος όμως αποδεικνύεται εύκολα για την δίτιμη άλγεβρα Boole που θα εξετάσουμε παρακάτω. Σεαντίθεσημετηνσυνηθισμένηάλγεβρα, το+ είναιεπιμεριστικόωςπροςτο, δηλαδή x+y z=(x+y) (x+z). H άλγεβρα Boole δεν έχει πολλαπλασιαστικά ή προσθετικά αντίστροφα και επομένως δεν υπάρχουν οι πράξεις της αφαίρεσης και της διαίρεσης. Το συμπλήρωμα είναι ένας τελεστής που δεν υπάρχει στην συνηθισμένη άλγεβρα. ΤοσύνολοΒμπορείναέχεικαιπεπερασμένοαριθμόστοιχείωνενώη συνηθισμένη άλγεβρα είναι ορισμένη στο απειροσύνολο των πραγματικών ή των μιγαδικών αριθμών.

28 Ορισμός της Δίτιμης Άλγεβρας Boole. ΘεωρούμεπωςτοΒπεριέχει2 στοιχείατο καιτο, δηλαδήβ={,}. Ορίζουμετουςτελεστές+ και ώστεναανταποκρίνονταιστολογικόor καιτο λογικό AND αντίστοιχα: x y x y x y x+y ΓιανααποδείξουμεπωςτοσύνολοΒείναιάλγεβραBooleυπότουςδύοτελεστές θα πρέπει να επαληθεύσουμε τα αξιώματα του Huntington. ΚαταρχήντοB είναικλειστόωςπροςτουςδύοτελεστέςαφούγιακάθεx καιy πουανήκουνστοβ, έχουμεκαιx y Β, x+y Β. Επίσηςτο είναιτοουδέτερο στοιχείοτου+, αφούx+=+x=x ενώτο είναιτοουδέτεροστοιχείοτου αφού x = x=x. Τοσυμπλήρωματου είναιτο, αφού+=+= και = =. Επίσης. Γιακάθεx,y Βισχύει, x y= y x καιx+y= y+x.

29 Επιμεριστικότητα Τελεστών Γιανααποδείξουμεπωςο+ είναιεπιμεριστικόςωςπροςτον καιταυτόχροναο είναι επιμεριστικός ως προς τον + δεν έχουμε παρά να θεωρήσουμε όλους τους δυνατούς συνδυασμούς τριών στοιχείων του B. Για παράδειγμα για να αποδείξουμε πως x (y+z)=(x y)+(x z) φτιάχνουμε τον παρακάτω πίνακα: (x y)+(x z) x z x y x (y+z) y+z z y x Επομένως προκύπτει πως x (y+z)=(x y)+(x z) ενώ με παρόμοιο τρόπο αποδεικνύουμε πως x+y z=(x+y) (x+z).

30 Δυϊσμός Όπωςείδαμε, ότανένασύνολοβ, ωςπροςδύοτελεστές+ και είναιάλγεβρα Boole, τότεπρέπειναπληρούνται7 αξιώματα. Τααξιώματααυτάεκφράζουνκάποιεςσχέσειςπουδιέπουντουςτελεστές+ και. Τοσύνολοτωνσχέσεωναυτώνέχουντηνιδιότηταπωςισχύουνακόμακαιαν θέσουμεόπου+ το καιόπου το+ ενώαλλάξουμετα με καιτα με. Επομένως σε οποιαδήποτε έκφραση προκύπτει από τα αξιώματα αυτά θα ισχύει αυτόματαανθέσουμεόπου+ το καιόπου το+ ενώαλλάξουμετα με καιτα με. Αυτόαποτελείτηνιδιότητατουδυϊσμού. Για παράδειγμα μπορούμε να δείξουμε πως x+x=x, χρησιμοποιώντας το γεγονός πως x+x=(x+x) =(x+x) (x+x )=x+x x =x+=x. Εξαιτίας του δυϊσμού θα έχουμε και x x=x. Μιασημαντικήπαρατήρησηείναιπωςοδυισμόςδενισχυειμόνογιατηνδίτιμη άλγεβρα Boole!

31 Βασικά Θεωρήματα της Άλγεβρας Boole. ((x) ) =x. Δηλαδή δύο αρνήσεις κάνουν μία κατάφαση. Προκύπτει από το γεγονός ότιx +x=x+x = ενώx x =x x=, επομένωςτοσυμπλήρωματουx είναιτοx οπότε, ((x) ) =x. x+x=x και x x=x(για την απόδειξη δείτε την προηγούμενη διαφάνεια). x+= και x =. Η πρώτη σχέση προκύπτει εύκολα αν χρησιμοποιήσουμε το γεγονός πως x+= (x+)=(x+x ) (x+)=x+x =x+x =. H δεύτερη σχέση προκύπτει από τον δυϊσμό. x+(y+z)=(x+y)+z και x (y z)=(x y) z, δηλαδή οι τελεστές + και είναι προσεταιριστικοί. (x+y) =x y και(x y) =x +y (Θεώρημα του DeMorgan). x+xy=xκαιx (x+y)=x (Ιδιότητατηςαπορρόφησης). Φυσικά θα μπορούσαμε να αποδείξουμε όλα τα θεωρήματα με την βοήθεια πινάκων σαν αυτών που χρησιμοποιήσαμε για να αποδείξουμε τον επιμερισμό.

32 Προτεραιότητα Τελεστών. Όπως και στην κανονική αριθμητική θα πρέπει να ορίσουμε μία σειρά προτεραιότητας για τους τελεστές της άλγεβρας Boole. Η προτεραιότητα που χρησιμοποιούμε ακολουθεί την εξής σειρά: πρώτες έρχονται οι παρενθέσεις, μετά τα συμπληρώματα(λογικό NOT), μετά το (λογικόand) καιμετάτο+ (λογικόor). Για παράδειγμα αν θέλαμε να υπολογίσουμε το(x+y) για διάφορους συνδυασμούςxκαιyθαπρέπειπρώτανακάνουμετηνπράξηor μέσαστην παρένθεσηκαιμετάναπάρουμετοσυμπλήρωματουαποτελέσματος. Στηνx y πρώταπαίρνουμετασυμπληρώματατωνxκαιyκαιμετά πραγματοποιούμε το λογικό AND. Στηνx+x yπρώταπρέπειναπραγματοποιήσουμετολογικόand x yκαιμετάτο λογικό OR. Η προτεραιότητα των τελεστών θυμίζει την προτεραιότητα των πράξεων στην κανονική άλγεβρα αν αντιστοιχήσουμε το στον πολλαπλασιασμό και το + στην πρόσθεση.

33 Διαγράμματα Venn Συχνά είναι χρήσιμο να αναπαριστούμε σχέσεις μεταξύ διαφόρων μεταβλητών της Άλγεβρας Boole με τη βοήθεια των διαγραμμάτων Venn. Στα διαγράμματα αυτά, κάθε μεταβλητή παριστάνεται με ένα κύκλο και οι κύκλοι των διαφόρων μεταβλητών αλληλοκαλύπτονται. Το λογικό OR δύο μεταβλητών είναι τα σημεία που ανήκουν τουλάχιστον σε έναν απότουςδύοκύκλους, τολογικόand είναιτασημείαπουανήκουνκαιστουςδύο κύκλους ενώ το συμπλήρωμα είναι τα σημεία που δεν ανήκουν στον αντίστοιχο κύκλο.

34 Συναρτήσεις Boole Μια συνάρτηση Boole ονομάζεται οποιαδήποτε έκφραση μεταβλητών που ορίζονταιμέσαστοβ. Μπορεί να σχηματίζεται από παρενθέσεις, τους τελεστές +, και συμπληρώματα. ΠαραδείγματασυναρτήσεωνείναιηF =xyz, ηf 2 =x+y zκαιηf 3 =x y z + x y z+x y καιηf 4 =xy+x z. Για κάθε συνάρτηση Boole μπορούμε να φτιάξουμε τον πίνακα αλήθειας της που σε κάθε συνδυασμό τιμών των μεταβλητών της αντιστοιχεί μία τιμή στη συνάρτηση. Αν μία συνάρτηση είναι n μεταβλητών τότε έπεται πως ο πίνακας αλήθειαςτηςέχει2 n καταχωρήσεις. x y z F F 2 F 3 F 4 ΠαρατηρούμεπωςF 3 =F 4 καιεπομένωςμίασυνάρτησηbooleδενέχειμοναδικό τρόπο γραφής!

35 Αναπαράσταση Συναρτήσεων Boole με Πύλες Δεδομένης της έκφρασης της συνάρτησης Boole είναι σχετικά απλό να την αναπαραστήσουμεμελογικέςπύλες. Ωστόσοθαπρέπειναπροσέχουμετηνπροτεραιότητατωντελεστών. ΠαρατηρούμεπόσοπιοαπλόείναιτοκύκλωματηςF 4 απότοκύκλωματηςf 3. Επομένως ο τρόπος έκφρασης μιας συνάρτησης έχει άμεση σχέση με την πολυπλοκότητα του αντίστοιχου ψηφιακού κυκλώματος!

36 Συμπληρώματα Συναρτήσεων Εξ ορισμούτοσυμπλήρωμαf μιαςσυνάρτησηςfείναιησυνάρτησηεκείνηη οποίαισούταιμε ότανf= ενώείναιίσημε ότανf=. Χρησιμοποιώντας το θεώρημα του DeMorgan μπορούμε να υπολογίσουμε το συμπλήρωμα μιας συνάρτησης. Για παράδειγμα αν F=x+y+z, έχουμε F =(x+y+z) =x y z Γενικάοποιοδήποτεάθροισματηςμορφήςa a 2 a 3 a N +b b N + έχειως συμπλήρωματο(a +a 2 +a 3 + +a N ) (b +...+b N ) Επομένωςκάθεμεταβλητήεμφανίζεταιμετοσυμπλήρωματης, ταλογικάor μετατρέπονται σε λογικά AND και το αντίστροφο.

37 Κανονικές και Πρότυπες Μορφές Γιαένασύνολοnμεταβλητών{a,,a N } μπορούμενασχηματίσουμε2 n διαφορετικάγινόμενατηςμορφήςa a N όπουκάθεμεταβλητήμπορείνα συμμετέχειείτεαυτούσια, είτεμετοσυμπλήρωματης. Κάθε τέτοιο γινόμενο ονομάζεται ελαχιστόρος ή πρότυπο γινόμενο. Κάθε ελαχιστόρος ισούται με για έναν και μόνο συνδυασμό τιμών των μεταβλητών a,, a N O παρακάτωπίνακαςδείχνειτουςελαχιστόρουςπουπαράγονταιαπό3 μεταβλητές(x,y,z). Κάθεόροςονομάζεταιm i όπουi είναιτοδεκαδικόισοδύναμο του δυαδικού συνδυασμού για τον οποίο ο ελαχιστόρος είναι ίσος με. x y z Ελαχιστόρος Ονοµασία x y z m x y z m x yz m 2 x yz m 3 xy z m 4 xy z m 5 xyz m 6 xyz m 7

38 Κανονικές και Πρότυπες Μορφές Ομοίως, γιαένασύνολοnμεταβλητών{a,,a N } μπορούμενασχηματίσουμε2 n διαφορετικάαθροίσματατηςμορφήςa + +a N όπουκάθεμεταβλητήμπορείνα συμμετέχειείτεαυτούσια, είτεμετοσυμπλήρωματης. Κάθε τέτοιο άθροισμα ονομάζεται μεγιστόρος ή πρότυπο άθροισμα. Κάθε μεγιστόρος ισούται με για έναν και μόνο συνδυασμό τιμών των μεταβλητών a,, a N O παρακάτωπίνακαςδείχνειτουςμεγιστόρουςπουπαράγονταιαπό3 μεταβλητές(x,y,z). ΚάθεόροςονομάζεταιΜ i όπουi είναιτοδεκαδικόισοδύναμο του δυαδικού συνδυασμού για τον οποίο ο μεγιστόρος είναι ίσος με. x y z Μεγιστόρος Ονοµασία x+y+z Μ x+y+z Μ x+y+ z Μ 2 x+y+ z Μ 3 x +y+z Μ 4 x +y+z Μ 5 x +y +z Μ 6 x +y +z Μ 7

39 Πίνακες Αλήθειας και Πρότυπες Μορφές Δεδομένου του πίνακα αλήθειας μίας συνάρτησης μπορούμε να την γράψουμε ως γινόμενο μεγιστόρων ή άθροισμα ελαχιστόρων. Για να την γράψουμε ως γινόμενο μεγιστόρων, απλά πολλαπλασιάζουμε τους μεγιστόρους για τους οποίους η συνάρτηση είναι ίση με. Για να την γράψουμε ως άθροισμα ελαχιστόρων, απλά αθροίζουμε τους ελαχιστόρους για τους οποίους η συνάρτηση είναι ίση με. Για παράδειγμα έστω μία συνάρτηση F τριών μεταβλητών(x,y,z) με τον παρακάτω πίνακα αλήθειας: x y z F ΜπορούμεναγράψουμετηνF,ωςF=m +m 4 +m 7 ήως F=M M 2 M 3 M 5 M 6. Γιασυντομία, μπορούμεναγράψουμετηνf καιστην εξής μορφή: F=Σ(,4,7) και F=Π(,2,3,5,6). Παρατηρείστε πως αν ο ελαχιστόροςm j ανήκειστοάθροισμαελαχιστόρων τηςf, τότεοm j δενανήκειστογινόμενομεγιστόρων της F και αντίστροφα. Δεδομένουπωςm j =M j μπορούμενασυνάγουμεπως ανf=σ(i,i 2,,i N ) τότεf =Π(i,i 2,,i N ) ΕπίσηςανF=Π(i,i 2,,i N ) τότεf =Σ(i,i 2,,i N )

40 Άλλες Λογικές Πράξεις ΗπράξειςτουλογικούΑΝD καιτουλογικούor είναιστηνουσίαλογικές συναρτήσεις δύο μεταβλητών στις οποίες αντιστοιχούν μία τιμή ή σε κάθε δυνατή δυάδα των μεταβλητών(x,y). Ωστόσο υπάρχουν άλλες 4 πιθανές συναρτήσεις που μπορούν να κατασκευαστούν, όπως δείχνει και ο παρακάτω πίνακας: x y F F F 2 F 3 F 4 F 5 F 6 F 7 F 8 F 9 F F F 2 F 3 F 4 F 5 Σύµβολο Τελεστή / / +

41 Άλλες Λογικές Πράξεις Συναρτήσει ςβoole Σύµβολο Τελεστή Όνοµα Σχόλια F = Ουδέτερη υαδική Σταθερά F =x y x y Λογικό AND xand y F 2 =x y x/y Αποτροπή x αλλάόχιy F 3 =x Μεταφορά x F 4 =x y y/x Αποτροπή yαλλάόχιx F 5 =y Μεταφορά y F 6 =xy +x y Αποκλειστικό OR είτεxείτεy F 7 =x+y + Λογικό OR xor y F 8 =(x+y) x y Λογικό NOR xνοr y F 9 =x y +xy x y Ισοδυναµία x= y F =y y Συµπλήρωµα NOT y F =x+y x y Συνεπαγωγή Aνισχύειτοyτότεx F 2 =x x Συµπλήρωµα NOT x F 3 =x +y x y Συνεπαγωγή Aνισχύειτοxτότεy F 4 =(xy) x y Λογικό NAND xνανdy F 5 = Ταυτότητα υαδική Σταθερά

42 Άλλες Λογικές Πύλες x y x y x x AND OR NOT x+y x x x y Aπομονωτής x y x y x y ΝΑΝD NOR XOR (x y) (x+y) xy +yx Πέρααπότις3 βασικές λογικέςπύλες(and, OR, NOT), ορίζονταικαιάλλες3 λογικέςπύλες: ο Απομονωτής συνήθως χρησιμοποιείται μόνο για ενίσχυση του σήματος ώστε να μπορεί να οδηγηθεί και σε άλλες λογικές πύλες. OιπύλεςNAND καιnor είναιστηνουσίατασυμπληρώματατωνand και OR. Οι NAND και NOR χρησιμοποιούνται ευρύτατα επειδή είναι εύκολο να υλοποιηθούν με τρανζίστορ και επειδή οι πιο πολύπλοκες συναρτήσεις Boole μπορούν εύκολα να υλοποιηθούνε με αυτές. ΟιπύλεςXOR χρησιμοποιούνταικαιαυτέςαρκετάσυχνά(π.χ. Σεαθροιστές, κτλκτλ). Εκτός από την πύλη NOT και τον απομονωτή, οι υπόλοιπες πύλες μπορούνε εύκολαναεπεκταθούνεγια παραπάνωαπόδύοεισόδους. ΣτιςπύλεςAND καιor δενέχεισημασίαησειράμετηνοποίαθεωρούμετις μεταβλητές εισόδου αφού ισχύει η προσαιτεριστική και η αντιμεταθετική ιδιότητα, οπότε για παράδειγμα(x+y)+z=x+(y+z)=x+y+z=x+z+y, κτλ κτλ. ΓιατιςπύλεςΝΑΝD καιnor χρειάζεται λίγοπροσοχή αφούναιμενείναι αντιμεταθετικές αλλά δεν είναι προσαιτεριστικές. Επομένως ορίζουμε απευθείας την NOR τριων μεταβλητών ως(x+y+z) και την NAND ως(xyz) Oι πύλες XOR και ΧNOR πολλαπλών εισόδων μπορούν να θεωρηθούν ως κυκλώματα ισοτιμίας! x y XNOR xy+y x

43 Ολοκληρωμένα Κυκλώματα Όπως είδαμε και στο προηγούμενο εξάμηνο, τα ηλεκτρονικά κυκλώματα μπορούν να κατασκευασθούν σε ολοκληρωμένη μορφή(integrated circuits ICs). Στο εσωτερικό των ψηφιακών ICs βρίσκονται πολλές λογικές πύλες ενωμένες μεταξύ τους ώστε να σχηματιστεί το απαιτούμενο ψηφιακό κύκλωμα. Τα chips έχουνε έναν αριθμό από«ποδαράκια»(pins) τα οποία χρησιμοποιούνται με σκοπό την επικοινωνία του ψηφιακού κυκλώματος με τον έξω κόσμο. ΤαICs επινοήθηκανγιαπρώτηφοράαπότονgeoffrey William Arnold Dummer, o οποίος κατάφερε να κατασκευάσει ένα ολοκληρωμένο κύκλωμα για πρώτη φορά το 952.

44 74 Επίπεδα Ολοκλήρωσης INTEL Core 2 Duo Στο προηγούμενο εξάμηνο είδαμε πως ανάλογα με το επίπεδο ολοκλήρωσης (δηλαδή πόσα τρανζίστορ χωράνε σε ένα chip) υπάρχουν τέσσερις κατηγορίες ολοκληρωμένων κυκλωμάτων: SSI (Small Scale of Integration) MSI (Medium Scale of Integration) LSI (Large Scale of Integration) VLSI (Very Large Scale of Integration)

45 Οικογένειες Ψηφιακής Λογικής Ανάλογα με το πως υλοποιούνται οι διάφορες πύλες(συνήθως μας ενδιαφέρουν οι NAND και NOR) τα ψηφιακά ολοκληρωμένα κυκλώματα χωρίζονται σε οικογένειες ψηφιακής λογικής. TTL: Transistor Transistor Logic ECL: Emitter Coupled Logic MOS: Metal Oxide Semiconductor CMOS: Complementary MOS Κάθε οικογένεια χαρακτηρίζεται ως προς τις επιδόσεις της στα εξής: Ικανότητα οδήγησης(fan Out): Πόσα φορτία μπορεί να οδηγήσει η έξοδος μιας πύλης χωρίς να κινδυνέψει η κανονική της λειτουργία(ως φορτίο συνήθως ορίζουμε το ρεύμα που χρειάζεται η είσοδος μιας πύλης της ιδίας οικογένειας). Κατανάλωση Ισχύος(power dissipation): Πόση ισχύ τροφοδοσίας χρειάζεται η κάθε πύλη. Καθυστέρηση Διάδοσης(propagation delay): Ο μέσος χρόνος που χρειάζεται για ναδιαδοθείηαλλαγήτουσήματοςαπότηνείσοδο, στηνέξοδο. Περιθώριο Θορύβου(Noise Margin): Ελάχιστη τάση εξωτερικού θορύβου που προκαλεί ανεπιθύμητη αλλαγή στην έξοδο.

46 Θετική και Αρνητική Λογική Ανάλογαμετοντρόπουλοποίησηςμιαςλογικήςπύλης, τολογικόμπορείνα αντιστοιχείταισευψηλήτάση(η) ήχαμηλήτάση(l). Στο μάθημα θα ασχοληθούμε με ψηφιακά κυκλώματα στα οποία οι πύλες έχουνε υλοποιηθεί με τη θετική λογική: L και Η

47 Μέρος ΙΙΙ Απλοποίηση Συναρτήσεων Boole

48 H Μέθοδος του Χάρτη Όπως είδαμε εν γένει υπάρχουν πολλές ισοδύναμες εκφράσεις για συνάρτηση Boole. Γιαπαράδειγματοxy+x y+x y μπορείναγραφείκαιωςy+x y ήωςxy+x. Ενώ κάθε αναπαράσταση είναι ισοδύναμη με την έννοια πως παράγει τον ίδιο πίνακα αλήθειας, εν τούτοις η υλοποίηση της με λογικές πύλες είναι διαφορετική. Σκοπός μας είναι να καταλήξουμε σε μία αναπαράσταση η οποία να χρειάζεται όσο το δυνατόν μικρότερο αριθμό λογικών πυλών. Για το σκοπό αυτό μπορούμε να χρησιμοποιήσουμε την μέθοδο του χάρτη Karnaugh(που είναι και γνωστή ως διάγραμμα Veitch) O χάρτηςείναιέναδιάγραμμαπουαποτελείταιαπότετράγωνακαισεκάθε τετράγωνο αντιστοιχεί ένας ελαχιστό-όρος Κάθε συνάρτηση Boole μπορεί να αναπαρασταθεί σε ένα χάρτη Karnaugh σημειώνονταςτατετράγωνατωνελαχιστό-όρωντης.

49 Χάρτες με Δύο Μεταβλητές ΣεέναχάρτηKarnaughδύομεταβλητώνέχουμενααπεικονίσουμεμονάχα2 2 =4 δυνατούςελαχιστόρουςm,m,m 2 καιm 3. Πάνω στο χάρτη μπορούμε να σημειώσουμε τους ελαχιστόρους της πρότυπης μορφής τηςσυνάρτησηςbooleπουόπωςείδαμεπροκύπτουναπότουςσυνδυασμούςτωνx καιy γιατουςοποίουςησυνάρτησηείναιίσημε. ΓιαπαράδειγμαηλογικήπράξηOR, x+y=x y+xy +xy=m +m 2 +m 3 καιοιλογικήπράξηand xy=m 3 αναπαρίστανταιωςεξής:

50 Χάρτες με Τρεις Μεταβλητές ΣεέναχάρτηKarnaugh3 μεταβλητών(x,y,z) έχουμενααπεικονίσουμεμονάχα2 3 =8 δυνατούς ελαχιστόρους. Στη κατακόρυφη διεύθυνση μπορούμε να απεικονίσουμε τη x ενώ στην οριζόντια απεικονίζουμε τις y και z. Για τους πιθανούς συνδυασμούς y και z ακολουθούμε την απαρίθμηση που λαμβάνουμεαπότονκώδικαgray:,,,. Οποιαδήποτε δύο γειτονικά τετράγωνα διαφέρουν κατά έναν όρο. Για παράδειγμα το τετράγωνοτουm 3 =x yzείναιγειτονικόμετοτετράγωνοx yz καιδιαφέρουνστοπως εμφανίζεται το z Ανμιασυνάρτησηέχεισημειωμέναμε δύογειτονικάτετράγωνασημαίνειπωςοιόροι αυτοί αφενώς μεν συμμετέχουν στο άθροισμα ελαχιστό-όρων αφετέρου μπορούν να απλοποιηθούν αφού διαφέρουν κατά ένα μόνον όρο. Για παράδειγμα m 3 +m 2 =x yz+x yz =x y. Δηλαδήημεταβλητήπουείναιδιαφορετικήαπαλείφεται.

51 Απλοποιήσεις με ένα χάρτη Karnaugh Η προηγούμενη παρατήρηση είναι πολύ σημαντική για τις απλοποιήσεις μιας συνάρτησης Boole. Έστω για παράδειγμα πως είχαμε να απλοποιήσουμε την F=Σ(2,3,4,5) η οποία αναπαρίσταται ως εξής πάνω στο χάρτη: Οχάρτηςμαςπληροφορείπωςοελαχιστό-οροςm 3 () καιοελαχιστό-οροςm 2 () είναι γειτονικοί και επομένως μπορούν να απλοποιηθούν απαλείφοντας την μεταβλητήστηνοποίαδιαφέρουν(δηλαδήτηνz).ομοίωςκαιοm 4 () καιm 5 () διαφέρουν πάλι στην z. Από την πρώτη απλοποίηση προκύπτει ένας ελαχιστό-όρος ως προς τις(x,y), δηλαδή οx yενώαπότηνδεύτερηέναςελαχιστό-όρος ωςπροςτις(x,y), δηλαδήοxy. ΆραηFμπορείναγραφείκαιF=xy +yx.

52 Απλοποιήσεις με ένα χάρτη Karnaugh Υπάρχουν ελαχιστό-οροι που διαφέρουν κατά μία μεταβλητή αλλά δεν είναι γειτονικοί σε ένα χάρτη Karnaugh. Γιαπαράδειγμαο καιο δενείναιγειτονικοίαλλάεντούτοιςδιαφέρουνωςπρος τονόροy. Για να συμπεριλάβουμε και τους όρους αυτούς στις απλοποιήσεις επεκτείνουμε την έννοια της«γειτονίας» ώστε να συμπεριλαμβάνει και τετράγωνα τα οποία να βρίσκονται στην άκρη του πίνακα. Στην ουσία θεωρούμε πως ο χάρτης Karnaugh σχεδιάζεται σε μία επιφάνεια που είναι κλειστή(δηλαδήπεριλαμβάνειπλήρωςένανόγκο). Έτσιτο καιτο είναιγειτονικάαφούανοχάρτηςπεριλάμβανεένανόγκοταδύο τετράγωναθαήτανεγειτονικά!

53 Απλοποιήσεις με ένα χάρτη Karnaugh Έστω για παράδειγμα πως είχαμε να απλοποιήσουμε την F=Σ(3,4,6,7) η οποία αναπαρίσταται ως εξής πάνω στο χάρτη: Οχάρτηςμαςπληροφορείπωςοελαχιστό-οροςm 4 () καιοελαχιστό-οροςm 6 () είναι γειτονικοί και επομένως μπορούν να απλοποιηθούν απαλείφοντας την μεταβλητή στην οποία διαφέρουν(δηλαδή την y). Ο ελαχιστόρος που απομένει από την απλοποίηση είναι ο xz Ομοίωςκαιοm 3 () καιm 7 () διαφέρουνωςπροςτηνxκαιοελαχιστόροςπου απομένει είναι ο yz. ΗσυνάρτησηF επομένωςμπορείναγραφτείκαιωςf=xz +yz

54 Απλοποιήσεις με ένα χάρτη Karnaugh Αν πάνω στο χάρτη μπορούμε να εντοπίσουμε μία τετράδα όρων που να είναι σημειωμένοι και να είναι γειτονικοί τότε μπορούμε να τους απλοποιήσουμε και στη θέση τους θα παραμείνει ο ελαχιστό-ορος που αντιστοιχεί στη μεταβλητή που είναι κοινή. Στονπαραπάνωχάρτηγιαπαράδειγμαητετράδαόρωνm (), m 4 (), m 2 () καιm 6 () είναι γειτονικοί και έχουν κοινό τον z. Επομένως: m +m 4 +m 2 +m 6 =x y z +xy z +x yz +xyz =z

55 Απλοποιήσεις με ένα χάρτη Karnaugh Ένα τετράγωνο μπορεί να συμμετέχει σε διάφορες τετράδες ή δυάδες. Στην περίπτωση αυτή θα χρησιμοποιείται και για την απλοποίηση όλων των συνδυασμών δυάδων και τετράδων. Για παράδειγμα αν θέλαμε να απλοποιήσουμε την F=Σ(,2,4,5,6) θα σχηματίζαμε τον παρακάτω χάρτη Karnaugh: Ητετράδαπουαπαρτίζεταιαπότουςόρους,,, θααπλοποιηθείκαιθαδώσει ένανόροz. Επίσηςοσυνδυασμός και θαδώσειένανόροxy. Επομένως η F θα απλοποιηθεί στην F=z +xy.

56 Απλοποιήσεις με ένα χάρτη Karnaugh Για να παραστήσουμε μία συνάρτηση στον πίνακα Karnaugh, δεν χρειάζεται να την έχουμε ως άθροισμα ελαχιστό-ορων. Αρκεί να σημειώσουμε σωστά στο χάρτη τους συνδυασμούς για τους οποίους η συνάρτηση είναιίσημε. Για παράδειγμα έστω η συνάρτηση F=A C+A B+AB C+BC. Από τον τύπο της βλέπουμε πως εξαιτίαςτουπρώτουόρουa C είναιίσημε ότανa= καιc= ανεξαρτήτωςτουβ. Οπότε σημειώνουμε στις θέσεις και. Ομοίως συνεχίζουμε και για τους υπόλοιπους όρους του αθροίσματος και φτιάχνουμε τον παρακάτω χάρτη: Απότονχάρτηπροκύπτειπωςησυνάρτηση μπορεί να γραφεί ως F=Σ(,2,3,5,7). ΕπίσηςπροκύπτειεύκολαπωςηF μπορείνα απλοποιηθεί και να γραφεί ως F=C+A B. Επομένως μπορούμε να χρησιμοποιήσουμε τοχάρτηγιαναγράψουμεκαιμίασυνάρτηση ως άθροισμα ελαχιστόρων πριν την απλοποιήσουμε!

57 Χάρτες με Τέσσερις Μεταβλητές yz wx w x y z w x y z w x yz w x yz yz wx m m m3 m2 w xy z w xy z w xyz w xyz m4 m5 m7 m6 wxy z wxy z wxyz wxyz m2 m3 m5 m4 wx y z wx yz wx yz wx yz m8 m9 m m Οχάρτης4 μεταβλητώνέχει6 τετράγωναπουπαρατίθενταισε4 γραμμέςκαιτέσσερις στήλες. Για την αρίθμηση των στηλών ακολουθούμε πάλι τον κώδικα Gray. Όπως και στον χάρτη των 3 μεταβλητών, για τον καθορισμό των γειτονικών τετραγώνων θεωρούμεπωςοχάρτηςείναιμιακλειστήεπιφάνεια. Έτσιτο (m 2 ) είναιγειτονικόμε το (m 4 ). Στον πίνακα αυτό πέραν των τετράδων και των δυάδων μπορούμε να σχηματίζουμε και οκτάδες γειτονικών τετραγώνων.

58 Χάρτες με Τέσσερις Μεταβλητές Για παράδειγμα έστω πως θέλουμε να απλοποιήσουμε την F=Σ(,,2,4,5,6,8,9,2,3,4) Ο χάρτης Karnaugh λαμβάνει την μορφή: yz wx Στο χάρτη Karnaugh παρατηρούμε πως σχηματίζεται μία οκτάδα γειτονικών τετραγώνων των οποίων οι αντίστοιχοι ελαχιστόροι έχουν κοινό μόνο το y Επίσης σχηματίζονται και δύο τετράδες, οι ελαχιστόροι των οποίων έχουν οι μεν κοινό τονόροw z, οιδεκοινότονόροxz. ΕπομένωςηF θαγραφείωςf=y +w z +xz.

59 Prime Implicants Για να αποφεύγουμε να επαναλαμβάνουμε όρους που δεν χρειάζονται στην απλοποιημένη έκφραση, θα πρέπει να συνδυάζουμε τα τετράγωνα χρησιμοποιώντας την έννοια των prime implicants. Prime implicant είναι ένα γινόμενο παραγόντων που σχηματίζεται συνδυάζοντας τον μεγαλύτερο δυνατό αριθμό τετραγώνων σε ένα χάρτη. Αν ένα τετράγωνο(δηλαδή ένας ελαχιστό-ορος) καλύπτεται από έναν μόνο prime implicant τότε αυτός αποκαλείται ουσιώδης. Επομένως ένα τετράγωνο με έναν άσσο αντιπροσωπεύει ένα prime implicant αν καιμόνοανδενγειτονεύειμεκανένανάλλοάσσο. Μία δυάδα γειτονικών άσσων αντιπροσωπεύει έναν prime implicant αν και μόνο εάν δεν περιέχονται σε μία τετράδα γειτονικών άσων. Μία τετράδα γειτονικών άσσων αντιπροσωπεύει ένα prime implicant αν και μόνο εάν δεν περιέχεται σε μία οκτάδα γειτονικών άσων... κ.ο.κ

60 Prime Implicants Για παράδειγμα αν έχουμε την συνάρτηση F(A,B,C,D)=Σ(,2,3,5,7,8,9,,,3,5), ο αντίστοιχος χάρτης Karnaugh θα είχε την εξής μορφή: C CD AB A B D Στο αριστερό σχήμα έχουμε αναγνωρίσει δύο ουσιώδεις prime implicants που αντιστοιχούν στους όρους BD και B D οι οποίοι έχουν τέσσερα γειτονικά τετράγωνα. Ο πρώτος για παράδειγμα, είναι ουσιώδης διότιπεριέχειτονm καιδενυπάρχειάλλοςprime implicant πουνακαλύπτειτοτετράγωνοαυτό. Απομένουν τρία τετράγωνα με άσσους τα οποία δεν καλύπτονται από ουσιώδης prime implicants αλλά μπορούννακαλυφτούναπόμηουσιώδηςprime implicantsόπωςδείχνειτοσχήμα. Οm 3 μπορείνα καλυφθείαπότονcdκαιτονb Cενώοm 9 απότονadκαιαβ. Οόροςm καλύπτεταιαπόοποιοδήποτε από τους προηγούμενους μη ουσιώδης prime implicants. Επομένως υπάρχουν τέσσερις διαφορετικοί τρόποι που μπορούμε να γράψουμε την F και να καλύπτουμε όλους τους όρους του αθροίσματος F=BD+B D +CD+AD ή F=BD+B D +CD+AB ή F=BD+B D +B C+AD ή F=BD+B D +B C+AB

61 Χάρτης Πέντε Μεταβλητών Επειδήείναιδύσκολοναζωγραφίσουμεχάρτεςμε2 5 =64 τετράγωναστηνπερίπτωσητων συναρτήσεων Boole πέντε μεταβλητών χρησιμοποιούμε δύο χάρτες Karnaugh, τεσσάρων μεταβλητών ο κάθε ένας. Στην περίπτωση αυτή για να διαπιστώσουμε τα γειτονικά τετράγωνα θα πρέπει να επεκτείνουμε την έννοια της γειτονίας ώστε να συμπεριλαμβάνει και την περίπτωση τετραγώνων που βρίσκονται στην ίδια θέση στους πίνακες. Για παράδειγμα το τετράγωνο (2) είναι γειτονικό με το τετράγωνο (28). Θα μπορούσαμε να επεκτείνουμε την απεικόνιση αυτή στην περίπτωση 6 μεταβλητών χρησιμοποιώνταςτέσσεριςπίνακεςτεσσάρωνμεταβλητώνγιανααπεικονίσουμετα28 τετράγωνα.

62 Χάρτης Πέντε Μεταβλητών Για παράδειγμα έστω πως θέλαμε να απλοποιήσουμε την F=Σ(,2,4,6,9,3,2,23,25,29,3). Στο χάρτη παρατηρούμε πως υπάρχουν τρεις τετράδες που απαρτίζονται από γειτονικά τετράγωνα: η(, ), η(,,, ), η (,,,). Η δεύτερη τετράδα αντιστοιχεί σε έναν ουσιώδη prime implicant. Οι άλλοί δύο είναι μη ουσιώδεις prime implicants αφού περιέχουν και οι δύο τον. Για να καλύψουμε τους άσσους του χάρτη θα πρέπει να χρησιμοποιήσουμε και τους τρεις prime implicants. ΟπότεηF μπορείναγραφείωςf=a B E + BD E + ACE.

63 Γινόμενα Αθροισμάτων ή Αθροίσματα Γινομένων? Στον χάρτη μπορούμε να σημειώσουμε τα μηδενικά της συνάρτησης και να κάνουμε τις απλοποιήσεις βασιζόμενοι σε αυτά. ΑυτόείναιισοδύναμομετονααπλοποιούμετηνF καιόχιτηνf.οπότεμετά, χρησιμοποιώντας το θεώρημα του DeMorgan μπορούμε να καταλήξουμε σε ένα γινόμενο αθροισμάτων για την F. Για παράδειγμα αν F(A,B,C,D)=Σ(,2,5,8,9,) τότε μπορούμε να φτιάξουμε τον παρακάτω χάρτη Karnaugh: Σημειώνοντας τα μηδενικά, παρατηρούμε πως έχουμε τρεις τετράδες γειτονικών μηδενικών οι οποίες αντιστοιχούν στους όρους ΑΒ, CD, BD. Επομένως θα έχουμε F =AB+CD+BD. Χρησιμοποιώντας τον κανόνα του De Morgan θα έχουμε F =(A +B )(C +D )(B +D).

64 ΥλοποίησημεAND καιor Σημειώνοντας τους άσσους ή τα μηδενικά μιας συνάρτησης πάνω στον χάρτη μπορούμε ναγράψουμεμιασυνάρτησηωςάθροισμαγινομένωνήωςγινόμενοαθροισμάτων. Με τον τρόπο αυτό μπορούμε να υλοποιήσουμε την συνάρτηση χρησιμοποιώντας μια λογική δύο επιπέδων όπου τα γινόμενα υλοποιούνται με πύλες AND ενώ τα αθροίσματα μεπύλεςor. Για παράδειγμα η F=Σ(,3,4,6) έχει τον παρακάτω χάρτη Κάνοντας τις απλοποιήσεις μπορούμε να βρούμε ότι η F γράφεται F=xz+x z και F=(x +z )(x+z) O συνολικός αριθμός πυλών μπορεί να διαφέρει στις δύο υλοποιήσεις!

65 Υλοποίηση με ΝAND και ΝOR Πολλές φορές οι πύλες NAND και NOR προτιμούνται στην υλοποίηση ψηφιακών κυκλωμάτων. Αυτό γίνεται επειδή απαιτούν μικρότερο αριθμό τρανζίστορ και είναι πιο γρήγορες. Για να υλοποιήσουμε μία ψηφιακή συνάρτηση με την βοήθεια πυλών NAND και NOR χρησιμοποιούμε το θεώρημα του DeMorgan. Στην περίπτωση υλοποίησης με πύλες NAND πρέπει να μετατρέψουμε την έκφρασητηςf απόάθροισμαγινομένωνσεμιαμορφήπουναπεριέχειόρουςτης μορφής(xy). Για παράδειγμα αν F=AB+CD+E, τότε χρησιμοποιώντας το γεγονός πως(x y ) =x+y μπορούμεναγράψουμετηνfωςf=((ab) (CD) E ). Παρατηρείστε πως το Ε υλοποιείται με την μία NAND μίας εισόδου. Αυτό οφείλεται στο γεγονός πως αν κανείς δει το σχεδιαγραμμα της πύλης NAND σε επίπεδο τρανζίστορ προκύπτειπωςμιαnand μεμίαείσοδο μπορείναθεωρηθείωςμίαπύληνοτ!

66 Υλοποίηση με ΝAND και ΝOR Παρόμοια είναι και η διαδικασία υλοποίησης με πύλες NOR. Στην περίπτωση αυτή ξεκινάμε από την έκφραση της συνάρτησης σε γινόμενο αθροισμάτων και χρησιμοποιούμε πάλι τον νόμο του DeMorgan. Για παράδειγμα αν F=(A+B)(C+D)E, τότε θα έχουμε F=((A+B) +(C+D) +E ) ΌπωςκαιστιςπύλεςNAND, μίαπύληnor μεμίαείσοδοείναιμίαπύληnot.

67 Συνθήκες Αδιαφορίας Πολλές φορές μπορούμε να απλοποιήσουμε περαιτέρω μία συνάρτηση όταν είμαστε σίγουροι πως κάποιοι συνδυασμοί εισόδου δεν πρόκειται να λάβουν χώρα ποτέ. Για παράδειγμα αν για μια συνάρτηση F(w,x,y,z)=Σ(,3,7,,5) δεν μας ενδιαφέρει ο συνδυασμός εισόδων(w,x,y,z)=(,,,), (w,x,y,z)=(,,,) και (w,x,y,z)=(,,,), τότε σε αυτούς τους συνδυασμούς εισόδου μπορούμε αυθαίρετα νααναθέσουμετιμήτηςf ίσημε ήμε. Οι συνδυασμοί αυτοί ονομάζονται συνθήκες αδιαφορίας της F και σημειώνονται μεέναχστοχάρτηkarnaugh. ΤαΧαυτάμπορούννα χρησιμοποιηθούν για τις απλοποιήσειςσανναήτανε ή. Ηβασικήδιαφοράείναιπωςδεν χρειάζεται να καλύψουμε όλα τα Χ! Για την δεδομένη συνάρτηση έχουμε F=yz+w x ή F=yz+w z

68 H μέθοδος κατάταξης σε Πίνακα Η μέθοδος των χαρτών Karnaugh δεν είναι πρακτική για μεγάλο αριθμό μεταβλητών. Επίσης βασίζεται στην ικανότητα του ανθρώπινου ματιού να αναγνωρίζει τα γειτονικά τετράγωνα. Αντίθετα η μέθοδος κατάταξης σε πίνακα είναι ένας αλγόριθμος με συγκεκριμένα βήματα ο οποίος είναι εγγυημένο ότι θα οδηγήσει σε μια απλοποιημένη μορφή για την συνάρτηση. Στη μέθοδο αυτή ξεκινάμε με τον κατάλογο των ελαχιστόορων της συνάρτησης και προσπαθούμε να βρούμε τους prime implicants. Συγκεκριμένα συγκρίνουμε κάθε ελαχιστόορο με όλους τους άλλους και αν δύο ελαχιστόοροι διαφέρουν κατά μία μεταβλητή, αυτή η μεταβλητή απαλείφεται. Ο κύκλος αναζήτησης επαναλαμβάνεται μέχρι να φτάσουμε σε ένα σημείο που δεν έχουμε άλλες απαλοιφές. Η απλοποιημένη μορφή της συνάρτησης σχηματίζεται από τους εναπομείναντες όρους και τους όρους που δεν έχουν συνδυαστεί με κάποιον άλλο!

69 Παράδειγμα Έστω πως θέλουμε να απλοποιήσουμε την F(w,x,y,z)=Σ(,,2,8,,,4,5). Στην αρχή κατατάσσουμε τους ελαχιστόορους σύμφωνα με τον αριθμό των άσσων που διαθέτουν. Αυτό γίνεται για να διευκολύνουμε την αναζήτηση καθώς όροι που ο αριθμός των άσσων διαφέρει περισσότερο από δεν μπορούν να συνδυαστούν. w x y z w x y z ,,2,8 2, 8,,,4,5 4, Στησυνέχειααρχίζουμετηναναζήτηση. Είναιφανερόπωςοελαχιστόοροςm =w x y z που αναπαρίσταταιμε(,,,) συνδυάζεταιμετονm =w x y z(,,,) καιδίνειτονόροw x y. Όταν τελειώσουμε την αναζήτηση θα πρέπει να σημειώσουμε ποιοι από τους όρους δεν έχουνεσυμμετάσχειστηναπλοποίηση.

70 Παράδειγμα Παρατηρούμε πως όλοι οι όροι του πρώτου πίνακα συνδυάστηκαν με κάποιον άλλο όρο. Στη συνέχεια συνεχίζουμε την σύγκριση των όρων του δεύτερου πίνακα που περιέχουν τρειςμεταβλητές. Ο(,2) συνδυάζεταιμετον(8,) αφούοπρώτοςαντιστοιχείστονx y z καιοδεύτεροςστον wx z οπότε ο συνδυασμός τους δίνει τον x z. w x y z w x y z ,,2,8 2, 8,,,4,5 4, ,2,8,,8,2,,,4,5,4,,5 w - - x - - y - - z - - Στον τρίτο πίνακα, δεν υπάρχουν πλέον όροι που να μπορούν να συνδυαστούν! Επομένως η αναζήτηση σταματά Παρατηρείστε πως ο όρος(,) που αντιστοιχεί στον(-) δηλαδή των w x y δεν έχει συνδυαστεί με κανέναν άλλο όρο! Η απλοποιημένη μορφή της συνάρτησης σχηματίζεται από τους εναπομείναντες όρους και τους όρους που δεν έχουν συνδυαστεί με κάποιον άλλο. Επομένως F=w x y +x z +wy.

71 Παράδειγμα Θα μπορούσαμε να κάνουμε τις απλοποιήσεις και με την βοήθεια του Χάρτη Karnaugh. yz wx Στον παραπάνω χάρτη απεικονίζουμε την F(w,x,y,z)=Σ(,,2,8,,,4,5). Υπάρχουν2 ομάδεςαπό4 γειτονικάτετράγωναπουείναιprime-implicantsκαι ομάδα2 γειτονικών τετραγώνων που είναι prime implicants. Γιανακαλύψουμεόλατατετράγωναθαπρέπειναθεωρήσουμεκαιτουςτρειςprime implicants που αντιστοιχούν στους όρους w x y, x z, wy Επομένως θα έχουμε F=w x y +x z +wy.

72 Παράδειγμα Υπάρχει ένας έξυπνος τρόπος να διευκολύνουμε τις συγκρίσεις στην μέθοδο του πίνακα. Αντί να γράφουμε την δυαδική αναπαράσταση, μπορούμε να συγκρίνουμε τους ελαχιστόορους, αν σκεφτούμε πως για να διαφέρουν οι αναπαραστάσεις αυτές κατά έναν άσσοθαπρέπειηδεκαδικήτουςαναπαράστασηναδιαφέρεικατάμίαδύναμητου2. Γιαπαράδειγμαοm 2 καιοm αναπαρίστανταιστοπίνακαμε και. Οιδυαδικές αναπαραστάσεις του διαφέρουν κατά έναν άσσο στην τέταρτη θέση και επομένως η διαφοράτουςείναι-= δηλαδή2 4 =8. Για να γνωρίζουμε πως έχουν απλοποιηθεί οι όροι, σε κάθε συνδυασμό σημειώνουμε ποιες μεταβλητές έχουμε απλοποιήσει. Οι αριθμοί μέσα στις παρενθέσεις δηλώνουν ποιοι όροι έχουν, () απλοποιηθεί. Για παράδειγμα ο,2 (2) m 2 =w x yz () καιοm =wx yz 2,8 (8),2,8, (2,8) () διαφέρουν κατά 8 και 8 2, (8),8,2, (2,8) επομένως κατά την απλοποίηση 8, (2),,4,5 (,4) παίρνουμε x yz που αντιστοιχεί στο, (),4,,5 (,4) ( ). To 8 στην παρένθεση δίπλα 4,4 (4) από το 2, στον δεύτερο πίνακα 5,5 (4) δηλώνει πως παράγεται ένας όρος 4,5 () πουέχειπαύλαστηντέταρτηθέση.

73 Επιλογή των Prime Implicants Ημέθοδοςτουπίνακαμαςδίνειόλουςτουςprime implicantsμίαςσυνάρτησης. Ωστόσο δεν είναι ξεκάθαρο ποιοι από αυτούς πρέπει να συμπεριληφθούν στην απλοποιημένη μορφή της συνάρτησης. Για παράδειγμα έστω πως θέλουμε να απλοποιήσουμε την συνάρτηση F(w,x,y,z)=Σ(,4,6,7,8,9,,,5) ,9 4,6 8,9 8, 6,7 9,, 7,5,5 (8) (2) () (2) () (2) () (8) (4) 8,9,, (,2) 8,9,, (,2) 5 Οιprime implicantsείναιοιόροιπουδενσυμμετείχανσεαπλοποίηση: Δηλαδήοι[,9 (8)], [4,6 (2)], [6, 7 ()], [7,5 (8)], [,5 (4)], [8,9,, (,2)]

74 Επιλογή των Prime Implicants Στη συνέχεια θα πρέπει να επιλέξουμε τους prime implicants έτσι ώστε να καλύπτονται όλοι οι ελαχιστόροι της συνάρτησης. Για το σκοπό αυτό φτιάχνουμε έναν πίνακα σαν τον παρακάτω: x y z,9 w xz 4,6 w xy 6,7 xyz 7,5 wyz,5 wx 8,9,, Παρατηρούμε πως ο(,9), ο(4,6) και ο(8,9,,) πρέπει οπωσδήποτε να συμπεριληφθούνε στην απλοποιημένη μορφή της συνάρτησης καθώς καλύπτουν τους ελαχιστόρουςm, m 4 καιm 8 πουδενκαλύπτονταιαπόάλλουςprime implicants, πρόκειται δηλαδή για ουσιώδεις prime implicants. Στη συνέχεια μπορούμε να επιλέξουμε να συμπεριλάβουμε και τον xyz o οποίος καλύπτειτουςm 7 καιm 5. Επομένως η απλοποιημένη μορφή για την F=x y z+w xz +wx +xyz

75 Μέρος ΙV Συνδυαστική Λογική

76 Συνδυαστικά και Ακολουθιακά Λογικά Κυκλώματα Ένα ψηφιακό κύκλωμα είναι συνδυαστικό(combinatorial) αν οι έξοδοι του σε μια χρονική στιγμη, καθορίζονται αποκλειστικά και μόνο από τις εισόδους εκείνη τη χρονική. Στην περίπτωση όπου οι έξοδοι εξαρτώνται και από την τιμή των εισόδων σε προηγούμενες χρονικές στιγμές, το κύκλωμα ονομάζεται ακολουθιακό (sequential). Ένα ακολουθιακό κύκλωμα θα πρέπει να χρησιμοποιεί κάποια κύτταρα μνήμης (memory cells) ώστε να αποθηκεύει πληροφορίες που αφορούν περασμένες χρονικές στιγμές. Σε ένα συνδυαστικό κύκλωμα η έξοδοι y(t) καθορίζονται από τις εισόδους x(t) ως y(t)=[y (t),,y M (t)]=f(x(t))=f(x (t),,x Ν (t)) όπουf είναιμίασυνάρτησηbooleμεν εισόδους και Μ εξόδους.

77 Αθροιστές Η πιο βασική λειτουργία ενός ψηφιακού υπολογιστή είναι η πρόσθεση και πιο συγκεκριμένα η πρόσθεση δύο δυαδικών ψηφίων. Δεδομένου του ότι +=, +=+=, +=, παρατηρούμε πως ο αθροιστής δυαδικών ψηφίων(ημιαθροιστής) πρέπει να έχει 2 εξόδους. Ημίαέξοδοςείναι εκτόςανοιείσοδοιείναικαιοιδύο οπότεείναιίσημε. Η έξοδος αυτή ονομάζεται κρατούμενο C. Ένας αθροιστής που απλά προσθέτει δύο δυαδικά ψηφία ονομάζεται ημίαθροιστής(half-adder). O πίνακας αλήθειας του ημιαθροιστή έχει ως εξής: x y C S Από τον παραπάνω πίνακα εύκολα προκύπτει πως S=xy +x y και C=xy. Υπάρχουν διάφοροι τρόποι για να υλοποιήσουμε έναν ημίαθροιστή.

78 Υλοποίησεις Ημιαθροιστή Η(α) είναι η απευθείας υλοποίηση των συναρτήσεων S=xy +x y και C=xy μεπύλεςor καιand. Η(β) προκύπτει από την έκφραση του S ως γινόμενο αθροισμάτων. Η(γ) προκύπτειαπότογεγονόςπως (C+x y ) =(xy+x y ) =(x +y )(x+y)=xy +x y =S Η(δ) προκύπτειαπότοότι C=(xy) =(x +y ) H (ε) προκύπτει από τον πίνακα αλήθειας της πύλης XOR, δηλαδή το ότι x y=xy +x y

79 Ο Πλήρης Αθροιστής O πλήρης αθροιστής(full Adder FA) είναι ένα συνδυαστικό κύκλωμα που σχηματίζει το άθροισμα τριών δυαδικών μεταβλητών. Η τρίτη μεταβλητή μπορεί να είναι το κρατούμενο από μία προηγούμενη πρόσθεση ψηφίων. Ο πίνακας αλήθειας του πλήρους αθροιστή είναι ο παρακάτω: x y z C S yz yz x ΑπότονχάρτηKarnaughγιατο S προκύπτει πως η συνάρτηση δεν μπορεί να απλοποιηθεί περαιτέρω(αφού δεν υπάρχουν γειτονικά τετράγωνα!) ΑπότονχάρτηγιατηνC προκύπτει πως μπορεί να υλοποιηθεί με πύλες δύο εισόδων. S=x y z+x yz +xy z +xyz C=xy+xz+yz

80 Υλοποίηση του Πλήρους Αθροιστή ΜετηνβοήθειατωνχαρτώνKarnaughμπορούμενα υλοποιήσουμετηνs καιτηνc σανάθροισμα γινομένων χρησιμοποιώντας πύλες AND και OR. Μια εναλλακτική υλοποίηση προκύπτει από το γεγονόςπωςz (x y)=z (xy +x y)+z(xy +x y) = z (xy +x y)+z(x y +xy)=xy z +x yz +xyz+x y z=s Η δεύτερη υλοποίηση αυτή υλοποίηση χρησιμοποιεί επίσης το γεγονός πως C=xy z+x yz+xy=z(xy +x y)=z(x y)+xy

81 Αφαιρέτες Η αφαίρεση είναι μία άλλη βασική πράξη που πραγματοποιούν οι ψηφιακοί υπολογιστές. Όπως και στην περίπτωση του αθροιστή, έχουμε τους ημί-αφαιρέτες και τους πλήρεις αφαιρέτες. Ότανπρόκειταινααφαιρέσουμεδύοψηφίαxκαιyτότεανx yθαέχουμετρεις πιθανότητες: -=, -=, -=. Το x<y ισχύει μόνο όταν x=, y= οπότε και θα πρέπει να δανειστούμε ένα κρατούμενο από την επόμενη θέση και πραγματοποιούμε την πράξη -=. Οημιαφαιρέτηςέχειδύοεξόδους: ΗDαπλάείναιτοαποτέλεσματηςαφαίρεσης ενώτοb δηλώνειανπρέπειναδανειστούμεήόχικρατούμενοαπότηνεπόμενη θέση. ΟπίνακαςαλήθειαςγιαταB καιd φαίνεταιπαρακάτωκαιαπόαυτόνπροκύπτει πως B=x y και D=x y+xy =x y x y B D

82 Αφαιρέτες Ο πλήρης αφαιρέτης σχηματίζει την διαφορά δύο ψηφίων x και y λαμβάνοντας υπόψη και το προηγούμενο κρατούμενο z O πίνακαςαλήθειαςφαίνεταιπαρακάτωμαζίμετουςχάρτεςkarnaugh: x y z B D yz x D=x y z+x yz+xy z +xyz B=x y+x z+yz

83 Μετατροπή Κωδίκων Εξαιτίας της πληθώρας των κωδικών που υπάρχουν, συχνά χρειαζόμαστε κυκλώματα μετατροπής από τον ένα κώδικα στον άλλο. Ο παρακάτω πίνακας αληθείας χρησιμοποιείται για την υλοποίηση ενός κώδικα BCD σε έναν κώδικα excess-3. Είσοδος Κώδικα BCD Έξοδος Κώδικα excess-3 A B C D x y z w Όσοι συνδυασμοί εισόδου δεν αναφέρονται στον παραπάνω πίνακα, αποτελούν συνθήκες αδιαφορίας.

84 CD AB Μετατροπή Κωδίκων Για κάθε μία από τις μεταβλητές εξόδου(x,y,z,w) μπορούμε να σχηματίσουμε το χάρτη Karnaugh X X X X X X z=d y=c D +CD y=b C+B D+BC D y=a+bc+bd

85 Μετατροπή Κωδίκων Μπορούμε να γράψουμε τις τέσσερις συναρτήσεις και ως: z=d y=cd+c D =CD+(C+D) x=b C+B D+BC D =B (C+D)+BC D =B (C+D)+B(C+D) w=a+bc+bd=a+b(c+d) Με τον τρόπο αυτό μπορούμε να υλοποιήσουμε το κύκλωμα μετατροπής με τον παρακάτω τρόπο:

86 Διαδικασία Ανάλυσης Κατά την διαδικασία σχεδίασης ενός κυκλώματος ξεκινάμε από ένα σύνολο προδιαγραφών για το τι πρέπει να κάνει το κύκλωμα και τελικά καταλήγουμε στην υλοποίηση του κυκλώματος με λογικές πύλες. Στηδιαδικασίαανάλυσηςενόςψηφιακούκυκλώματοςπροχωράμεαντίστροφα. Ορίζουμε αυθαίρετα σύμβολα για τις εξόδους όλων των πυλών και βρίσκουμε τις συναρτήσεις Boole για κάθε πύλη. Για παράδειγμα έστω πως έχουμε να αναλύσουμε το παρακάτω κύκλωμα: Οι συναρτήσεις Boole είναι F 2 =AB+AC+BC, Τ =A+B+CκαιΤ 2 =ABC. Προχωρώντας στο επόμενο βήμα έχουμε T 3 =F 2 T καιf =T 3 +T 2. Αντικαθιστώντας στοf τιςτιμέςτουτ 3 καιτουτ 2 θα έχουμεf =A BC +A B C+AB C +ABC.

87 Κυκλώματα NAND πολλαπλών επιπέδων Η πύλη NAND είναι μία οικουμενική πύλη, δηλαδή κάθε ψηφιακό κύκλωμα μπορεί να υλοποιηθεί με πύλες NAND αποκλειστικά. Στα παραπάνω σχήματα δείχνουμε πως μπορούνε να υλοποιηθούνε οι τελεστές AND, OR καιnot τηςάλγεβραςboole. Όπως είδαμε στην προηγούμενη ενότητα, μια έκφραση Boole, μπορεί να γραφεί χρησιμοποιώντας τον τελεστή NAND χρησιμοποιώντας το θεώρημα του DeMorgan. ΩστόσοείναιδυνατόνναμετατρέψουμεαπευθείαςένακύκλωμαμεπύλεςAND, OR καινοτσεένακύκλωμαμεπύλεςnand χωρίςναυπολογίσουμετην συνάρτηση Boole που υλοποιεί.

88 Κυκλώματα NAND πολλαπλών επιπέδων Για παράδειγμα έστω πως έχουμε το παρακάτω κύκλωμα που έχει υλοποιηθεί με πύλες AND καιor. Μπορούμε να χρησιμοποιήσουμε το γεγονός πως x +y =(xy), οπότε μία πύλη OR όπου οι είσοδοι αντιστρέφονται(και για το λόγο αυτό σημειώνονται με κύκλο) είναι ισοδύναμη με μία πύλη NAND. Επομένως σε κάθε πύλη OR αντιστρέφουμε τιςεισόδουςτηςκαιμετοντρόποαυτότην μετατρέπουμε σε μια πύλη NAND.

89 Κυκλώματα με πύλες NOR πολλαπλών επιπέδων. ΌπωςκαιηπύληNAND έτσικαιηnor είναιμίαοικουμενικήπύλη, δηλαδή κάθε ψηφιακό κύκλωμα μπορεί να υλοποιηθεί με πύλες NOR αποκλειστικά. H διαδικασίαμετατροπήςμιαςυλοποίησηςπουέχειπύλεςand καιor σεπύλεςnor είναι παρόμοια: Μετατρέπουμε όλες τις πύλες OR σε NOR προσθέτοντας σύμβολα αντιστροφής Όλες οι πύλες AND μετατρέπονται σε NAND με αντεστραμμένες εισόδους. Δύο κύκλοι αντιστροφής σε σειρά αναιρούνται αφού δύο αρνήσεις κάνουν μια κατάφαση (x ) =x.

90 Παράδειγμα

91 Συνάρτηση XOR H συνάρτηση XOR ορίζεται από την σχέση x y=xy +x y Η αντίστροφη συνάρτηση ονομάζεται ισοδυναμία και δίνεται από την σχέση (x y) =xy+x y ΓιατηνσυνάρτησηXOR ισχύουνοισχέσεις: x =x, x =x, x x=, x x =, x y =x y=(x y). Επίσης η συνάρτηση XOR είναι ένας αντιμεταθετικός και ένας προσεταιριστικός τελεστής, δηλαδή x y=y x και x y z=x (y z)=(x y) z. Παρακάτω φαίνονται δύο διαφορετικές υλοποιήσεις της πύλης XOR, μία με πύλεςand,not καιorκαιμίαμεπύλεςnand.

92 Συνάρτηση XOR πολλαπλών εισόδων Είναι εύκολο να αποδείξουμε πως μία συνάρτηση XOR πολλαπλών εισόδων είναι μία συνάρτηση ελέγχου της ισοτιμίας, δηλαδή ισούται με εάν ο αριθμός των εισόδων που είναι είναι περιττός και με στην αντίθετη περίπτωση. Αυτό μπορεί να αποδειχθεί με επαγωγή Προφανώςισχύειγιαn=2 εισόδους: δηλαδήx x 2 = εάνx =,x 2 = ήx =,x 2 =. Έστωπωςισχύειγιαn=kδηλαδήx x 2... x k = εάνκαιμόνοεάνυπάρχει περιττός αριθμός Γιαn=k+, έχουμεx x 2... x k+ =(x x 2... x k ) x k+ = εάν: είτεοαριθμόςτωνάσσωνστα(x,x 2,...,x k ) είναιάρτιοςκαιτοx k+ =, οπότεο αριθμόςστα(x,x 2,...,x k+ ) είναιπεριττός. είτεοαριθμόςτωνάσσωνστα(x,x 2,...,x k ) είναιπεριττόςκαιτοx k+ =, οπότεπάλι οαριθμόςστα(x,x 2,...,x k+ ) είναιπεριττός. Επομένωςαποδείξαμεπωςανηπρότασηισχύειγιαn=k, τότεισχύεικαιγιαn=k+ καιαφούισχύειγιαn=2, τότεισχύειγιαn=3, n=4, κτλ

93 Υλοποίηση XOR πολλαπλών εισόδων και συναρτήσεων ελέγχου της ισοτιμίας Η υλοποίηση μιας πύλης XOR πολλαπλών εισόδων μπορεί να γίνει με την χρήση XOR δύο εισόδων χρησιμοποιώντας το γεγονός πως ο τελεστής XOR είναι προσεταιριστικός.

94 ΓΛΩΣΣΑΠΕΡΙΓΡΑΦΗΣΥΛΙΚΟΥ(ΗARDWARE DESCRIPTION LANGUAGE HDL) Η γλώσσα περιγραφής υλικού είναι μία γλώσσα η οποία στην ουσία περιγράφει τα ψηφιακά κυκλώματα σε μορφή κειμένου Είναι ειδικά προσανατολισμένη στην περιγραφή των δομών υλικού και της συμπεριφοράς του. Χρησιμοποιείται κυρίως για δύο σκοπούς: τη σύνθεση και την προσομοίωση των λογικών κυκλωμάτων. ΥπάρχουνδιάφορεςεκδόσειςHDLόπωςηVHDL (τοv προέρχεταιαπότονόρο Very High Speed Integrated Circuits) και η Verilog HDL. Στα πλαίσια του μαθήματος θα ασχοληθούμε με την Verilog HDL.

95 ΠΕΡΙΓΡΑΦΗ ΥΠΟΜΟΝΑΔΩΝ Το δομικό στοιχείο της Verilog είναι η υπομονάδα, η οποία δηλώνεται με την κωδική λέξη module και τερματίζεται με την κωδική λέξη endmodule. Για παράδειγμα, έστω πως θέλουμε να περιγράψουμε το παρακάτω κύκλωμα: // Περιγραφή ενός απλού κυκλώµατος σε Verilog HDL Module smpl_circuit(a,b,c,x,y); input A,B,C; // Ορίζουµε τις µεταβλητές εισόδου output x,y; // Ορίζουµε τις µεταβλητές εξόδου wire e; // το wire δηλώνει µία ενδιάµεση µεταβλητή (εσωτερική σύνδεση). and g(e,a,b); // e=ab not g2(y,c); // y=c or g3(x,e,y); // x=e+y endmodule

96 ΚΑΘΥΣΤΕΡΗΣΕΙΣ ΔΙΑΔΟΣΗΣ ΠΥΛΩΝ Μπορούμε να ορίσουμε και την καθυστέρηση διάδοσης που έχει κάθε μία από τις πύλες μας. Για το σκοπό αυτό χρησιμοποιούμε το σύμβολο# και τοποθετούμε την καθυστέρηση σε μονάδες χρόνου σε παρενθέσεις. Π.χ. #(3) σημαίνει καθυστέρηση ίση με 3 μονάδες χρόνου. Για τον καθορισμό της μονάδας χρόνου χρησιμοποιούμε την εντολή μεταγλωττιστή `timescale. (Θυμάστε την#define από την C?) Π.χ. `timescale ns/ps σημαίνει πως η σταθερά χρόνου αντιστοιχεί σε ns και όλοι οι χρόνοι στρογγυλοποιούνται με ακρίβεια.ns. Αν δεν καθορίσουμε χρονική διάρκεια μονάδας χρόνου, τότε λαμβάνεται ίση με ns. // Περιγραφή ενός απλού κυκλώµατος σε Verilog HDL αυτή τη φορά µε καθυστερήσεις. Module circuit_with_delay(a,b,c,x,y); input A,B,C; // Ορίζουµε τις µεταβλητές εισόδου output x,y; // Ορίζουµε τις µεταβλητές εξόδου wire e; // το wire δηλώνει µία ενδιάµεση µεταβλητή //(εσωτερική σύνδεση). and #(3) g(e,a,b); // e=ab (καθυστέρηση 3ns) not #() g2(y,c); // y=c (καθυστέρηση ns) or #(2) g3(x,e,y); // x=e+y (καθυστέρηση 2ns) endmodule

97 ΔΙΕΓΕΡΣΗ ΚΥΚΛΩΜΑΤΩΝ Για να προσομοιώσουμε τα κυκλώματα μας, κάποιος θα πρέπει να καθορίσει την αλληλουχία των εισόδων του κυκλώματος μας. Για το σκοπό αυτό χρησιμοποιούμε μία υπομονάδα(υπομονάδα διέγερσης) όπως ηπαρακάτω: // Μια απλή µονάδα διέγερσης για την µονάδα circuit_with_delay(a,b,c,x,y); Module stimcrt reg A,B,C; // Οι µεταβλητές εισόδου που δηµιουργούµε // πρέπει να είναι τύπου reg wire x,y; // Τα x και y είναι συνδέσεις. circuit_with_delay cwd(a,b,c,x,y); // Εδώ δηλώνουµε πως το cwd είναι υλοποίηση // του module circuit_with_delay initial A= b; B= b; C= b; // Στην αρχή τα A,B,C είναι δυαδικοί // αριθµοί ίσοι µε # // Αυτό διατηρείται για ns A= b; B= b; C= b; // Τα (A,B,C) γίνονται # // Περιµένουµε άλλα ns $finish; end Endmodule // Τέλος της προσοµοίωσης // Ζήσαµε εµείς καλά και αυτοί καλύτερα!

98 ΛΟΓΙΚΕΣ ΕΚΦΡΑΣΕΙΣ Μπορούμε να χρησιμοποιήσουμε τις λογικές εκφράσεις της Verilog ώστε να απλοποιήσουμε την περιγραφή των κυκλωμάτων. Για παράδειγμα αν x=a+bc+b D και y=b C+BC D, χρησιμοποιούμε την εντολή assign ώστε να φτιάξουμε την αντίστοιχη υπομονάδα: // Εφαρµογή λογικών εκφράσεων στην Verilog Module circuit_bn(x,y,a,b,c); input A,B,C,D; // Οι είσοδοι µας output x,y; // Οι έξοδοι µας assign x=a (B & C) (~B & C); // Η πρώτη ανάθεση για την x assign y=(~b & C) (B & ~C & ~D); // Η πρώτη ανάθεση για την y Endmodule // Ναναι καλά το assign Οιτελεστές~, &, είναιτοnot, τοανd καιτοor αντίστοιχα.

99 ΣΤΟΙΧΕΙΩΔΗ ΚΥΚΛΩΜΑΤΑ ΟΡΙΣΜΕΝΑ ΑΠΌ ΤΟΝ ΧΡΗΣΤΗ(USER DEFINED PRIMITIVES UDP). Μέχριτώραχρησιμοποιήσαμεταστοιχειώδηκυκλώματατουσυστήματος(system defined primitives), π.χ. τα or, not, and, κτλ O προγραμματιστήςμπορείκαιαυτόςναορίσειδικάτουστοιχειώδηκυκλώματα(user defined primitives), π.χ. με έναν πίνακα αλήθειας. Για το σκοπό αυτό χρησιμοποιείται η εντολή primitive. Για παράδειγμα έστω x(a,b,c)=σ(,2,4,6,7). Χρησιμοποιούμε τον παρακάτω κώδικα Verilog για να υλοποιήσουμε τηνx: // Εφαρµογή user defined primitive primitive crctp (x,a,b,c); output x; input A,B,C; // Θέλουµε τώρα να εισάγουµε τον πίνακα αλήθειας table // A B C : x Μην ψαρώνετε είναι µόνο σχόλιο! : ; : ; : ; : ; : ; : ; : ; : ; endtable endprimitive; // Παράδειγµα χρήσης του primitive µας module declare_crctp; reg x,y,z; wire w; crctp(w,x,y,z); endmodule

100 Μέρος V Υλοποίηση Συνδυαστικών Κυκλώματων μεmedium Scale of Integration (MSI) Ολοκληρωμένα Κυκλώματα και Programmable Logic Devices (PLD).

101 Είναι απλό να απλοποιείς? Όπως είδαμε, σκοπός της απλοποίησης των συναρτήσεων Boole είναι η μείωση του αριθμού των πυλών που χρησιμοποιεί το ψηφιακό κύκλωμα. Ωστόσο, δεν είναι προφανές πως η μείωση του αριθμού των πυλών συνεπάγεται και μείωση του κόστους υλοποίησης. Συνήθως ένα ολοκληρωμένο(ic) περιέχει πολλές λογικές πύλες και είναι επιθυμητό να χρησιμοποιούμε όσο το δυνατόν περισσότερων πυλών ώστε να μειώσουμε το συνολικό αριθμό των ICs που χρειάζονται. Πολλάψηφιακάκυκλώματαείναιήδηυλοποιημένασεμέσηςκλίμακας(MSI)ICs και επομένως μπορούμε να χρησιμοποιούμε απευθείας αυτά. Εναλλακτικά αυτά τα κυκλώματα αποτελούν δομικά στοιχεία για πιο πολύπλοκα κυκλώματα που απαρτίζουν τα LSI και VLSI κυκλώματα. ΠαράδειγματέτοιωνMSI κυκλωμάτωνείναιοιαθροιστές, αφαιρέτες, συγκριτές, κτλ κτλ.

102 Διατάξεις Προγραμματιζόμενης Λογικής(PLD). ΗPLD (Programmable Logic Device ΔιάταξηΠρογραμματιζόμενηςΛογικής) είναι ολοκληρωμένα κυκλώματα με εσωτερικές λογικές πύλες που συνδέονται με τη βοήθεια ειδικών συνδέσμων. Ο προγραμματισμός των ολοκληρωμένων συνίσταται στην διατήρηση ή όχι των συνδέσμων αυτών ώστε να προκύψει μία συγκεκριμένη δομή. Πρόκειται για μια διαδικασία σε επίπεδο υλικού(hardware) η οποία αποτυπώνει συγκεκριμένες συνδέσεις στο εσωτερικό της διάταξης. ΟιπύλεςστηνPLD διατάσσονταισεομάδεςαπόπύλεςand καιπύλεςorμε σκοπό να υλοποιήσουν αθροισμάτων γινομένων. Υπάρχουν τρεις τύποι PLD: Προγραμματιζόμενη Μνήμη Μόνο Ανάγνωσης(Programmable Read Only Memory - PROM) που πραγματοποιεί συναρτήσεις Boole ως άθροισμα ελαχιστόρων. Aποτελείται από μία προγραμματιζόμενη παράταξη OR και μία σταθερή παράταξη AND. Προγραμματιζόμενη Παράταξη Λογικής(Programmable Array Logic PAL). Aποτελείται από μία σταθερή παράταξη OR και μία προγραμματιζόμενη παράταξη AND. Προγραμματιζόμενη Λογική Παράταξη(Programmable Logic Array PLA). Aποτελείται από μία προγραμματιζόμενη παράταξη OR και μία προγραμματιζόμενη παράταξη AND.

103 Διατάξεις Προγραμματιζόμενης Λογικής(PLD).

104 Δυαδικός Αθροιστής και Αφαιρέτης Ο πλήρης αφαιρέτης σχηματίζει το άθροισμα 2 bits και ενός προηγούμενου κρατουμένου. Για να προσθέσουμε αριθμούς με n bits μπορούμε να χρησιμοποιήσουμε πολλά τέτοια κυκλώματα. Για παράδειγμα αν έχουμε να προσθέσουμε τον Α= και τον Β= μπορούμε να πραγματοποιήσουμε την πρόσθεση σε τέσσερα στάδια ως εξής: Δείκτης i Κρατούμενο Εισόδου Προσθετέος Προσθετέος 2 Άθροισμα Κρατούμενο Εξόδου Ο σειριακός αθροιστής χρησιμοποιεί μόνο ένα κύκλωμα πλήρους αθροιστή και χρησιμοποιεί κυκλώματα μνήμης για να αποθηκεύει τα ενδιάμεσα αποτελέσματα. Ο παράλληλος αθροιστής χρησιμοποιεί n κυκλώματα πλήρους αθροιστή για να παράγει το αποτέλεσμα.

105 Παράλληλος Δυαδικός Αθροιστής Στον παράλληλο αθροιστή, το κρατούμενο από κάθε κύκλωμα αθροιστή μεταφέρεται στην επόμενηβαθμίδα. Πρόκειται για ένα τυπικό κύκλωμα Medium Scale of Integration. Θα μπορούσαμε βέβαια να τον υλοποίησουμε σχηματίζοντας τον πίνακα αλήθειας και χρησιμοποιώντας την μέθοδο που είδαμε στην προηγούμενη ενότητα. Ωστόσοθαχρειαζόμαστανένανπίνακαμε2 4+4 =2 8 =52 γραμμές!

106 Παράλληλος Αθροιστής/Αφαιρέτης Το ίδιο κύκλωμα με μία μικρή παραλλαγή μπορεί να χρησιμοποιηθεί και για την αφαίρεση δύο δυαδικών αριθμών. ΧρησιμοποιούμεμίαείσοδοελέγχουΜκαιοδεύτεροςαριθμός(Β) περνάειαπόπύλεςxor. AνΜ= τότεσχηματίζεταιτοσυμπλήρωμαωςπροςτο (αντιστρέφονταςταbit τουβ) και τομοδηγείταιστηνείσοδοτουκρατουμένουc (οπότετελικάσχηματίζεταιτο κρατούμενο ως προς 2).

107 Διάδοση Κρατουμένου Στο κύκλωμα του παράλληλου αθροιστή, χρειάζεται κάποιος χρόνος ώστε το κρατούμενο να διαδοθεί από τον έναν αθροιστή στον άλλο. Στην τελευταία βαθμίδα για παράδειγμα του προηγούμενου σχήματος, το κρατούμενοc 4 λαμβάνειτηνσωστήτουτιμή, μόνοότανο3 ος πλήρηςαθροιστής παράγει το σωστό αποτέλεσμα. Από το κύκλωμα του πλήρους αθροιστή καταλαβαίνουμε πως η κάθε βαθμίδα εισάγει καθυστέρηση δύο πυλών Επομένωςκάθεβαθμίδαεισάγεικαθυστέρηση2 πυλώνκαιοπαράλληλοςαθροιστήςn bits έχει συνολική καθυστέρηση που αντιστοιχεί στη διέλευση από 2n πύλες.

108 Πρόβλεψη Κρατουμένου Γιαναβελτιώσουμετις επιδόσεις του παράλληλου αθροιστή μπορούμε να κατασκευάσουμε μια γεννήτρια πρόβλεψηςκρατουμένου. ΣυγκεκριμέναανP i =A i B i και G i =A i B i τότεοιέξοδοιτηςκάθε βαθμίδας μπορούνε να υπολογιστούνε από τις σχέσεις S i =P i C i καιc i+ =G i +P i C i. Για να υλοποιήσουμε την γεννήτρια πρόβλεψης κρατουμένου, μετατρέπουμε την αναδρομική σχέση για το κρατούμενοσεμήαναδρομική. Έτσι: C 2 =C +P C, C 3 =G 2 +P 2 C 2 =G 2 +P 2 (G +P G ), C 4 =G 3 G 2 +P 3 P 2 G +P 3 P 2 P C κτλ

109 Πλήρης Αθροιστής με Γεννήτρια Πρόβλεψης Κρατουμένου

110 Αθροιστής BCD Για να φτιάξουμε έναν αθροιστή BCD μπορούμε να χρησιμοποιήσουμε έναν δυαδικό παράλληλο αθροιστή με τέσσερα bit εισόδου. Ο δυαδικός αθροιστής προσθέτει τις BCD αναπαραστάσεις των δύο ψηφίων(που ταυτίζονται με την δυαδική τιμή των bit τους). Θα πρέπει να υλοποιήσουμε στη συνέχεια ένα κύκλωμα που να μετατρέπει την έξοδο του δυαδικού αθροιστή(κ,z 4,Z 3,Z 2,Z ) στηνbcd αναπαράστασητου. Από τον πίνακα προκύπτει πως αν η έξοδος του δυαδικού αθροιστή είναι μεγαλύτερη από τότε η μετατροπή μπορεί να λάβει χώρα προσθέτοντας τον αριθμό 6 (). Η διόρθωση σίγουρα γίνεται όταν τοk=, ενώοιυπόλοιποι συνδυασμοίέχουνz 8 =, καιείτετο Ζ 4 = είτετοζ 2 =. Επομένωςη συνθήκη διόρθωσης είναι C=K+Z 8 Z 4 +Z 8 Z 2

111 Αθροιστής BCD

112 Συγκριτής Μεγέθους Ο συγκριτής μεγέθους αποφασίζει ποιος από τους δύο αριθμούς (Α 3,Α 2,Α,Α ) και (B 3,B 2,B,B ) είναι μεγαλύτερος. Για την σύγκριση πρέπει να σχηματίσουμε τις βοηθητικές μεταβλητές x i =A i B i +A i B i. Τότε(Α=Β)=x x 2 x 3 x 4 (A>B)=A 3 B 3 +x 3 A 2 B 2 +x 3 x 2 A B +x 3 x 2 x A B (A<B)=A 3 B 3 +x 3 A 2 B 2 +x 3 x 2 A B +x 3 x 2 x A B

113 Αποκωδικοποιητές Έναςαποκωδικοποιητήςαπόnσε2 n γραμμέςσχηματίζειτουςελαχιστόορους πουπαράγονταιαπότοσυνδυασμότωνnμεταβλητών. Πρόκειταιγιαένακύκλωμαπουμπορείνα χρησιμοποιηθεί για την υλοποίηση συνδυαστικών κυκλωμάτων. Για παράδειγμα αν S(x,y,z)=Σ(,2,4,7) και C(x,y,z)=Σ(3,5,6,7), τότε μπορούμε να υλοποιήσουμε τις συναρτήσεις αυτές ως εξής:

114 Αποπλέκτες Στους αποπλέκτες χρησιμοποιούμε πύλες NAND οι οποίες είναι πιο εύκολα υλοποιήσιμες από την AND. Παρακάτω φαίνεται ένας 2 σε 4 αποπλέκτης, ο οποίοςέχεικαιμίαείσοδοεπίτρεψηςηοποίακατευθύνεταισεμίααπότις εξόδους

115 Σύμβολα

116 Αποπλέκτες με Αποκωδικοποιητές

117 Κωδικοποιητές

118 Κωδικοποιητής Προτεραιότητας Στον κωδικοποιητή προτεραιότητας, αν δύο οι περισσότερες είσοδοι είναι ίσες με, η είσοδος με την μεγαλύτερη προτεραιότητα καθορίζει την έξοδο. ΕπίσηςέχουμεκαιμιαέξοδοV ηοποίαδείχνειανηέξοδοςπουσχηματίζεται είναι έγκυρη(η έξοδος δεν είναι έγκυρη στην περίπτωση που όλες οι είσοδοι είναι μηδέν!). Το κύκλωμα έχει τον ακόλουθο πίνακα αληθείας:

119 Κωδικοποιητής Προτεραιότητας

120 Πολυπλέκτες

121 Πολυπλέκτες Πολλές φορές παρέχονται δύο οι περισσότεροι πολυπλέκτες στο ίδιο chip. Το διπλανό σχήμα παρουσιάζει έναντετραπλόπολυπλέκτη2 σε.

122 Υλοποίηση Συναρτήσεων Boole Χρησιμοποιώνταςένανπολυπλέκτη2 n, μπορούμεναυλοποιήσουμε οποιαδήποτε συνάρτηση(n+) μεταβλητών. Για παράδειγμα αν F(A,B,C) =Σ(,3,5,6), τότε στις εισόδους επιλογής του πολυπλέκτηs καιs αναθέτουμετιςδύομεταβλητές, π.χ. B καιc. Οιγραμμέςτουπολυπλέκτητίθονταιίσεςμε,,ΑκαιΑ ανάλογαμετονπίνακα αλήθειας της συνάρτησης μας.

123 Πύλες 3 καταστάσεων Πρόκειται για πύλες οι οποίες έχουν τρεις καταστάσεις εξόδου:, και την κατάσταση υψηλής αντίστασης. Στην κατάσταση υψηλής αντίστασης, η πύλη συμπεριφέρεται σαν ανοικτό κύκλωμα και επομένως κατ ουσία αποσυνδέεται από το υπόλοιπο κύκλωμα. Η πιο συχνά χρησιμοποιούμενη πύλη 3 καταστάσεων είναι ο απομονωτής. Μπορούμε να συνδέσουμε τις εξόδους των πυλών τριών καταστάσεων σε ένα κοινόκόμβο. Αυτό παρέχει μία επιπλέον δυνατότητα σχεδίασης.

124 Πολυπλέκτες με πύλες 3 καταστάσεων

125 Μνήμες Μόνο Ανάγνωσης(Read Only Memories ROMs) ΘυμάστεπωςμετηνβοήθειαενόςαποκωδικοποιητήκαιμίαςπύληςOR μπορούσαμε να υλοποιήσουμε οποιαδήποτε συνάρτηση Boole? Η μνήμη ROM περιλαμβάνει τον αποκωδικοποιητή και τις πύλες OR σε ένα chip. Χρησιμοποιούνται πολύ συχνά για την υλοποίηση συνδυαστικών κυκλωμάτων με μονάχα ένα chip καταργώντας την ανάγκη για καλώδια διασύνδεσης. Πρόκειται κατ ουσία για μια διάταξη αποθήκευσης. Μέσα στη ROM υπάρχουνε σύνδεσμοι τους οποίους μπορούμε να κρατήσουμε ή να σπάσουμε ώστε να σχηματιστούν οι απαραίτητες διασυνδέσεις. ΓιατολόγοαυτόηROM είναιστηνουσίαμιαμνήμητηςοποίαςταδεδομέναδεν μπορούμε να αλλάξουμε και διατηρούνται ακόμα και όταν έχει πάψει να τροφοδοτείται από ηλεκτρικό ρεύμα.

126 Εσωτερική Δομή μίας ROM ΠρόκειταιγιαμίαROM (δηλαδή5 εισόδωνκαι4 εξόδωνπουμπορείνα αποθηκεύει 32 αριθμούς των τεσσάρων bit).

127 Υλοποίηση Συνδυαστικής Λογικής με ROM Καταστρέφοντας τους συνδέσμους που αντιστοιχούν σε ελαχιστό-όρους που δεν περιλαμβάνονται στην συνάρτηση, μπορούμε να την υλοποιήσουμε με μια μνήμη ROM. ΓιαπαράδειγμαανF =Σ(,2,3) καιf 2 =Σ(,2) τότεμπορούμεναυλοποιήσουμετις συναρτήσεις αυτές ως εξής:

128 Υλοποίηση Συνδυαστικής Λογικής με ROM Με τον ίδιο τρόπο μπορούμε να φτιάξουμε ένα λογικό κύκλωμα που παράγει στην έξοδο του το τετράγωνο του αριθμού που αντιστοιχεί στην είσοδο.

129 Τύποι ROM Η ROM μπορεί να«προγραμματιστεί» με δύο τρόπους: Στον προγραμματισμό μάσκας(mask programming), ο κατασκευαστής καίει τους συνδέσμους κατά τα τελικά στάδια της κατασκευής του chip. Εναλλακτικά μπορεί να χρησιμοποιηθούν προγραμματιστές ROM οι οποίοι δίνουν στο χρήστη τη δυνατότητα να κάψει τους συνδέσμους που θέλει. Ο τύπος αυτός ROM ονομάζεται προγραμματιζόμενη(programmable ROM - PROM) και ο προγραμματισμός τους είναι μόνιμος. Επίσης υπάρχουν και ειδικά κατασκευασμένες ROM στις οποίες ο προγραμματισμός μπορεί να αλλάξει. Αυτές είναι οι Erasable Programmable ROMs (EPROMs) και η διαδικασία επαναπρογραμματισμού μπορεί να γίνει είτε με υπεριώδες φως είτε με την εφαρμογή ηλεκτρικών σημάτων.

130 ROM καιh/y Οι μνήμες ROM χρησιμοποιούνται και στους ηλεκτρονικούς υπολογιστές Ο πρώτος οικιακός υπολογιστής(zx8) είχε 8KB μνήμη ROM(!) η οποία περιείχε και μία γλώσσα BASIC που ο χρήστης μπορούσε να χρησιμοποιήσει. Όλα αυτά στις αρχές της δεκαετίας του 98 Στουςυπολογιστέςτηςγενιάςx86 οιμνήμεςrom περιείχαντοbios (Basic Input Output System). Οι μνήμες αυτές είναι EEPROM ώστε να μπορούμε να ανανεώνουμε την έκδοση του BIOS.

131 Flash ROMs Οιμνήμεςflash χρησιμοποιούνταικυρίωςσταusb sticks και είναι ειδική κατηγορία των EEPROMs. Στις μνήμες flash μπορούμε να σβήνουμε μεγάλα τμήματα(σε αντίθεση με παραδοσιακές μνήμες EEPROM). Βασίζονται σε ειδικά τρανζίστορ που ονομάζονται τρανζίστορ κινητής πύλης(floating gate transistor) τα οποία έχουνε την δυνατότητα να διατηρούν ηλεκτρικό φορτίο στην πύλη με την βοήθεια πυκνωτών. Στο μέλλον ενδέχεται η τεχνολογία αυτή να αντικαταστήσει τους σκληρούς δίσκους Ήδη έχουνε ανακοινωθεί 32Gbyte solid state drives!

3. Απλοποίηση Συναρτήσεων Boole

3. Απλοποίηση Συναρτήσεων Boole 3. Απλοποίηση Συναρτήσεων Boole 3. Μέθοδος του χάρτη Η πολυπλοκότητα ψηφιακών πυλών που υλοποιούν μια συνάρτηση Boole σχετίζεται άμεσα με την πολύπλοκότητα της αλγεβρικής της έκφρασης. Η αλγεβρική αναπαράσταση

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Απλοποίηση Συναρτήσεων Boole. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Απλοποίηση Συναρτήσεων Boole. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Απλοποίηση Συναρτήσεων Boole Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Απλοποίηση Συναρτήσεων Boole Η πολυπλοκότητα του κυκλώματος

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Άλγεβρα Boole και Λογικές Πύλες 2. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Άλγεβρα Boole και Λογικές Πύλες 2. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Άλγεβρα Boole και Λογικές Πύλες Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Αξιωματικός Ορισμός Άλγεβρας Boole Άλγεβρα Boole: είναι μία

Διαβάστε περισσότερα

2. Άλγεβρα Boole και Λογικές Πύλες

2. Άλγεβρα Boole και Λογικές Πύλες 2. Άλγεβρα Boole και Λογικές Πύλες 2.1 Βασικοί ορισμοί Η άλγεβρα Boole μπορεί να οριστεί με ένα σύνολο στοιχείων, ένα σύνολο τελεστών και ένα σύνολο αξιωμάτων. Δυαδικός τελεστής ορισμένος σε ένα σύνολο

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΑΛΓΕΒΡΑ BOOLE 2017, Δρ. Ηρακλής Σπηλιώτης Γενικοί ορισμοί Αλγεβρική δομή είναι ένα σύνολο στοιχείων και κάποιες συναρτήσεις με πεδίο ορισμού αυτό το σύνολο. Αυτές οι συναρτήσεις

Διαβάστε περισσότερα

3 η Θεµατική Ενότητα : Απλοποίηση Συναρτήσεων Boole. Επιµέλεια διαφανειών: Χρ. Καβουσιανός

3 η Θεµατική Ενότητα : Απλοποίηση Συναρτήσεων Boole. Επιµέλεια διαφανειών: Χρ. Καβουσιανός 3 η Θεµατική Ενότητα : Απλοποίηση Συναρτήσεων oole Επιµέλεια διαφανειών: Χρ. Καβουσιανός Απλοποίηση Συναρτήσεων oole Ø Η πολυπλοκότητα του κυκλώµατος που υλοποιεί µια συνάρτηση oole σχετίζεται άµεσα µε

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τμήμα Εφαρμοσμένης Πληροφορικής & Πολυμέσων. Ψηφιακή Σχεδίαση. Κεφάλαιο 2: Συνδυαστικά Λογικά

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τμήμα Εφαρμοσμένης Πληροφορικής & Πολυμέσων. Ψηφιακή Σχεδίαση. Κεφάλαιο 2: Συνδυαστικά Λογικά ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τμήμα Εφαρμοσμένης Πληροφορικής & Πολυμέσων Ψηφιακή Σχεδίαση Κεφάλαιο 2: Συνδυαστικά Λογικά Κυκλώματα Γ. Κορνάρος Περίγραμμα Μέρος 1 Κυκλώματα Πυλών και

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

Επανάληψη Βασικών Στοιχείων Ψηφιακής Λογικής

Επανάληψη Βασικών Στοιχείων Ψηφιακής Λογικής Επανάληψη Βασικών Στοιχείων Ψηφιακής Λογικής Αριθµοί Διαφόρων Βάσεων Δυαδικά Συστήµατα 2 Υπολογιστική Ακρίβεια Ο αριθµός των δυαδικών ψηφίων αναπαράστασης αριθµών καθορίζει την ακρίβεια των αριθµών σε

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ. ιδάσκων : ρ. Β. ΒΑΛΑΜΟΝΤΕΣ. Πύλες - Άλγεβρα Boole 1

ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ. ιδάσκων : ρ. Β. ΒΑΛΑΜΟΝΤΕΣ. Πύλες - Άλγεβρα Boole 1 ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ ιδάσκων : ρ. Β. ΒΑΛΑΜΟΝΤΕΣ Πύλες - Άλγεβρα Boole 1 ΕΙΣΑΓΩΓΗ Α)Ηλεκτρονικά κυκλώµατα Αναλογικά κυκλώµατα Ψηφιακά κυκλώµατα ( δίτιµα ) V V 2 1 V 1 0 t t Θετική λογική: Ο V 1 µε V 1 =

Διαβάστε περισσότερα

Κεφάλαιο 4. Λογική Σχεδίαση

Κεφάλαιο 4. Λογική Σχεδίαση Κεφάλαιο 4 Λογική Σχεδίαση 4.1 Εισαγωγή Λογικές συναρτήσεις ονομάζουμε εκείνες για τις οποίες μπορούμε να αποφασίσουμε αν είναι αληθείς ή όχι. Χειριζόμαστε τις λογικές προτάσεις στην συγγραφή λογισμικού

Διαβάστε περισσότερα

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες Πρώτο Κεφάλαιο Εισαγωγή στα Ψηφιακά Συστήματα 1.1 Αναλογικά και Ψηφιακά Σήματα και Συστήματα... 1 1.2 Βασικά Ψηφιακά Κυκλώματα... 3 1.3 Ολοκληρωμένα κυκλώματα... 4 1.4 Τυπωμένα κυκλώματα... 7 1.5 Εργαλεία

Διαβάστε περισσότερα

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ Τµήµα Ηλεκτρολόγων Μηχανικών Εργαστήριο Ενσύρµατης Τηλεπικοινωνίας ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ ιδάσκων: Καθηγητής Ν. Φακωτάκης Τµήµα Ηλεκτρολόγων Μηχανικών Εργαστήριο Ενσύρµατης Τηλεπικοινωνίας ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ

Διαβάστε περισσότερα

Συναρτήσεων Boole. Η Μέθοδος του Χάρτη

Συναρτήσεων Boole. Η Μέθοδος του Χάρτη 3 η Θεµατική Ενότητα : Απλοποίηση Συναρτήσεων Boole m 0 m x y x y m 2 m 3 xy xy Η Μέθοδος του Χάρτη H Αλγεβρική Έκφραση µίας συνάρτησης δεν είναι µοναδική. Στόχος η εύρεση της µικρότερης. Απαιτείται συστηµατική

Διαβάστε περισσότερα

Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα

Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα 1. Για a=1, b=1 και c=0, υπολογίστε τις τιμές των λογικών παραστάσεων ab c, a+b +c, a+b c και ab +c Δώστε τα σύνολα τιμών των δυαδικών μεταβλητών a,

Διαβάστε περισσότερα

2 η Θεµατική Ενότητα : Άλγεβρα Boole και Λογικές Πύλες. Επιµέλεια διαφανειών: Χρ. Καβουσιανός

2 η Θεµατική Ενότητα : Άλγεβρα Boole και Λογικές Πύλες. Επιµέλεια διαφανειών: Χρ. Καβουσιανός 2 η Θεµατική Ενότητα : Άλγεβρα Boole και Λογικές Πύλες Επιµέλεια διαφανειών: Χρ. Καβουσιανός Βασικοί Ορισµοί Δυαδικός Τελεστής (Binary Operator): σε κάθε ζεύγος από το Σ αντιστοιχίζει ένα στοιχείο του

Διαβάστε περισσότερα

Γ2.1 Στοιχεία Αρχιτεκτονικής. Γ Λυκείου Κατεύθυνσης

Γ2.1 Στοιχεία Αρχιτεκτονικής. Γ Λυκείου Κατεύθυνσης Γ2.1 Στοιχεία Αρχιτεκτονικής Γ Λυκείου Κατεύθυνσης Ορισμός άλγεβρας Boole Η άλγεβρα Boole ορίζεται, ως μία αλγεβρική δομή A, όπου: (α) Το Α είναι ένα σύνολο στοιχείων που περιέχει δύο τουλάχιστον στοιχεία

Διαβάστε περισσότερα

2 η Θεµατική Ενότητα : Άλγεβρα Boole και Λογικές Πύλες

2 η Θεµατική Ενότητα : Άλγεβρα Boole και Λογικές Πύλες 2 η Θεµατική Ενότητα : Άλγεβρα Boole και Λογικές Πύλες Βασικοί Ορισµοί υαδικός Τελεστής (Binary Operator): σε κάθε ζεύγος από το S αντιστοιχίζει ένα στοιχείο του S. Συνηθισµένα Αξιώµατα (α, β, γ, 0) Σ,,

Διαβάστε περισσότερα

Ύλη Λογικού Σχεδιασµού Ι

Ύλη Λογικού Σχεδιασµού Ι 4 η Θεµατική Ενότητα : Συνδυαστική Λογική Ύλη Λογικού Σχεδιασµού Ι Κεφ 2 Κεφ 3 Κεφ 4 Κεφ 6 Συνδυαστική Λογική 2 Εισαγωγή Λογικά Κυκλώµατα Συνδυαστικά: Οι έξοδοι είναι συνάρτηση των εισόδων Ακολουθιακά:

Διαβάστε περισσότερα

2 η Θεµατική Ενότητα : Άλγεβρα Boole και Λογικές Πύλες. Βασικοί Ορισµοί

2 η Θεµατική Ενότητα : Άλγεβρα Boole και Λογικές Πύλες. Βασικοί Ορισµοί 2 η Θεµατική Ενότητα : Άλγεβρα Boole και Λογικές Πύλες Βασικοί Ορισµοί υαδικός Τελεστής (Binary Operator): σε κάθε ζεύγος από το S αντιστοιχίζει ένα στοιχείο του S = set, σύνολο Συνηθισµένα Αξιώµατα (α,

Διαβάστε περισσότερα

Ψηφιακή Σχεδίαση Εργαστήριο Τ.Ε.Ι. ΚΡΗΤΗΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜ. ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΧΕΙΜΕΡΙΝΟ ΕΞΑΜΗΝΟ

Ψηφιακή Σχεδίαση Εργαστήριο Τ.Ε.Ι. ΚΡΗΤΗΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜ. ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΧΕΙΜΕΡΙΝΟ ΕΞΑΜΗΝΟ Ψηφιακή Σχεδίαση Εργαστήριο Τ.Ε.Ι. ΚΡΗΤΗΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜ. ΜΗΧΑΝΙΚΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΧΕΙΜΕΡΙΝΟ ΕΞΑΜΗΝΟ 2015-2016 Άλγεβρα Boole (Boolean Algebra) Βασικοί ορισμοί Η άλγεβρα Boole μπορεί να οριστεί

Διαβάστε περισσότερα

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ Τµήµα Ηλεκτρολόγων Μηχανικών Εργαστήριο Ενσύρµατης Τηλεπικοινωνίας ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ Μάθηµα 3: Απλοποίηση συναρτήσεων Boole ιδάσκων: Καθηγητής Ν. Φακωτάκης 3-1 Η µέθοδος του χάρτη H πολυπλοκότητα

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ ΠΕΡΙΕΧΟΜΕΝΑ Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ 1.1 Εισαγωγή...11 1.2 Τα κύρια αριθμητικά Συστήματα...12 1.3 Μετατροπή αριθμών μεταξύ των αριθμητικών συστημάτων...13 1.3.1 Μετατροπή ακέραιων

Διαβάστε περισσότερα

Λογική Σχεδίαση Ψηφιακών Συστημάτων

Λογική Σχεδίαση Ψηφιακών Συστημάτων Πανεπιστήμιο Θεσσαλίας Τμήμα Πληροφορικής Λογική Σχεδίαση Ψηφιακών Συστημάτων Σταμούλης Γεώργιος georges@uth.gr Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Δυαδική Λογική Η δυαδική λογική ασχολείται με μεταβλητές

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

a -j a 5 a 4 a 3 a 2 a 1 a 0, a -1 a -2 a -3

a -j a 5 a 4 a 3 a 2 a 1 a 0, a -1 a -2 a -3 ΑΣΚΗΣΗ 5 ΑΘΡΟΙΣΤΕΣ - ΑΦΑΙΡΕΤΕΣ 5.1. ΣΚΟΠΟΣ Η πραγματοποίηση της αριθμητικής πρόσθεσης και αφαίρεσης με λογικά κυκλώματα. 5.2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΗΣΗΣ: Κάθε σύστημα αρίθμησης χαρακτηρίζεται

Διαβάστε περισσότερα

ΜΕΡΟΣ 1 ο : Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα

ΜΕΡΟΣ 1 ο : Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα ΜΕΡΟΣ 1 ο : Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα 1. Για a=1, b=1 και c=0, υπολογίστε τις τιμές των λογικών παραστάσεων ab c, a+b +c, a+b c και ab +c Δώστε τα σύνολα τιμών των δυαδικών

Διαβάστε περισσότερα

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 1) Να μετατρέψετε τον δεκαδικό αριθμό (60,25) 10, στον αντίστοιχο δυαδικό 11111,11 111001,01 111100,01 100111,1 111100,01 2)

Διαβάστε περισσότερα

Εισαγωγή. Συνδυαστικά: Οι έξοδοι είναι συνάρτηση των εισόδων

Εισαγωγή. Συνδυαστικά: Οι έξοδοι είναι συνάρτηση των εισόδων 4 η Θεµατική Ενότητα : Συνδυαστική Λογική Εισαγωγή Λογικά Κυκλώµατα Συνδυαστικά: Οι έξοδοι είναι συνάρτηση των εισόδων Ακολουθιακά: Οι έξοδοι είναι συνάρτηση των εισόδων και της κατάστασης των στοιχείων

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Αριθμητικά Συστήματα. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Αριθμητικά Συστήματα. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Αριθμητικά Συστήματα Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Αριθμητικά Συστήματα Δεκαδικό Σύστημα: Βάση το 10, ψηφία 10 και συντελεστές

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 2: Αλγεβρα Boole, Δυαδική Λογική, Ελαχιστόροι, Μεγιστόροι Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και

Διαβάστε περισσότερα

Πρόγραμμα Επικαιροποίησης Γνώσεων Αποφοίτων

Πρόγραμμα Επικαιροποίησης Γνώσεων Αποφοίτων Πρόγραμμα Επικαιροποίησης Γνώσεων Αποφοίτων ΕΝΟΤΗΤΑ Μ1 ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ Εκπαιδευτής: Γ. Π. ΠΑΤΣΗΣ, Επικ. Καθηγητής, Τμήμα Ηλεκτρονικών Μηχανικών, ΤΕΙ Αθήνας ΚΑΘΟΛΙΚΕΣ ΠΥΛΕΣ NND NOR ΑΛΓΕΒΡΑ OOLE ΘΕΩΡΗΜΑ

Διαβάστε περισσότερα

ΠΛΗ10 Κεφάλαιο 2. ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών

ΠΛΗ10 Κεφάλαιο 2. ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: 2 2.3 : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών Στόχοι Μαθήματος: Να γνωρίσετε τις βασικές αρχές αριθμητικής των Η/Υ. Ποια είναι τα κυκλώματα

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 3: Ελαχιστοποίηση σε επίπεδο τιμών, Χάρτες Karnaugh, Πρωτεύοντες όροι Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων

Διαβάστε περισσότερα

9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ

9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ 61 9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ I. Βασική Θεωρία Οι πύλες NAND και NOR ονομάζονται οικουμενικές πύλες (universal gates) γιατί κάθε συνδυαστικό κύκλωμα μπορεί να υλοποιηθεί

Διαβάστε περισσότερα

Πρόγραμμα Επικαιροποίησης Γνώσεων Αποφοίτων

Πρόγραμμα Επικαιροποίησης Γνώσεων Αποφοίτων Πρόγραμμα Επικαιροποίησης Γνώσεων Αποφοίτων ΕΝΟΤΗΤΑ Μ ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ Εκπαιδευτής: Γ. Π. ΠΑΤΣΗΣ, Επικ. Καθηγητής, Τμήμα Ηλεκτρονικών Μηχανικών, ΤΕΙ Αθήνας ΜΕΘΟΔΟΣ ΑΠΛΟΠΟΙΗΣΗΣ ΛΟΓΙΚΗΣ ΣΥΝΑΡΤΗΣΗΣ ΜΕ

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 3 ο Αλγεβρα BOOLE και Λογικές Πύλες

ΚΕΦΑΛΑΙΟ 3 ο Αλγεβρα BOOLE και Λογικές Πύλες ΤΜΗΜΑ ΤΕΧΝΟΛΟΓΙΑΣ ΑΕΡΟΣΚΑΦΩΝ ΤΕΙ ΣΤΕΡΕΑΣ ΕΛΛΑΔΑΣ ΕΙΣΑΓΩΓΗ στους Η/Υ Διδάσκουσα Δρ. Β. Σγαρδώνη 2013-14 ΚΕΦΑΛΑΙΟ 3 ο Αλγεβρα BOOLE και Λογικές Πύλες Α. ΑΛΓΕΒΡΑ Boole Η Άλγεβρα Boole (Boolean algebra) πήρε

Διαβάστε περισσότερα

Ηλεκτρονικοί Υπολογιστές ΙΙ

Ηλεκτρονικοί Υπολογιστές ΙΙ Ηλεκτρονικοί Υπολογιστές ΙΙ Ενότητα 3: Eφαρμογές Άλγεβρας Boole Τμήμα Οικονομικών Επιστημών Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης Creative Commons. Για εκπαιδευτικό υλικό,

Διαβάστε περισσότερα

Ψηφιακή Σχεδίαση Εργαστηριο 1. Τμήμα: Μηχανικών Πληροφορικής κ Τηλεπικοινωνιών Διδάσκων: Δρ. Σωτήριος Κοντογιαννης Μάθημα 2 ου εξαμήνου

Ψηφιακή Σχεδίαση Εργαστηριο 1. Τμήμα: Μηχανικών Πληροφορικής κ Τηλεπικοινωνιών Διδάσκων: Δρ. Σωτήριος Κοντογιαννης Μάθημα 2 ου εξαμήνου Ψηφιακή Σχεδίαση Εργαστηριο 1 Τμήμα: Μηχανικών Πληροφορικής κ Τηλεπικοινωνιών Διδάσκων: Δρ. Σωτήριος Κοντογιαννης Μάθημα 2 ου εξαμήνου ΛΟΓΙΚΕΣ ΠΥΛΕΣ ΕΡΓΑΛΕΙΑ ΕΡΓΑΣΤΗΡΙΟ Το εργαλείο που θα χρησιμοποιηθεί

Διαβάστε περισσότερα

Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 2013 Διάρκεια εξέτασης : 160 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών:

Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 2013 Διάρκεια εξέτασης : 160 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών: Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 23 Διάρκεια εξέτασης : 6 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών: Θέμα (,5 μονάδες) Στις εισόδους του ακόλουθου κυκλώματος c b a εφαρμόζονται οι κάτωθι κυματομορφές.

Διαβάστε περισσότερα

Κεφάλαιο Τρία: Ψηφιακά Ηλεκτρονικά

Κεφάλαιο Τρία: Ψηφιακά Ηλεκτρονικά Κεφάλαιο Τρία: 3.1 Τι είναι αναλογικό και τι ψηφιακό µέγεθος Αναλογικό ονοµάζεται το µέγεθος που µπορεί να πάρει οποιαδήποτε τιµή σε µια συγκεκριµένη περιοχή τιµών π.χ. η ταχύτητα ενός αυτοκινήτου. Ψηφιακό

Διαβάστε περισσότερα

Ενότητα 5 ΑΠΛΟΠΟΙΗΣΗ ΛΟΓΙΚΩΝ ΣΥΝΑΡΤΗΣΕΩΝ ΔΥΟ ΕΠΙΠΕΔΩΝ

Ενότητα 5 ΑΠΛΟΠΟΙΗΣΗ ΛΟΓΙΚΩΝ ΣΥΝΑΡΤΗΣΕΩΝ ΔΥΟ ΕΠΙΠΕΔΩΝ Ενότητα 5 ΑΠΛΟΠΟΙΗΣΗ ΛΟΓΙΚΩΝ ΣΥΝΑΡΤΗΣΕΩΝ ΔΥΟ ΕΠΙΠΕΔΩΝ Γενικές Γραμμές Χάρτης Karnaugh (K-map) Prime Implicants (πρωταρχικοί όροι) Διαδικασία Απλοποίησης με K-map ΑδιάφοροιΣυνδυασμοίΕισόδων Διεπίπεδες Υλοποιήσεις

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΣΥΝΔΥΑΣΤΙΚΗ ΛΟΓΙΚΗ 2017, Δρ. Ηρακλής Σπηλιώτης Συνδυαστικά και ακολουθιακά κυκλώματα Τα λογικά κυκλώματα χωρίζονται σε συνδυαστικά (combinatorial) και ακολουθιακά (sequential).

Διαβάστε περισσότερα

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ Τµήµα Ηλεκτρολόγων Μηχανικών Εργαστήριο Ενσύρµατης Τηλεπικοινωνίας ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ Μάθηµα 4: Συνδυαστική Λογική ιδάσκων: Καθηγητής Ν. Φακωτάκης 4.1 Συνδυαστικά κυκλώµατα Λογικά κυκλώµατα για ψηφιακό

Διαβάστε περισσότερα

4 η Θεµατική Ενότητα : Συνδυαστική Λογική. Επιµέλεια διαφανειών: Χρ. Καβουσιανός

4 η Θεµατική Ενότητα : Συνδυαστική Λογική. Επιµέλεια διαφανειών: Χρ. Καβουσιανός 4 η Θεµατική Ενότητα : Συνδυαστική Λογική Επιµέλεια διαφανειών: Χρ. Καβουσιανός Λογικά Κυκλώµατα Ø Τα λογικά κυκλώµατα διακρίνονται σε συνδυαστικά (combinational) και ακολουθιακά (sequential). Ø Τα συνδυαστικά

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ Κ. Δεμέστιχας Εργαστήριο Πληροφορικής Γεωπονικό Πανεπιστήμιο Αθηνών Επικοινωνία μέσω e-mail: cdemest@aua.gr, cdemest@cn.ntua.gr 1 4. ΑΛΓΕΒΡΑ BOOLE ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕΡΟΣ Α 2 Άλγεβρα

Διαβάστε περισσότερα

Ψηφιακά Ηλεκτρονικά. Κεφάλαιο 1ο. Άλγεβρα Boole και Λογικές Πύλες. (c) Αμπατζόγλου Γιάννης, Ηλεκτρονικός Μηχανικός, καθηγητής ΠΕ17

Ψηφιακά Ηλεκτρονικά. Κεφάλαιο 1ο. Άλγεβρα Boole και Λογικές Πύλες. (c) Αμπατζόγλου Γιάννης, Ηλεκτρονικός Μηχανικός, καθηγητής ΠΕ17 Ψηφιακά Ηλεκτρονικά Κεφάλαιο 1ο Άλγεβρα Boole και Λογικές Πύλες Αναλογικά μεγέθη Αναλογικό μέγεθος ονομάζεται εκείνο που μπορεί να πάρει οποιαδήποτε τιμή σε μια περιοχή τιμών, όπως η ταχύτητα, το βάρος,

Διαβάστε περισσότερα

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία ΗΜΥ 00 Εισαγωγή στην Τεχνολογία Στέλιος Τιμοθέου ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΑ ΘΕΜΑΤΑ ΜΑΣ ΣΗΜΕΡΑ Δυαδική λογική Πύλες AND, OR, NOT, NAND,

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Συνδυαστική Λογική. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Συνδυαστική Λογική. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Συνδυαστική Λογική Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Ψηφιακά Κυκλώματα Τα ψηφιακά κυκλώματα διακρίνονται σε συνδυαστικά (combinational)

Διαβάστε περισσότερα

Βοηθητικές Σημειώσεις στη ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ

Βοηθητικές Σημειώσεις στη ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ Βοηθητικές Σημειώσεις στη ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΠΜΣ στις Τεχνολογίες και Συστήματα Ευρυζωνικών Εφαρμογών και Υπηρεσιών Διδάσκων : Παρασκευάς Κίτσος Επίκουρος Καθηγητής pkitsos@teimes.gr 1 Τμήμα των διαλέξεων

Διαβάστε περισσότερα

Μετατροπή δυαδικών αριθμών

Μετατροπή δυαδικών αριθμών Κεφάλαιο 2o Συνδυαστικά κυκλώματα 2.1 Το δυαδικό σύστημα μέτρησης και η δυαδική λογική 2.1.1 Θεωρητικό Υπόβαθρο Οποιοσδήποτε αριθμός μπορεί να εκφραστεί σε σύστημα μέτρησης με βάση τον αριθμό β, με μια

Διαβάστε περισσότερα

Κεφάλαιο 2. Συστήματα Αρίθμησης και Αναπαράσταση Πληροφορίας. Περιεχόμενα. 2.1 Αριθμητικά Συστήματα. Εισαγωγή

Κεφάλαιο 2. Συστήματα Αρίθμησης και Αναπαράσταση Πληροφορίας. Περιεχόμενα. 2.1 Αριθμητικά Συστήματα. Εισαγωγή Κεφάλαιο. Συστήματα Αρίθμησης και Αναπαράσταση Πληροφορίας Περιεχόμενα. Αριθμητικά συστήματα. Μετατροπή αριθμών από ένα σύστημα σε άλλο.3 Πράξεις στο δυαδικό σύστημα.4 Πράξεις στο δεκαεξαδικό σύστημα.5

Διαβάστε περισσότερα

1 η Θεµατική Ενότητα : Αριθµητικά Κυκλώµατα. Επιµέλεια διαφανειών: Χρ. Καβουσιανός

1 η Θεµατική Ενότητα : Αριθµητικά Κυκλώµατα. Επιµέλεια διαφανειών: Χρ. Καβουσιανός η Θεµατική Ενότητα : Αριθµητικά Κυκλώµατα Επιµέλεια διαφανειών: Χρ. Καβουσιανός Άθροιση + + + + a +b 2c+s + Κρατούµενο προηγούµενης βαθµίδας κρατούµενο άθροισµα Μεταφέρεται στην επόµενη βαθµίδα σηµαντικότητας

Διαβάστε περισσότερα

ΠΛΗΡΟΦΟΡΙΚΗ I. 4 η ΔΙΑΛΕΞΗ Αριθμητικά Συστήματα

ΠΛΗΡΟΦΟΡΙΚΗ I. 4 η ΔΙΑΛΕΞΗ Αριθμητικά Συστήματα ΣΧΟΛΗ ΔΙΟΙΚΗΣΗΣ ΚΑΙ ΟΙΚΟΝΟΜΙΑΣ - ΤΜΗΜΑ ΔΙΟΙΚΗΣΗΣ ΕΠΙΧΕΙΡΗΣΕΩΝ ΕΙΣΑΓΩΓΙΚΗ ΚΑΤΕΥΘΥΝΣΗ ΤΟΥΡΙΣΤΙΚΩΝ ΕΠΙΧΕΙΡΗΣΕΩΝ ΚΑΙ ΕΠΙΧΕΙΡΗΣΕΩΝ ΦΙΛΟΞΕΝΙΑΣ ΠΛΗΡΟΦΟΡΙΚΗ I 4 η ΔΙΑΛΕΞΗ Αριθμητικά Συστήματα ΧΑΣΑΝΗΣ ΒΑΣΙΛΕΙΟΣ

Διαβάστε περισσότερα

Αθροιστές. Ημιαθροιστής

Αθροιστές. Ημιαθροιστής Αθροιστές Η πιο βασική αριθμητική πράξη είναι η πρόσθεση. Για την πρόσθεση δύο δυαδικών ψηφίων υπάρχουν τέσσερις δυνατές περιπτώσεις: +=, +=, +=, +=. Οι τρεις πρώτες πράξεις δημιουργούν ένα άθροισμα που

Διαβάστε περισσότερα

Λογική Σχεδίαση Ψηφιακών Συστημάτων

Λογική Σχεδίαση Ψηφιακών Συστημάτων Πανεπιστήμιο Θεσσαλίας Τμήμα Πληροφορικής Λογική Σχεδίαση Ψηφιακών Συστημάτων Σταμούλης Γεώργιος georges@uth.gr Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Δυαδικοί Αριθμοί Η γενική αναπαράσταση ενός οποιουδήποτε

Διαβάστε περισσότερα

Δυαδικό Σύστημα Αρίθμησης

Δυαδικό Σύστημα Αρίθμησης Δυαδικό Σύστημα Αρίθμησης Το δυαδικό σύστημα αρίθμησης χρησιμοποιεί δύο ψηφία. Το 0 και το 1. Τα ψηφία ενός αριθμού στο δυαδικό σύστημα αρίθμησης αντιστοιχίζονται σε δυνάμεις του 2. Μονάδες, δυάδες, τετράδες,

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 5. Απλοποίηση με χάρτες Karnaugh

Ψηφιακά Συστήματα. 5. Απλοποίηση με χάρτες Karnaugh Ψηφιακά Συστήματα 5. Απλοποίηση με χάρτες Karnaugh Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd

Διαβάστε περισσότερα

K15 Ψηφιακή Λογική Σχεδίαση 6: Λογικές πύλες και λογικά κυκλώματα

K15 Ψηφιακή Λογική Σχεδίαση 6: Λογικές πύλες και λογικά κυκλώματα K15 Ψηφιακή Λογική Σχεδίαση 6: Λογικές πύλες και λογικά κυκλώματα Γιάννης Λιαπέρδος TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ Λογικές πύλες Περιεχόμενα 1 Λογικές πύλες

Διαβάστε περισσότερα

Συνδυαστικά Λογικά Κυκλώματα

Συνδυαστικά Λογικά Κυκλώματα Συνδυαστικά Λογικά Κυκλώματα Ένα συνδυαστικό λογικό κύκλωμα συντίθεται από λογικές πύλες, δέχεται εισόδους και παράγει μία ή περισσότερες εξόδους. Στα συνδυαστικά λογικά κυκλώματα οι έξοδοι σε κάθε χρονική

Διαβάστε περισσότερα

ΒΑΣΙΚΕΣ ΕΞEΙΔΙΚΕΥΣΕΙΣ ΣΕ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΚΑΙ ΔΙΚΤΥΑ ΥΠΟΛΟΓΙΣΤΩΝ

ΒΑΣΙΚΕΣ ΕΞEΙΔΙΚΕΥΣΕΙΣ ΣΕ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΚΑΙ ΔΙΚΤΥΑ ΥΠΟΛΟΓΙΣΤΩΝ Μεταπτυχιακή Εξειδίκευση στα Πληροφοριακά Συστήματα Θεματική Ενότητα ΠΛΣ-5 ΒΑΣΙΚΕΣ ΕΞEΙΔΙΚΕΥΣΕΙΣ ΣΕ ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΚΑΙ ΔΙΚΤΥΑ ΥΠΟΛΟΓΙΣΤΩΝ - ΣΗΜΕΙΩΣΕΙΣ ΔΙΔΑΣΚΑΛΙΑΣ - Δρ. Λάμπρος Μπισδούνης Σύμβουλος Καθηγητής

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων

Ψηφιακά Συστήματα. 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων Ψηφιακά Συστήματα 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 3

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 3 ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 3 ΑΠΛΟΠΟΙΗΣΗ και ΥΛΟΠΟΙΗΣΗ ΛΟΓΙΚΩΝ ΣΥΝΑΡΤΗΣΕΩΝ Σκοπός: Η κατανόηση της σχέσης µιας λογικής συνάρτησης µε το αντίστοιχο κύκλωµα. Η απλοποίηση λογικών συναρτήσεων

Διαβάστε περισσότερα

1. ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΩΝ. α i. (α i β i ) (1.3) όπου: η= το πλήθος ακεραίων ψηφίων του αριθμού Ν. n-1

1. ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΩΝ. α i. (α i β i ) (1.3) όπου: η= το πλήθος ακεραίων ψηφίων του αριθμού Ν. n-1 1. ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΩΝ 1.1 Εισαγωγή Το δεκαδικό σύστημα (Decimal System) αρίθμησης χρησιμοποιείται από τον άνθρωπο και είναι κατάλληλο βέβαια γι αυτόν, είναι όμως εντελώς ακατάλληλο για τις ηλεκτρονικές

Διαβάστε περισσότερα

1 η Θεµατική Ενότητα : Δυαδικά Συστήµατα

1 η Θεµατική Ενότητα : Δυαδικά Συστήµατα 1 η Θεµατική Ενότητα : Δυαδικά Συστήµατα Δεκαδικοί Αριθµοί Βάση : 10 Ψηφία : 0, 1, 2, 3, 4, 5, 6, 7, 8, 9 Αριθµοί: Συντελεστές Χ δυνάµεις του 10 7392.25 = 7x10 3 + 3x10 2 + 9x10 1 + 2x10 0 + 2x10-1 + 5x10-2

Διαβάστε περισσότερα

Ψηφιακοί Υπολογιστές

Ψηφιακοί Υπολογιστές 1 η Θεµατική Ενότητα : υαδικά Συστήµατα Ψηφιακοί Υπολογιστές Παλαιότερα οι υπολογιστές χρησιµοποιούνταν για αριθµητικούς υπολογισµούς Ψηφίο (digit) Ψηφιακοί Υπολογιστές Σήµατα (signals) : διακριτά στοιχεία

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφική Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφική Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφική Σχεδίαση Ενότητα 4: Υλοποίηση Κυκλωμάτων με πύλες NOT AND και NOR, περιττή συνάρτηση, συνάρτηση ισοτιμίας. Δρ. Μηνάς Δασυγένης @ieee.ormdasygg Εργαστήριο

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 4. Άλγεβρα Boole & Τεχνικές Σχεδίασης Λογικών Κυκλωμάτων

Ψηφιακά Συστήματα. 4. Άλγεβρα Boole & Τεχνικές Σχεδίασης Λογικών Κυκλωμάτων Ψηφιακά Συστήματα 4. Άλγεβρα Boole & Τεχνικές Σχεδίασης Λογικών Κυκλωμάτων Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016.

Διαβάστε περισσότερα

Ψηφιακή Λογική και Σχεδίαση

Ψηφιακή Λογική και Σχεδίαση Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 26-7 Ψηφιακή Λογική και Σχεδίαση (σχεδίαση συνδυαστικών κυκλωμάτων) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Το τρανζίστορ

Διαβάστε περισσότερα

σύνθεση και απλοποίησή τους θεωρήµατα της άλγεβρας Boole, αξιώµατα του Huntington, κλπ.

σύνθεση και απλοποίησή τους θεωρήµατα της άλγεβρας Boole, αξιώµατα του Huntington, κλπ. Εισαγωγή Εργαστήριο 2 ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ Σκοπός του εργαστηρίου είναι να κατανοήσουµε τον τρόπο µε τον οποίο εκφράζεται η ψηφιακή λογική υλοποιώντας ασκήσεις απλά και σύνθετα λογικά κυκλώµατα (χρήση του

Διαβάστε περισσότερα

Ψηφιακά Κυκλώματα (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική

Ψηφιακά Κυκλώματα (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική Ψηφιακά Κυκλώματα ( ο μέρος) ΜΥΥ-6 Εισαγωγή στους Η/Υ και στην Πληροφορική Ψηφιακά κυκλώματα Οι δύο λογικές τιμές, αντιστοιχούν σε ηλεκτρικές τάσεις Υλοποιούνται με τρανζίστορ ή διόδους: ελεγχόμενοι διακόπτες

Διαβάστε περισσότερα

Γενικά Στοιχεία Ηλεκτρονικού Υπολογιστή

Γενικά Στοιχεία Ηλεκτρονικού Υπολογιστή Γενικά Στοιχεία Ηλεκτρονικού Υπολογιστή 1. Ηλεκτρονικός Υπολογιστής Ο Ηλεκτρονικός Υπολογιστής είναι μια συσκευή, μεγάλη ή μικρή, που επεξεργάζεται δεδομένα και εκτελεί την εργασία του σύμφωνα με τα παρακάτω

Διαβάστε περισσότερα

4.1 Θεωρητική εισαγωγή

4.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 4 ΥΑ ΙΚΟΣ ΑΘΡΟΙΣΤΗΣ-ΑΦΑΙΡΕΤΗΣ Σκοπός: Να µελετηθούν αριθµητικά κυκλώµατα δυαδικής πρόσθεσης και αφαίρεσης. Να σχεδιαστούν τα κυκλώµατα από τους πίνακες αληθείας

Διαβάστε περισσότερα

e-book ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΕΙΣ

e-book ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΕΙΣ e-book ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΕΙΣ 1. Να μετατρέψετε τον δεκαδικό 16.25 σε δυαδικό. 2. Να μετατρέψετε τον δεκαδικό 18.75 σε δυαδικό και τον δεκαδικό 268 σε δεκαεξαδικό. 3. Να βρεθεί η βάση εκείνου του αριθμητικού

Διαβάστε περισσότερα

Παράσταση αριθμών «κινητής υποδιαστολής» floating point

Παράσταση αριθμών «κινητής υποδιαστολής» floating point Παράσταση αριθμών «κινητής υποδιαστολής» floating point Με n bits μπορούμε να παραστήσουμε 2 n διαφορετικούς αριθμούς π.χ. με n=32 μπορούμε να παραστήσουμε τους αριθμούς από έως 2 32 -= 4,294,967,295 4

Διαβάστε περισσότερα

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

i Το τρανζίστορ αυτό είναι τύπου NMOS. Υπάρχει και το συμπληρωματικό PMOS. ; Τι συμβαίνει στο τρανζίστορ PMOS; Το τρανζίστορ MOS(FET)

i Το τρανζίστορ αυτό είναι τύπου NMOS. Υπάρχει και το συμπληρωματικό PMOS. ; Τι συμβαίνει στο τρανζίστορ PMOS; Το τρανζίστορ MOS(FET) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 25-6 Το τρανζίστορ MOS(FET) πύλη (gate) Ψηφιακή και Σχεδίαση πηγή (source) καταβόθρα (drai) (σχεδίαση συνδυαστικών κυκλωμάτων) http://di.ioio.gr/~mistral/tp/comparch/

Διαβάστε περισσότερα

5. ΤΕΧΝΙΚΕΣ ΑΠΛΟΠΟΙΗΣΗΣ

5. ΤΕΧΝΙΚΕΣ ΑΠΛΟΠΟΙΗΣΗΣ . ΤΕΧΝΙΚΕΣ ΑΠΛΟΠΟΙΗΣΗΣ. ΑΠΛΟΠΟΙΗΣΗ ΜΕ ΧΑΡΤΗ ΚΑΡΝΩ (Karnaugh).. Εισαγωγή Οι λογικές συναρτήσεις που προκύπτουν από τη λύση ενός πρακτικού προβλήματος δεν είναι πάντα στην απλούστερη μορφή τους. Μπορεί και

Διαβάστε περισσότερα

2. ΛΟΓΙΚΕΣ ΠΥΛΕΣ. e-book ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ ΑΣΗΜΑΚΗΣ-ΒΟΥΡΒΟΥΛΑΚΗΣ- ΚΑΚΑΡΟΥΝΤΑΣ-ΛΕΛΙΓΚΟΥ 1

2. ΛΟΓΙΚΕΣ ΠΥΛΕΣ. e-book ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ ΑΣΗΜΑΚΗΣ-ΒΟΥΡΒΟΥΛΑΚΗΣ- ΚΑΚΑΡΟΥΝΤΑΣ-ΛΕΛΙΓΚΟΥ 1 2. ΛΟΓΙΚΕΣ ΠΥΛΕΣ e-book ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ ΑΣΗΜΑΚΗΣ-ΒΟΥΡΒΟΥΛΑΚΗΣ- ΚΑΚΑΡΟΥΝΤΑΣ-ΛΕΛΙΓΚΟΥ 1 ΟΙ ΛΟΓΙΚΕΣ ΠΥΛΕΣ NOT, AND ΚΑΙ OR Οι βασικές πράξεις της Άλγεβρας Boole είναι οι πράξεις NOT, ANDκαι OR. Στα ψηφιακά

Διαβάστε περισσότερα

5. ΤΕΧΝΙΚΕΣ ΑΠΛΟΠΟΙΗΣΗΣ

5. ΤΕΧΝΙΚΕΣ ΑΠΛΟΠΟΙΗΣΗΣ . ΤΕΧΝΙΚΕΣ ΑΠΛΟΠΟΙΗΣΗΣ. ΑΠΛΟΠΟΙΗΣΗ ΜΕ ΧΑΡΤΗ ΚΑΡΝΩ (Karnaugh).. Εισαγωγή Οι λογικές συναρτήσεις που προκύπτουν από τη λύση ενός πρακτικού προβλήματος δεν είναι πάντα στην απλούστερη μορφή τους. Μπορεί και

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΠΡΟΓΡΑΜΜΑ ΣΠΟΥΔΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΘΕΜΑΤΙΚΗ ΕΝΟΤΗΤΑ: ΠΛΗ-21

ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΠΡΟΓΡΑΜΜΑ ΣΠΟΥΔΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΘΕΜΑΤΙΚΗ ΕΝΟΤΗΤΑ: ΠΛΗ-21 ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΚΑΙ ΤΕΧΝΟΛΟΓΙΑΣ ΠΡΟΓΡΑΜΜΑ ΣΠΟΥΔΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ ΘΕΜΑΤΙΚΗ ΕΝΟΤΗΤΑ: ΠΛΗ-2 ΨΗΦΙΑΚΗ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΕΙΙΣ ΓΡΑΠΤΩΝ ΕΡΓΑΣΙΙΩΝ & ΘΕΜΑΤΩΝ ΕΞΕΤΑΣΕΩΝ ΣΥΝΤΕΛΕΣΤΕΣ

Διαβάστε περισσότερα

4. ΝΟΜΟΙ ΔΥΑΔΙΚΗΣ ΑΛΓΕΒΡΑΣ

4. ΝΟΜΟΙ ΔΥΑΔΙΚΗΣ ΑΛΓΕΒΡΑΣ 4. ΝΟΜΟΙ ΔΥΔΙΚΗΣ ΛΓΕΡΣ 4.1 ασικές έννοιες Εισαγωγή Η δυαδική άλγεβρα ή άλγεβρα oole θεμελιώθηκε από τον Άγγλο μαθηματικό George oole. Είναι μία "Λογική Άλγεβρα" για τη σχεδίαση κυκλωμάτων διακοπτών. Η

Διαβάστε περισσότερα

ΑΣΠΑΙΤΕ Εργαστήριο Ψηφιακών Συστημάτων & Μικροϋπολογιστών Εργαστηριακές Ασκήσεις για το μάθημα «Λογική Σχεδίαση» ΑΣΚΗΣΗ 3 ΠΙΝΑΚΕΣ KARNAUGH

ΑΣΠΑΙΤΕ Εργαστήριο Ψηφιακών Συστημάτων & Μικροϋπολογιστών Εργαστηριακές Ασκήσεις για το μάθημα «Λογική Σχεδίαση» ΑΣΚΗΣΗ 3 ΠΙΝΑΚΕΣ KARNAUGH ΑΣΚΗΣΗ 3 ΠΙΝΑΚΕΣ KARNAUGH 3.1 ΣΚΟΠΟΣ Η κατανόηση της απλοποίησης λογικών συναρτήσεων με χρήση της Άλγεβρας Boole και με χρήση των Πινάκων Karnaugh (Karnaugh maps). 3.2 ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ 3.2.1 ΑΠΛΟΠΟΙΗΣΗ

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 12: Σύνοψη Θεμάτων Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http://arch.icte.uowm.gr/mdasyg

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΛΑΧΙΣΤΟΠΟΙΗΣΗ ΛΟΓΙΚΩΝ ΣΥΝΑΡΤΗΣΕΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ VERILOG 2017, Δρ. Ηρακλής Σπηλιώτης Ελαχιστοποίηση λογικών συναρτήσεων Ο στόχος της ελαχιστοποίησης είναι η εύρεση της πιο απλοποιημένης

Διαβάστε περισσότερα

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία ΗΜΥ 100 Εισαγωγή στην Τεχνολογία Στέλιος Τιμοθέου ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΑ ΘΕΜΑΤΑ ΜΑΣ ΣΗΜΕΡΑ Συστήματα αρίθμησης Δυαδικό αριθμητικό

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ Κ. Δεμέστιχας Εργαστήριο Πληροφορικής Γεωπονικό Πανεπιστήμιο Αθηνών Επικοινωνία μέσω e-mail: cdemest@aua.gr, cdemest@cn.ntua.gr 1 5. ΑΛΓΕΒΡΑ BOOLE ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕΡΟΣ Β 2 Επαναληπτική

Διαβάστε περισσότερα

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A].

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A]. Κανονική μορφή συνάρτησης λογικής 5. Η κανονική μορφή μιας λογικής συνάρτησης (ΛΣ) ως άθροισμα ελαχιστόρων, από τον πίνακα αληθείας προκύπτει ως εξής: ) Παράγουμε ένα [A] όρων από την κάθε σειρά για την

Διαβάστε περισσότερα

Εισαγωγή στην Πληροφορική & τον Προγραμματισμό

Εισαγωγή στην Πληροφορική & τον Προγραμματισμό ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Εισαγωγή στην Πληροφορική & τον Προγραμματισμό Ενότητα 3 η : Κωδικοποίηση & Παράσταση Δεδομένων Ι. Ψαρομήλιγκος Χ. Κυτάγιας Τμήμα

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

ΓΡΑΠΤΕΣ ΠΡΟΑΓΩΓΙΚΕΣ ΕΞΕΤΑΣΕΙΣ ΜΑΪΟΥ/ΙΟΥΝΙΟΥ 2014

ΓΡΑΠΤΕΣ ΠΡΟΑΓΩΓΙΚΕΣ ΕΞΕΤΑΣΕΙΣ ΜΑΪΟΥ/ΙΟΥΝΙΟΥ 2014 ΤΕΧΝΙΚΗ ΣΧΟΛΗ ΜΑΚΑΡΙΟΣ Γ ΣΧΟΛΙΚΗ ΧΡΟΝΙΑ: 2013 2014 ΓΡΑΠΤΕΣ ΠΡΟΑΓΩΓΙΚΕΣ ΕΞΕΤΑΣΕΙΣ ΜΑΪΟΥ/ΙΟΥΝΙΟΥ 2014 Κατεύθυνση: Θεωρητική Μάθημα: Ψηφιακά Ηλεκτρονικά Τάξη: Β Αρ. Μαθητών: 8 Κλάδος: Ηλεκτρολογία Ημερομηνία:

Διαβάστε περισσότερα

ΑΚΑΔΗΜΙΑ ΕΜΠΟΡΙΚΟΥ ΝΑΥΤΙΚΟΥ ΜΑΚΕΔΟΝΙΑΣ ΣΧΟΛΗ ΜΗΧΑΝΙΚΩΝ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΘΕΜΑ : TEΣT ΑΞΙΟΛΟΓΗΣΗΣ ΓΝΩΣΕΩΝ ΣΤΑ ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ

ΑΚΑΔΗΜΙΑ ΕΜΠΟΡΙΚΟΥ ΝΑΥΤΙΚΟΥ ΜΑΚΕΔΟΝΙΑΣ ΣΧΟΛΗ ΜΗΧΑΝΙΚΩΝ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΘΕΜΑ : TEΣT ΑΞΙΟΛΟΓΗΣΗΣ ΓΝΩΣΕΩΝ ΣΤΑ ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ ΑΚΑΔΗΜΙΑ ΕΜΠΟΡΙΚΟΥ ΝΑΥΤΙΚΟΥ ΜΑΚΕΔΟΝΙΑΣ ΣΧΟΛΗ ΜΗΧΑΝΙΚΩΝ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΘΕΜΑ : TEΣT ΑΞΙΟΛΟΓΗΣΗΣ ΓΝΩΣΕΩΝ ΣΤΑ ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ ΣΠΟΥΔΑΣΤΗΣ : Λιασένκο Ρομάν ΕΠΙΒΛΕΠΟΥΣΑ ΚΑΘΗΓΗΤΡΙΑ : Τόλιου Κατερίνα NEA

Διαβάστε περισσότερα

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ Τµήµα Ηλεκτρολόγων Μηχανικών Εργαστήριο Ενσύρµατης Τηλεπικοινωνίας ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ ιδάσκων: Καθηγητής Ν. Φακωτάκης Τµήµα Ηλεκτρολόγων Μηχανικών Εργαστήριο Ενσύρµατης Τηλεπικοινωνίας ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ

Διαβάστε περισσότερα

K15 Ψηφιακή Λογική Σχεδίαση 4+5: Άλγεβρα Boole

K15 Ψηφιακή Λογική Σχεδίαση 4+5: Άλγεβρα Boole K15 Ψηφιακή Λογική Σχεδίαση 4+5: Άλγεβρα Boole Γιάννης Λιαπέρδος TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ Ορισμός της δίτιμης άλγεβρας Boole Περιεχόμενα 1 Ορισμός της

Διαβάστε περισσότερα

Συνδυαστικά Κυκλώματα

Συνδυαστικά Κυκλώματα 3 Συνδυαστικά Κυκλώματα 3.1. ΣΥΝΔΥΑΣΤΙΚΗ Λ ΟΓΙΚΗ Συνδυαστικά κυκλώματα ονομάζονται τα ψηφιακά κυκλώματα των οποίων οι τιμές της εξόδου ή των εξόδων τους διαμορφώνονται αποκλειστικά, οποιαδήποτε στιγμή,

Διαβάστε περισσότερα

Πράξεις με δυαδικούς αριθμούς

Πράξεις με δυαδικούς αριθμούς Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 25-6 Πράξεις με δυαδικούς αριθμούς (αριθμητικές πράξεις) http://di.ionio.gr/~mistral/tp/csintro/ Μ.Στεφανιδάκης Πράξεις με δυαδικούς

Διαβάστε περισσότερα

Κ15 Ψηφιακή Λογική Σχεδίαση 2: Δυαδικό Σύστημα / Αναπαραστάσεις

Κ15 Ψηφιακή Λογική Σχεδίαση 2: Δυαδικό Σύστημα / Αναπαραστάσεις Κ15 Ψηφιακή Λογική Σχεδίαση 2: Δυαδικό Σύστημα / Αναπαραστάσεις Γιάννης Λιαπέρδος TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ Δυαδικό Σύστημα Αρίθμησης Περιεχόμενα 1 Δυαδικό

Διαβάστε περισσότερα

K15 Ψηφιακή Λογική Σχεδίαση 7-8: Ανάλυση και σύνθεση συνδυαστικών λογικών κυκλωμάτων

K15 Ψηφιακή Λογική Σχεδίαση 7-8: Ανάλυση και σύνθεση συνδυαστικών λογικών κυκλωμάτων K15 Ψηφιακή Λογική Σχεδίαση 7-8: Ανάλυση και σύνθεση συνδυαστικών λογικών κυκλωμάτων Γιάννης Λιαπέρδος TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ Η έννοια του συνδυαστικού

Διαβάστε περισσότερα

Εισαγωγή στα Ψηφιακά Συστήματα

Εισαγωγή στα Ψηφιακά Συστήματα Εισαγωγή στα Ψηφιακά Συστήματα Ασημόπουλος Νικόλαος Πατουλίδης Γεώργιος Παλιανόπουλος Ιωάννης Τμήμα Ηλεκτρολόγων Μηχανικών ΤΕ Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης Creative

Διαβάστε περισσότερα

Σ ή. : υαδικά. Ε ό. ή Ενότητα

Σ ή. : υαδικά. Ε ό. ή Ενότητα 1η Θεµατική Θ ή Ενότητα Ε ό : υαδικά δ ά Συστήµατα Σ ή Μονάδα Ελέγχου Ψηφιακοί Υπολογιστές Αριθµητική Μονάδα Κρυφή Μνήµη Μονάδα Μνήµης ιαχείριση Μονάδων Ι/Ο ίσκοι Οθόνες ικτυακές Μονάδες Πληκτρολόγιο,

Διαβάστε περισσότερα