Ολοκλήρωση Σχεδίασης µε CAD-tools. (Back-End) Χρ. Καβουσιανός

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Ολοκλήρωση Σχεδίασης µε CAD-tools. (Back-End) Χρ. Καβουσιανός"

Transcript

1 Ολοκλήρωση Σχεδίασης µε CAD-tools (Back-End) Χρ. Καβουσιανός

2 Σχεδίαση Εξοµοίωση συµπεριφοράς αποτυχία Σύνθεση - Βελτιστοποίηση οηση netlist Εξοµοίωση δοµής αποτυχία Front End Front End: Στόχος: η δηµιουργία του netlist που υλοποιεί το κύκλωµα που επιθυµούµε. Το netlist είναι µία λίστα διασυνδεδεµένων λογικών κυττάρων. Οι διασυνδέσεις δεν είναι πραγµατικές αλλά λογικές (περιγράφουν πως θα διασυνδεθούν τα κύτταρα). Η φυσική διασύνδεση τους ακολουθεί την τοποθέτηση τους στην επιφάνεια του ολοκληρωµένου. Ολοκλήρωση Σχεδίασης 2

3 Back End p1 p2 p3 p4 p5 (1) netlist (2) διαµέριση p1 p2 p3 p5 p4 (3) χωροθέτηση (4) τοποθέτηση (5) ιασύνδεση Ολοκλήρωση Σχεδίασης 3

4 Back End ιαµέριση του δικτύου (system partitioning) : ιαιρούµε την σχεδίαση σε µικρότερα τµήµατα (οµάδες διαµέρισης). Απλοποιούµε ένα πρόβληµα, διαιρώντας το σε υποπροβλήµατα. Στόχος 1: ελαχιστοποίηση των διασυνδέσεων µεταξύ των οµάδων διαµέρισης. Στόχος ό 2: διατήρηση του µεγέθους κάθε οµάδας διαµέρισης κάτω από ένα προκαθορισµένο όριο p1 p2 p3 p4 p5 (1) netlist (2) διαµέριση Ολοκλήρωση Σχεδίασης 4

5 Back End Χωροθέτηση (Floorplanning) : Τοποθετούµε τις οµάδες διαµέρισης στον χώρο του ολοκληρωµένου. Τοποθέτηση οµάδων µε πολλές διασυνδέσεις µεταξύ τους, σε κοντινά σηµεία στο ολοκληρωµένο, για ελαχιστοποίηση µήκους διασυνδέσεων. Τοποθέτηση (Placement) : Καθορίζει την θέση των λογικών κυττάρων στον χώρο της διαµέρισης. Εξαρτάται από την αρχιτεκτονική: (α) Σε gate arrays και standard cells υπάρχουν οριζόντιες διατάξεις γραµµών, (β) Στα FPGAs, οι θέσεις είναι προκαθορισµένες. Στόχος: ελαχιστοποίηση διασυνδέσεων που θα γίνουν σε επόµενο στάδιο. Ολοκλήρωση Σχεδίασης 5

6 Back End ιασύνδεση (Routing) : Πραγµατοποιούνται οι διασυνδέσεις ανάµεσα στα κύτταρα. Αρχικά αποφασίζεται από πού θα περάσουν οι διασυνδέσεις (global routing). Κατόπιν αποφασίζεται η ακριβής πορεία των διασυνδέσεων (local routing). Στόχος είναι η ελαχιστοποίηση της συνολικής επιφάνειας διασυνδέσεων και του µήκους της κάθε διασύνδεσης. Αυτοµατοποίηση από εργαλεία σχεδίασης Προβλήµατα NPcomplete Χρήση ευριστικών αλγορίθµων Ολοκλήρωση Σχεδίασης 6

7 Τεχνικές ιαµέρισης ιαµέριση Ολοκληρωµένου σε τµήµατα Συστήµατος σε Ολοκληρωµένα Στόχοι: Το κάθε τµήµα ή ολοκληρωµένο δεν πρέπει να ξεπερνάει κάποιο µέγιστο µέγεθος. Ο αριθµός των τµηµάτων ή ολοκληρωµένων πρέπει να είναι µικρότερος από ένα προκαθορισµένο όριο. Ο αριθµός των διασυνδέσεων για κάθε τµήµα ή ολοκληρωµένο πρέπει να είναι µικρότερος από κάποιο προκαθορισµένο όριο. Ο συνολικός αριθµός διασυνδέσεων ανάµεσα σε όλα τα τµήµατα ή ολοκληρωµένα πρέπει να είναι µικρότερος από ένα προκαθορισµένο όριο Ολοκλήρωση Σχεδίασης 7

8 Τεχνικές ιαµέρισης Τέτοια προβλήµατα αντιµετωπίζονται µε την θεωρία γράφων B 1 B 4 B 5 B 1 B 4 B 5 B 2 B 3 B 6 B 2 B 3 B 6 Οι κορυφές του γράφου αντιστοιχίζονται στα τµήµατα. Οι ακµές που διασυνδέουν δύο κορυφές αντιστοιχούν σε διασυνδέσεις των αντίστοιχων τµηµάτων. Η διαµέριση του αρχικού δικτύου τµηµάτων, ισοδυναµεί µε την διαµέριση του αντίστοιχου γράφου. Ολοκλήρωση Σχεδίασης 8

9 Τεχνικές ιαµέρισης Παράδειγµα: διαµέριση σε τρεις οµάδες για ελαχιστοποίηση εξωτερικών διασυνδέσεων κάθε οµάδας διαµέρισης, και συνολικού αριθµού διασυνδέσεων ανάµεσα σε όλες τις οµάδες. A B C A B D G E H D E F 1 2 C F G H I I 3 Οι περιορισµοί ικανοποιούνται. Ολοκλήρωση Σχεδίασης 9

10 Αλγόριθµοι ιαµέρισης Constructive Partitioning Οι αλγόριθµοι αυτής της κατηγορίας επιλέγουν ένα προς ένα τα τµήµατα και τα προσθέτουν στις οµάδες διαµέρισης 1. Επιλέγεται κάποιο τµήµα και ξεκινάει µία νέα οµάδα. 2. Από τα τµήµατα που δεν έχουν ακόµη προστεθεί σε κάποια οµάδα επιλέγεται εκείνο που µεγιστοποιεί µία συνάρτηση κέρδους. 3. Επαναλαµβάνουµε το βήµα 2, έως ότου η οµάδα δεν µπορεί να δεχθεί άλλα τµήµατα (παραβίαση περιορισµών). 4. Μεταφορά στο βήµα 1, εάν υπάρχουν τµήµατα που δεν έχουν ανατεθεί. Κρίσιµα σηµεία 1. Η επιλογή της συνάρτησης κέρδους (πχ. αριθµός συνδέσεων υποψήφιου τµήµατος και οµάδας που θα το δεχτεί) 2. Η επιλογή του πρώτου τµήµατος που τοποθετείται στην κάθε οµάδα (πχ. τµήµα µε τις περισσότερες διασυνδέσεις) Ολοκλήρωση Σχεδίασης 10

11 Αλγόριθµοι ιαµέρισης Iterative Improvement Οι αλγόριθµοι αυτοί ξεκινούν έχοντας δεδοµένη µία καλή αρχική διαµέριση σε οµάδες, την οποία προσπαθούν σταδιακά να βελτιώσουν. Η βελτίωση επιτυγχάνεται µε εναλλαγή τµηµάτων ανάµεσα στις υπάρχουσες οµάδες, µ ζευγαριών,, ή οµάδων µ (group migration). Μία εναλλαγή που βελτιώνει την υπάρχουσα διαµέριση γίνεται αποδεκτή, αλλιώς απορρίπτεται. Η εναλλαγή ενός µόνο ζεύγους κάθε φορά εγκλωβίζει την αναζήτηση διαµέρισης σε κάποια τοπικά βέλτιστη λύση (greedy) καθώς αναζητείται το καλύτερο ζεύγος κάθε φορά χωρίς εκτίµηση του µέλλοντος. Κάποια εναλλαγή ζεύγους, όχι τόσο καλή για εκείνη την χρονική στιγµή, µπορεί παρακάτω να δώσει καλύτερα αποτελέσµατα. Ολοκλήρωση Σχεδίασης 11

12 Iterative Improvement Το πρόβληµα απληστίας προσπαθεί να λύσει η εναλλαγή ολόκληρων οµάδων. Αλγόριθµος Kernighan-Lin: Yπολογίζει το κέρδος από την εναλλαγή δύο τµηµάτων ανάµεσα σε δύο οµάδες µ διαµέρισης µ 1,, 2. εν σταµατά όταν µία εναλλαγή έχει αρνητικό κόστος. Αντίθετα προχωράει κάνοντας επιπλέον εναλλαγές. Στόχος είναι το τελικό σχήµα να έχει µέγιστο κέρδος. Ολοκλήρωση Σχεδίασης 12

13 Iterative Improvement Βήµατα Kernighan-Lin : 1. Επιλέγει τα δύο τµήµατα α 1 και β 2, µε µέγιστο κέρδος εναλλαγής (ακόµη και αν είναι αρνητικό). 2. Εκτελείται προσωρινά η εναλλαγή α 2 και β 1 και τα α, β µαρκάρονται έτσι ώστε να µην εναλλαχθούν ξανά. 3. Επαναλαµβάνονται τα βήµατα 1, 2 έως ότου έχουν εναλλαχθεί όλα τα τµήµατα του 1 µε αυτά του 2. Τότε έχουµε ταξινοµηµένα ζεύγη εναλλαγών µε βάση το κέρδος τους (όπως επιλέχθηκαν παραπάνω). 4. Επιλέγουµε τις n πρώτες εναλλαγές που µεγιστοποιούν αθροιστικά το συνολικό κέρδος. Μειονέκτηµα: ανάµεσα σε δύο διαφορετικές εναλλαγές που έχουν το ίδιο κέρδος δεν µπορεί να αποφασίσει ποια από τις δύο να επιλέξει Αλγόριθµος look-ahead: εκτιµάει µακροπρόθεσµα την καλύτερη επιλογή Ολοκλήρωση Σχεδίασης 13

14 Iterative Improvement Ελαχιστοποίηση ιασυνδέσεων Ολοκλήρωση Σχεδίασης 14

15 Iterative Improvement Επιτυγχάνει το ολικό βέλτιστο, αφού πρώτα περάσει από τοπικό ελάχιστο που η απληστία θα απέρριπτε Ολοκλήρωση Σχεδίασης 15

16 Χωροθέτηση Στόχοι: Τοποθέτηση των οµάδων διαµέρισης στην επιφάνεια του ολοκληρωµένου. Τοποθέτηση των Pads εισόδου/εξόδου καθώς και τροφοδοσίας / γείωσης. ιαµοίραση σήµατος ρολογιού. Η καθυστέρηση των διασυνδέσεων που θα προκύψουν επηρεάζει την χωροθέτηση. Εκτιµούµε µήκος και χωρητικότητα διασυνδέσεων µε στατιστικές µεθόδους. Γίνεται βελτιστοποίηση του κυκλώµατος µεταβάλλοντας την χωροθέτηση του. Ολοκλήρωση Σχεδίασης 16

17 A B Χωροθέτηση A C C E D D B F Με την εναλλαγή των τµηµάτων B, D το κύκλωµα βελτιστοποιείται. Ολοκλήρωση Σχεδίασης 17 E F

18 Χωροθέτηση τύπου Τ Α A Μεταβλητή απόσταση C C Β B (α) Οι διασυνδέσεις πρέπει να γίνονται µε ορισµένη σειρά, έτσι ώστε να διευκολύνουν την διασύνδεση των τµηµάτων. (β) Στις διασυνδέσεις τύπου Τ η σειρά είναι η (β) και µας διευκολύνει. Ποια πρέπει να είναι η δοµή ενός κυκλώµατος ώστε οι διασυνδέσεις να είναι τύπου Τ? Ολοκλήρωση Σχεδίασης 18 Σταθερή απόσταση

19 οµή Slicing C B B D C B D C D A A E H F G A E H F G E H F G Γραµµή κοπής C B B D C B D C D A A A E E E H H H F G F G F G Η δοµή slicing εγγυάται διασυνδέσεις τύπου Τ. Οι διασυνδέσεις γίνονται µε αντίστροφη σειρά από την σειρά κοπής. Ολοκλήρωση Σχεδίασης 19

20 Pads Τα pads διασυνδέουν το die µε τα pins του ολοκληρωµένου, µετά την τοποθέτηση του στο περίβληµα. Η αύξηση του αριθµού pins-pads ανά ολοκληρωµένο δεν ακολούθησε την αύξηση του αριθµού transistor ανά ολοκληρωµένο. Ο περιορισµένος αριθµός pins-pads θέτει περιορισµό στην διασύνδεση ολοκληρωµένων. Τα pads τοποθετούνται γύρω από τον πυρήνα του ολοκληρωµένου, µε δύο κατευθύνσεις: (α) κάθετα στην ακµή του και β) παράλληλα στην ακµή του. Κάθετα: θεωρούµε ότι ο πυρήνας είναι µικρός, οπότε µπορούν να χωρέσουν σε αυτήν την κατεύθυνση πολλά pads. Παράλληλα: ο πυρήνας είναι µεγάλος οπότε πρέπει να τοποθετηθούν τα pads οριζόντια, άρα χωράνε λίγα pads. Ολοκλήρωση Σχεδίασης 20

21 Pads Pad Vdd Pad Vss Core Core Pads Core Vdd Core Vss (A) (B) Υπάρχουν ειδικές γραµµές για την τροφοδοσία και την γείωση οι οποίες σχηµατίζουν δύο δακτυλίδια, ένα για διανοµή τους στα pads, και ένα για διανοµή τους στο core. Ολοκλήρωση Σχεδίασης 21

22 Τοποθέτηση Στόχοι: Να χωρέσουν τα κύτταρα στον δεδοµένο χώρο του τµήµατος. Να διευκολύνουν το επόµενο βήµα που είναι η διασύνδεση τους. Στις περισσότερες αρχιτεκτονικές, τα κύτταρα τοποθετούνται σε γραµµές το ένα δίπλα στο άλλο, µε κανάλια διασύνδεσης. Row 1 Interconnect Row 2 Space Row 3 Ολοκλήρωση Σχεδίασης 22

23 Τοποθέτηση Οι διασυνδέσεις που θα γίνουν µελλοντικά επηρεάζουν αυτό το βήµα, και τις µελετάµε από τώρα: Οι διασυνδέσεις µπορούν να είναι οριζόντιες και κάθετες σε πολλαπλά διαφορετικά επίπεδα µετάλλου. Όσο περισσότερα επίπεδα µετάλλου υπάρχουν, τόσο ευκολότερα θα γίνουν οι διασυνδέσεις. Οι διασυνδέσεις σε κάθε επίπεδο ακολουθούν οριζόντια ή κάθετη φορά, εναλλακτικά από επίπεδο σε επίπεδο. Εάν ένα επίπεδο µετάλλου δεν χρησιµοποιείται από τα κύτταρα, τότε οι διασυνδέσεις σε αυτό το επίπεδο µπορούν να περάσουν και πάνω από τα κύτταρα χωρίς να συναντήσουν εµπόδια. Ο αριθµός των οριζόντιων διασυνδέσεων που µπορούν να τοποθετηθούν σε ένα κανάλι διασυνδέσεων αποτελεί την χωρητικότητα του Ολοκλήρωση Σχεδίασης 23

24 Τοποθέτηση Αναλυτικοί στόχοι τοποθέτησης: Να εξασφαλίσει την δυνατότητα διασύνδεσης των λογικών κυττάρων. Να εξασφαλίσει την ελαχιστοποίηση των καθυστερήσεων των κρίσιµων σηµάτων. Να µεγιστοποιήσει την πυκνότητα τοποθέτησης του ολοκληρωµένου. Να ελαχιστοποιήσει την κατανάλωση και το cross-talk ανάµεσα σε σήµατα. Ελαχιστοποίηση των εκτιµώµενων διασυνδέσεων σε µήκος, ειδικά όσο αφορά τα κρίσιµα µονοπάτια. Ελαχιστοποίηση της συµφόρησης των διασυνδέσεων. Οι διασυνδέσεις δεν έχουν καθοριστεί σε αυτό το στάδιο, οπότε γίνεται εκτίµηση τους. Ολοκλήρωση Σχεδίασης 24

25 έντρα Steiner Για την εκτίµηση των διασυνδέσεων χρησιµοποιούνται τα δέντρα Steiner. 1 α 1 γ α γ β 2 β ιασύνδεση γραµµών έντρο Steiner Ξεκινάµε από κάποιο σηµείο βρίσκουµε µία διαδροµή για να καταλήξουµε σε κάποιο άλλο σηµείο. Για περισσότερα από δύο σηµεία επιλέγουµε την διαδροµή µε την ελάχιστη απόσταση ανάµεσα σε δύο από αυτά, ή την διαδροµή µε την ελάχιστη συνολική διανυόµενη απόσταση Ολοκλήρωση Σχεδίασης 25

26 έντρα Steiner Με τα δέντρα Steiner µπορούµε να πάρουµε αποφάσεις χρησιµοποιώντας µετρικές προσέγγισης απόστασης, µε σχετικά αξιόπιστα αποτελέσµατα: Μετρική πλήρους γράφου: αθροίζουµε τις αποστάσεις όλων των σηµείων µεταξύ τους και διαιρούµε µε το ήµισυ του αριθµού των σηµείων. Μετρική ηµιπεριµέτρου: βρίσκουµε το µικρότερο παραλληλόγραµµο που περικλείει όλα τα σηµεία, και υπολογίζουµε την ηµιπερίµετρο του. Βασικός στόχος της τοποθέτησης είναι να µειώσει ώ την συµφόρηση των διασυνδέσεων σε όλα τα σηµεία του ολοκληρωµένου. Γραµµή διαχωρισµού Ολοκλήρωση Σχεδίασης 26

27 έντρα Steiner Παράδειγµα µείωσης συµφόρησης µε επανατοποθέτηση: Συµφόρηση = Συµφόρηση = 4 Ολοκλήρωση Σχεδίασης 27

28 Αλγόριθµοι Τοποθέτησης Είδη Αλγορίθµων: Κατασκευαστικοί Σταδιακής Βελτίωσης Χρησιµοποιείται ένα σύνολο κανόνων για να τοποθετηθούν τα κύτταρα. Χρησιµοποιείται ένα Ξεκινάνε µε µία αρχική καλή (MinCut) Ξεκινάνε µε µία αρχική καλή τοποθέτηση την οποία βελτιώνουν χρησιµοποιώντας κάποια επαναληπτική διαδικασία Ολοκλήρωση Σχεδίασης 28

29 Αλγόριθµος MinCut Θεωρεί µια περιοχή µε λογικά κύτταρα τα οποία πρέπει να τοποθετηθούν έτσι ώστε να ελαχιστοποιηθεί το κόστος των διασυνδέσεων τους. Βήµατα: 1. ιαιρούµε την περιοχή σε δύο τµήµατα. 2. Εναλλάσσουµε λογικά κύτταρα ανάµεσα στα δύο τµήµατα, έτσι ώστε να ελαχιστοποιηθεί το κόστος των διασυνδέσεων µεταξύ των δύο περιοχών. 3. Για κάθε υποπεριοχή που προκύπτει επαναλαµβάνουµε τα βήµατα 1, 2 έως ότου κάθε περιοχή είναι ουσιαστικά ένα λογικό κύτταρο. Ολοκλήρωση Σχεδίασης 29

30 Αλγόριθµος MinCut Παράδειγµα A A B 1 B Αριθµός ιασυνδέσεων=8 Αριθµός ιασυνδέσεων=2 Η διαδικασία αυτή επαναλαµβάνεται για κάθε υποπεριοχή ξεχωριστά. Ολοκλήρωση Σχεδίασης 30

31 ιασύνδεση Η διασύνδεση γίνεται σε δύο φάσεις, την γενική και ειδική διασύνδεση: 1. Η γενική διασύνδεση αποφασίζει από πού θα περάσει µία διασύνδεση (κανάλια), χωρίς να αποσαφηνίζει ποια θα είναι ακριβώς. 2. Η ειδική διασύνδεση αποφασίζει πως θα φτιάξει ακριβώς τις διασυνδέσεις, λαµβάνοντας υπόψη και τις υπόλοιπες διασυνδέσεις που περνούν από τα κανάλια αυτά. Ο γενικός διασυνδετής, δέχεται το χωροθετηµένο σχεδιασµό και δίνει οδηγίες στον ειδικό διασυνδετή για να διεκπεραιώσει τις διασυνδέσεις. Στόχοι γενικής διασύνδεσης: Μείωση συνολικού µήκους διασυνδέσεων. Εγγύηση ότι ο ειδικός διασυνδετής θα ολοκληρώσει τις διασυνδέσεις. Ελαχιστοποίηση καθυστέρησης κρίσιµου µονοπατιού. Ολοκλήρωση Σχεδίασης 31

32 Μέθοδοι Γενικής ιασύνδεσης Μέθοδοι γενικής διασύνδεσης: Ακολουθιακή διασύνδεση Ιεραρχική διασύνδεση Ακολουθιακή διασύνδεση: Εξετάζει µία γραµµή κάθε φορά και υπολογίζει το µικρότερο µονοπάτι µε αλγόριθµους δέντρων και γράφων. Υπάρχουν περιορισµοί χρήσης διαθέσιµων µονοπατιών. Όσο εξελίσσεται η εκτέλεση του αλγορίθµου, σε κάποια κανάλια δηµιουργείται µεγαλύτερη συµφόρηση από άλλα. Οαλγόριθµος εξισορροπεί διάφορες απαιτήσεις διασυνδέσεων και περιορισµούς συµφόρησης καναλιών. Ολοκλήρωση Σχεδίασης 32

33 Μέθοδοι Γενικής ιασύνδεσης Μέθοδος ακολουθιακής διασύνδεσης Α : Ανάθεση διασυνδέσεων σε κανάλια ανεξαρτήτως συµφόρησης τους. Μετά την ανάθεση των διασυνδέσεων, εξετάζεται η συµφόρηση των καναλιών. Αποµακρύνονται συνδέσεις από κανάλια µε µεγάλη συµφόρηση και µεταφέρονται σε κανάλια µε µικρότερη συµφόρηση. Μέθοδος ακολουθιακής διασύνδεσης Β : Ανάθεση διασυνδέσεων µε βάση την συµφόρηση των καναλιών. Σε αυτή την περίπτωση η σειρά µε την οποία γίνεται η ανάθεση των διασυνδέσεων επηρεάζει το τελικό αποτέλεσµα. Ιεραρχική διασύνδεση Το ολοκληρωµένο διαιρείται σε επίπεδα ιεραρχίας και το πρόβληµα επιλύεται σταδιακά σε κάθε επίπεδο ξεχωριστά. Ολοκλήρωση Σχεδίασης 33

34 Μέθοδοι Γενικής ιασύνδεσης x x x Παράδειγµα Γενικής ιασύνδεσης x x Standard Cells: τα κανάλια µπορούν να αυξοµειωθούν εάν είναι απαραίτητο για να ολοκληρωθούν οι διασυνδέσεις Ηαρχιτεκτονική του ολοκληρωµένου καθορίζει την λειτουργία του διασυνδετή channeled gate arrays: τα κανάλια είναι σταθερά. Είµαστε υποχρεωµένοι να κάνουµε τις διασυνδέσεις σε αυτά. Ολοκλήρωση Σχεδίασης 34

35 Ειδική ιασύνδεση Η ειδική διασύνδεση ολοκληρώνει η διασύνδεση των κυττάρων µε στόχους: Ελαχιστοποίηση συνολικού µήκους διασυνδέσεων. Ελαχιστοποίηση συνολικής επιφάνειας διασυνδέσεων. Ελαχιστοποίηση αριθµού επιπέδων από τα οποία περνάει η κάθε διασύνδεση. Ελαχιστοποίηση της καθυστέρησης των κρίσιµων µονοπατιών. Υπάρχει πιθανότητα να αποτύχει η ειδική διασύνδεση οπότε πρέπει να επαναληφθεί όλη η διαδικασία back-end µε αυστηρότερους περιορισµούς. Ολοκλήρωση Σχεδίασης 35

36 Ειδική ιασύνδεση Τοπική Πυκνότητα: ο αριθµός των οριζόντιων διασυνδέσεων που τέµνουν µία νοητή κάθετη γραµµή σε κάποιο σηµείο. Πυκνότητα Καναλιού: ορίζουµε την µέγιστη τοπική πυκνότητα σε κάποιο σηµείο ενός καναλιού. Χωρητικότητα Καναλιού: µέγιστο αριθµό διασυνδέσεων που µπορεί να έχει ένα κανάλι. Υπόθεση: οι διασυνδέσεις γίνονται µόνο σε δύο επίπεδα, µε διαφορετική κατεύθυνση σε κάθε επίπεδο (οριζόντια/κάθετη). Η διασύνδεση δύο επιπέδων γίνεται µε χρήση vias. Αν η πυκνότητα ενός καναλιού είναι µεγαλύτερη από την χωρητικότητα του, τότε το κανάλι δεν µπορεί να χωρέσει όλες τις διασυνδέσεις. Ολοκλήρωση Σχεδίασης 36

37 ιασύνδεση 2 επιπέδων Θεωρήσεις: Κάθε γραµµή πρέπει να έχει µόνο ένα οριζόντιο τµήµα για την διασύνδεση της (το επίπεδο οριζόντιων διασυνδέσεων, µπορεί να χρησιµοποιηθεί µόνο µία φορά από κάθε γραµµή). θεωρούµε ότι οι κάθετες γραµµές διασύνδεσης βρίσκονται στο πρώτο επίπεδο µετάλλου, και οι οριζόντιες στο δεύτερο επίπεδο. Θεωρούµε ότι το κάθε επίπεδο είναι νοητά χωρισµένο σε αυλάκια από τα οποία θα περάσουν οι διασυνδέσεις Κάθε διασύνδεση µπορεί να χρησιµοποιήσει µόνο ένα τµήµα δευτέρου επιπέδου. Ελαχιστοποιείται ο αριθµός των vias µεταξύ επιπέδων (µείωση καθυστερήσεων των διασυνδέσεων). Ολοκλήρωση Σχεδίασης 37

38 ιασύνδεση 2 επιπέδων Ολοκλήρωση Σχεδίασης 38

39 Αλγόριθµος Left-Edge Αλγόριθµος Left-Edge: Ταξινόµηση των γραµµών µε βάση τα αριστερά όρια των οριζόντιων τµηµάτων. Στο πρώτο ελεύθερο αυλάκι αναθέτουµε την πρώτη γραµµή διασύνδεσης. Στο ίδιο αυλάκι αναθέτουµε µ την επόµενη µ γραµµή διασύνδεσης, της οποίας η αριστερή άκρη είναι δεξιότερα από την δεξιά άκρη της προηγούµενης γραµµής διασύνδεσης. Επαναλαµβάνουµε το 3, µέχρις ότου το αυλάκι δεν µπορεί να δεχθεί άλλες διασυνδέσεις. Επαναλαµβάνουµε τα βήµατα 2-3 για όλα τα αυλάκια του καναλιού. Πραγµατοποίηση διασυνδέσεων. Ολοκλήρωση Σχεδίασης 39

40 Αλγόριθµος Left-Edge a b d a c f g h f h g b c e c d b c e i g e i h a b c d e 2 f g h i 1 a f b g c h d i e a b d a c f g h f h g 3 b c e c d b c e i g e i h 4 Προσοχή χρειάζεται όταν οι αριστερές ή δεξιές άκρες δύο γραµµών εφάπτονται. Ολοκλήρωση Σχεδίασης 40

41 Αλγόριθµος Left-Edge a b a b b a b a Όταν και οι δύο άκρες των οριζόντιων τµηµάτων εφάπτονται, και πρέπει να διασυνδέσουν πάνω και κάτω κύτταρα, το πρόβληµα δεν έχει λύση. Υποχρεωτικά άρουµε τον περιορισµό χρήσης µόνο ενός οριζόντιου τµήµατος ανά γραµµή διασύνδεσης. Ολοκλήρωση Σχεδίασης 41

42 Ειδική ιασύνδεση Οι διασυνδετές µπορούν να αξιοποιήσουν µερικές ακόµη δυνατότητες: Όταν τα λογικά κύτταρα περιέχουν µέταλλο πρώτου επιπέδου µόνο, τότε διασυνδέσεις δεύτερου επιπέδου µπορούν να περάσουν και πάνω από τα λογικά κύτταρα. Κάποιες πολύ κοντές διασυνδέσεις µπορούν να χρησιµοποιήσουν και πολυσιλικόνη (προέκταση ρ ητης πύλης κάποιων transistor). ) Τα επιπλέον επίπεδα δίνουν µεγάλες δυνατότητες διασύνδεσης. Συνήθως σε κάθε επίπεδο επιλέγεται µία κατεύθυνση για όλες τις γραµµές του (Reserved routing). Ολοκλήρωση Σχεδίασης 42

43 ιασύνδεση Ρολογιού - Τροφοδοσίας Τα σήµατα ρολογιού και της τροφοδοσίας απαιτούν ειδική διαχείριση, καθώς είναι δύο σήµατα µε πολύ µεγάλες απαιτήσεις και πολλά προβλήµατα. Σήµα Ρολογιού Clock Skew Εξισορρόπηση καθυστερήσεων Σήµα Τροφοδοσίας Electromigration Εξισορρόπηση φορτίου Ολοκλήρωση Σχεδίασης 43

44 F ιασύνδεση Ρολογιού - Τροφοδοσίας Χρήση ικτύου Αποµονωτών.... Α Β PAD ρολογιού C D E Ολοκλήρωση Σχεδίασης 44 Α Β C D E F

45 Ολοκλήρωση Σχεδίασης Τα τελευταία βήµατα της σχεδίασης είναι τα ακόλουθα: Design Rule Check RC - extraction Simulation Mask Production Manufacturing Ολοκλήρωση Σχεδίασης 45

ιαµέριση - Partitioning

ιαµέριση - Partitioning ιαµέριση - Partitioning ιαµέριση ιαµέριση είναι η διαµοίραση αντικειµένων σε οµάδες µε στόχο την βελτιστοποίηση κάποιας συνάρτησης. Στην σύνθεση η διαµέριση χρησιµοποιείται ως εξής: Οµαδοποίηση µεταβλητών

Διαβάστε περισσότερα

Σύνθεση Data Path. ιασύνδεσης. Μονάδες. Αριθµό Μονάδων. Τύπο Μονάδων. Unit Selection Unit Binding. λειτουργιών σε. Μονάδες. Αντιστοίχιση µεταβλητών &

Σύνθεση Data Path. ιασύνδεσης. Μονάδες. Αριθµό Μονάδων. Τύπο Μονάδων. Unit Selection Unit Binding. λειτουργιών σε. Μονάδες. Αντιστοίχιση µεταβλητών & Data Path Allocation Σύνθεση Data Path Το DataPath είναι ένα netlist που αποτελείται από τρεις τύπους µονάδων: (α) Λειτουργικές Μονάδες, (β) Μονάδες Αποθήκευσης και (γ) Μονάδες ιασύνδεσης Αριθµό Μονάδων

Διαβάστε περισσότερα

ς Ποιότητα ξιολόγηση Α

ς Ποιότητα ξιολόγηση Α Αξιολόγηση Ποιότητας Μέτρα Αξιολόγησης Τα µέτρα αξιολόγησης είναι απαραίτητα κατά την διαδικασία της σύνθεσης. Τα ακριβή µέτρα καθορίζουν την ποιότητα του τελικού κυκλώµατος και εντοπίζουν προβλήµατα.

Διαβάστε περισσότερα

Πανεπιστήµιο Αιγαίου Τµήµα Μηχανικών Πληροφοριακών και Επικοινωνιακών Συστηµάτων. 3η Άσκηση Logical Effort - Ένα ολοκληρωµένο παράδειγµα σχεδίασης

Πανεπιστήµιο Αιγαίου Τµήµα Μηχανικών Πληροφοριακών και Επικοινωνιακών Συστηµάτων. 3η Άσκηση Logical Effort - Ένα ολοκληρωµένο παράδειγµα σχεδίασης Πανεπιστήµιο Αιγαίου Τµήµα Μηχανικών Πληροφοριακών και Επικοινωνιακών Συστηµάτων Εισαγωγή σε VLSI 3η Άσκηση Logical Effort - Ένα ολοκληρωµένο παράδειγµα σχεδίασης Μανόλης Καλλίγερος (kalliger@aegean.gr)

Διαβάστε περισσότερα

Τεχνικές βελτιστοποίησης µε σκοπό την επίτευξη χαµηλής κατανάλωσης ισχύος

Τεχνικές βελτιστοποίησης µε σκοπό την επίτευξη χαµηλής κατανάλωσης ισχύος Low power techniques Τεχνικές βελτιστοποίησης µε σκοπό την επίτευξη χαµηλής κατανάλωσης ισχύος Γενικά Τεχνικές βιοµηχανίας Μείωση χωρητικοτήτων chip και package Μέσω process development πολύ αποτελεσµατική

Διαβάστε περισσότερα

Αποκωδικοποιητές Μνημών

Αποκωδικοποιητές Μνημών Αποκωδικοποιητές Μνημών Φθινόπωρο 2008 Γιώργος Δημητρακόπουλος Τμήμα Επιστήμης Υπολογιστών Πανεπιστήμιο Κρήτης Γ. Δημητρακόπουλος ΗΥ422 1 Η χρήση των αποκωδικοποιητών Η δομή της μνήμης (για λόγους πυκνότητας)

Διαβάστε περισσότερα

Σχεδίαση µε CAD tools

Σχεδίαση µε CAD tools Σχεδίαση µε CAD tools Χρ. Καβουσιανός Επίκουρος Καθηγητής Εισαγωγή Σχεδίασης Σύγχρονες Απαιτήσεις Σχεδίασης Χρήση Αυτόµατων Εργαλείων (EDA) Εισαγωγή Σχεδίασης (design entry): Περιγραφή συστήµατος στην

Διαβάστε περισσότερα

Αλγόριθµοι Εκτίµησης Καθυστέρησης και

Αλγόριθµοι Εκτίµησης Καθυστέρησης και Αλγόριθµοι Εκτίµησης Καθυστέρησης και Βελτιστοποίησης Εισαγωγή Το κύριο πρόβληµα στην σχεδίαση κυκλωµάτων είναι η επίτευξη της µέγιστης απόδοσης για την δεδοµένη τεχνολογία. Μεγιστοποίηση απόδοσης: (α)

Διαβάστε περισσότερα

4.3. Γραµµικοί ταξινοµητές

4.3. Γραµµικοί ταξινοµητές Γραµµικοί ταξινοµητές Γραµµικός ταξινοµητής είναι ένα σύστηµα ταξινόµησης που χρησιµοποιεί γραµµικές διακριτικές συναρτήσεις Οι ταξινοµητές αυτοί αναπαρίστανται συχνά µε οµάδες κόµβων εντός των οποίων

Διαβάστε περισσότερα

Κεφάλαια Εντολές επανάληψης. Τρεις εντολές επανάληψης. Επιλογή εντολής επανάληψης ΟΣΟ...ΕΠΑΝΑΛΑΒΕ. Σύνταξη στη ΓΛΩΣΣΑ

Κεφάλαια Εντολές επανάληψης. Τρεις εντολές επανάληψης. Επιλογή εντολής επανάληψης ΟΣΟ...ΕΠΑΝΑΛΑΒΕ. Σύνταξη στη ΓΛΩΣΣΑ Εντολές επανάληψης Κεφάλαια 02-08 οµές Επανάληψης Επιτρέπουν την εκτέλεση εντολών περισσότερες από µία φορά Οι επαναλήψεις ελέγχονται πάντοτε από κάποια συνθήκη η οποία καθορίζει την έξοδο από το βρόχο

Διαβάστε περισσότερα

m 1 min f = x ij 0 (8.4) b j (8.5) a i = 1

m 1 min f = x ij 0 (8.4) b j (8.5) a i = 1 KΕΦΑΛΑΙΟ 8 Προβλήµατα Μεταφοράς και Ανάθεσης 8. ΕΙΣΑΓΩΓΗ Μια ειδική κατηγορία προβληµάτων γραµµικού προγραµµατισµού είναι τα προβλήµατα µεταφοράς (Π.Μ.), στα οποία επιζητείται η ελαχιστοποίηση του κόστους

Διαβάστε περισσότερα

inding B Binding -Library Cell

inding B Binding -Library Cell Cell-Library Binding Εισαγωγή Library Binding (technology mapping): η µετατροπή ενός λογικού δικτύου σε διασύνδεση στοιχείων µίας βιβλιοθήκης (τεχνολογίας). Παρέχει ολοκληρωµένη κατασκευαστική αναπαράσταση

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 5 η :

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ

ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ ΘΕΩΡΙΑ 1. Εργαλεία εξομοίωσης, SPICE, αρχεία περιγραφής κυκλωμάτων (netlist) (Παρ. 3.4, σελ 152-155) 2. To transistor ως διακόπτης, πύλη διέλευσης. (Παρ

Διαβάστε περισσότερα

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή 6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή Εισαγωγή Η σχεδίαση ενός ψηφιακού συστήµατος ως ακολουθιακή µηχανή είναι εξαιρετικά δύσκολη Τµηµατοποίηση σε υποσυστήµατα µε δοµικές µονάδες:

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ - VLSI Ενότητα: Συνδιαστικά κυκλώματα, βασικές στατικές λογικές πύλες, σύνθετες και δυναμικές πύλες Κυριάκης

Διαβάστε περισσότερα

ΕΠΙΧΕΙΡΗΣΙΑΚΗ ΕΡΕΥΝΑ Επιστήμη των Αποφάσεων, Διοικητική Επιστήμη

ΕΠΙΧΕΙΡΗΣΙΑΚΗ ΕΡΕΥΝΑ Επιστήμη των Αποφάσεων, Διοικητική Επιστήμη ΕΠΙΧΕΙΡΗΣΙΑΚΗ ΕΡΕΥΝΑ Επιστήμη των Αποφάσεων, Διοικητική Επιστήμη 5 ο Εξάμηνο 4 ο ΜΑΘΗΜΑ Δημήτρης Λέκκας Επίκουρος Καθηγητής dlekkas@env.aegean.gr Τμήμα Στατιστικής & Αναλογιστικών-Χρηματοοικονομικών Μαθηματικών

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 3 η Ο ΑΝΤΙΣΤΡΟΦΕΑΣ CMOS

ΑΣΚΗΣΗ 3 η Ο ΑΝΤΙΣΤΡΟΦΕΑΣ CMOS ΑΣΚΗΣΗ 3 η Ο ΑΝΤΙΣΤΡΟΦΕΑΣ CMOS ΘΕΩΡΙΑ Οι ασκήσεις 3 και 4 αφορούν τον αντιστροφέα CMOS, ο οποίος είναι η απλούστερη αλ α ταυτόχρονα και σημαντικότερη πύλη για την κατανόηση της λειτουργίας των Ολοκληρωμένων

Διαβάστε περισσότερα

ΘΕΑΝΩ ΕΡΙΦΥΛΗ ΜΟΣΧΟΝΑ ΣΥΜΠΛΗΡΩΜΑΤΙΚΕΣ ΣΗΜΕΙΩΣΕΙΣ ΤΟΥ ΜΑΘΗΜΑΤΟΣ ΕΠΙΧΕΙΡΗΣΙΑΚΗ ΕΡΕΥΝΑ

ΘΕΑΝΩ ΕΡΙΦΥΛΗ ΜΟΣΧΟΝΑ ΣΥΜΠΛΗΡΩΜΑΤΙΚΕΣ ΣΗΜΕΙΩΣΕΙΣ ΤΟΥ ΜΑΘΗΜΑΤΟΣ ΕΠΙΧΕΙΡΗΣΙΑΚΗ ΕΡΕΥΝΑ ΘΕΑΝΩ ΕΡΙΦΥΛΗ ΜΟΣΧΟΝΑ ΣΥΜΠΛΗΡΩΜΑΤΙΚΕΣ ΣΗΜΕΙΩΣΕΙΣ ΤΟΥ ΜΑΘΗΜΑΤΟΣ ΕΠΙΧΕΙΡΗΣΙΑΚΗ ΕΡΕΥΝΑ Πρόβληµα µεταφοράς Η ανάπτυξη και διαµόρφωση του προβλήµατος µεταφοράς αναπτύσσεται στις σελίδες 40-45 του βιβλίου των

Διαβάστε περισσότερα

ροµολόγηση πακέτων σε δίκτυα υπολογιστών

ροµολόγηση πακέτων σε δίκτυα υπολογιστών ροµολόγηση πακέτων σε δίκτυα υπολογιστών Συµπληρωµατικές σηµειώσεις για το µάθηµα Αλγόριθµοι Επικοινωνιών Ακαδηµαϊκό έτος 2011-2012 1 Εισαγωγή Οι παρακάτω σηµειώσεις παρουσιάζουν την ανάλυση του άπληστου

Διαβάστε περισσότερα

Περιεχόμενα. Πρόλογος... XI. Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA Κεφάλαιο 2. Τεχνολογία VLSI Εισαγωγή Βασικές Αρχές...

Περιεχόμενα. Πρόλογος... XI. Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA Κεφάλαιο 2. Τεχνολογία VLSI Εισαγωγή Βασικές Αρχές... Περιεχόμενα Πρόλογος... XI Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA... 1 1.1 Εισαγωγή... 1 1.2 Βασικές Αρχές... 1 1.2.1 Boolean Άλγεβρα... 1 1.2.2 Σχηματικά και Λογικά Σύμβολα... 6 1.3 Ψηφιακή Σχεδίαση

Διαβάστε περισσότερα

Οργάνωση της φυσικής δομής του ολοκληρωμένου κυκλώματος

Οργάνωση της φυσικής δομής του ολοκληρωμένου κυκλώματος Οργάνωση της φυσικής δομής του ολοκληρωμένου κυκλώματος Γιώργος Δημητρακόπουλος Δημοκρίτειο Πανεπιστήμιο Θράκης Φθινόπωρο 2013 Ψηφιακά ολοκληρωμένα κυκλώματα 1 Οργάνωση του φυσικού σχεδίου Αποφασίζουμε

Διαβάστε περισσότερα

4 η διάλεξη Καθυστέρηση Διασυνδέσεων Μοντέλο Elmore

4 η διάλεξη Καθυστέρηση Διασυνδέσεων Μοντέλο Elmore 1 4 η διάλεξη Καθυστέρηση Διασυνδέσεων Μοντέλο Elmore 2 3 Εξετάζοντας αναλυτικά την φυσική υπόσταση μιας διασύνδεσης φαίνεται ότι διασύνδεει έναν αποστολέα του σήματος με έναν δέκτη μέσω επιμέρους τμημάτων

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 6 η :

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 6 η :

Διαβάστε περισσότερα

10o ΕΡΓΑΣΤΗΡΙΟ Στοιχεία Χωροθεσίας (Layout) CMOS

10o ΕΡΓΑΣΤΗΡΙΟ Στοιχεία Χωροθεσίας (Layout) CMOS 10o ΕΡΓΑΣΤΗΡΙΟ Στοιχεία Χωροθεσίας (Layout) CMOS Εισαγωγή Θα ξεκινήσουμε σχεδιάζοντας της χωροθεσία μεμονωμένων διατάξεων Θα σχεδιάσουμε τα διάφορα επίπεδα της διάταξης (του τρανζίστορ). Τα ΟΚ κατασκευάζονται

Διαβάστε περισσότερα

ΕΠΙΧΕΙΡΗΣΙΑΚΗ ΕΡΕΥΝΑ ΠΕΡΣΕΦΟΝΗ ΠΟΛΥΧΡΟΝΙΔΟΥ ΤΜΗΜΑ ΛΟΓΙΣΤΙΚΗΣ ΤΕ

ΕΠΙΧΕΙΡΗΣΙΑΚΗ ΕΡΕΥΝΑ ΠΕΡΣΕΦΟΝΗ ΠΟΛΥΧΡΟΝΙΔΟΥ ΤΜΗΜΑ ΛΟΓΙΣΤΙΚΗΣ ΤΕ ΕΠΙΧΕΙΡΗΣΙΑΚΗ ΕΡΕΥΝΑ ΠΕΡΣΕΦΟΝΗ ΠΟΛΥΧΡΟΝΙΔΟΥ ΤΜΗΜΑ ΛΟΓΙΣΤΙΚΗΣ ΤΕ 1 Άδειες Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης Creative Commons. Για εκπαιδευτικό υλικό, όπως εικόνες, που υπόκειται

Διαβάστε περισσότερα

ΠροσδιορισµόςΒέλτιστης Λύσης στα Προβλήµατα Μεταφοράς Η µέθοδος Stepping Stone

ΠροσδιορισµόςΒέλτιστης Λύσης στα Προβλήµατα Μεταφοράς Η µέθοδος Stepping Stone ΠροσδιορισµόςΒέλτιστης Λύσης στα Προβλήµατα Μεταφοράς Η µέθοδος Stepping Stone Hµέθοδος Stepping Stoneείναι µία επαναληπτική διαδικασία για τον προσδιορισµό της βέλτιστης λύσης σε ένα πρόβληµα µεταφοράς.

Διαβάστε περισσότερα

ΠΑΡΑΛΛΗΛΗ ΕΠΕΞΕΡΓΑΣΙΑ

ΠΑΡΑΛΛΗΛΗ ΕΠΕΞΕΡΓΑΣΙΑ ΠΑΡΑΛΛΗΛΗ ΕΠΕΞΕΡΓΑΣΙΑ ΑΓΩΓΟΙ & ΙΑΝΥΣΜΑΤΙΚΟΙ ΥΠΟΛΟΓΙΣΤΕΣ ΣΥΓΚΡΟΥΣΕΙΣ ΣΕ ΑΓΩΓΟΥΣ & ΜΕΓΙΣΤΟΠΟΙΗΣΗ ΠΑΡΑΓΩΓΗΣ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ ΗΛΕΚΤΡΟΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ & ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΠΛΗΡΟΦΟΡΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΥΨΗΛΩΝ

Διαβάστε περισσότερα

Θεωρία Αποφάσεων και Βελτιστοποίηση

Θεωρία Αποφάσεων και Βελτιστοποίηση Θεωρία Αποφάσεων και Βελτιστοποίηση http://www.di.uoa.gr/ telelis/opt.html Ορέστης Τελέλης telelis@di.uoa.gr Τµήµα Πληροφορικής και Τηλεπικοινωνιών Πανεπιστήµιο Αθηνών Θεωρία Αποφάσεων και Βελτιστοποίηση

Διαβάστε περισσότερα

Γραµµικοί Ταξινοµητές

Γραµµικοί Ταξινοµητές ΚΕΣ 3: Αναγνώριση Προτύπων και Ανάλυση Εικόνας KEΣ 3 Αναγνώριση Προτύπων και Ανάλυση Εικόνας Γραµµικοί Ταξινοµητές ΤµήµαΕπιστήµης και Τεχνολογίας Τηλεπικοινωνιών Πανεπιστήµιο Πελοποννήσου 7 Ncolas sapatsouls

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ - VLSI Ενότητα: Ο Αντιστροφέας CMOS Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. 1 Άδειες

Διαβάστε περισσότερα

1. Στατικός Ηλεκτρισµός

1. Στατικός Ηλεκτρισµός 1) Τα πρώτα πειράµατα της χρονιάς. 1. Μπορείτε να ερµηνεύσετε τις παρακάτω πειραµατικές παρατηρήσεις; B Α Γυάλινη ράβδος i) Μια αφόρτιστη µεταλλική ράβδος κρέµεται όπως στο σχήµα από µονωτικό νήµα και

Διαβάστε περισσότερα

.Λιούπης. Ψηφιακά Ηλεκτρονικά Ακεραιότητα Ψηφιακού Σήµατος 1

.Λιούπης. Ψηφιακά Ηλεκτρονικά Ακεραιότητα Ψηφιακού Σήµατος 1 Ψηφιακά Ηλεκτρονικά Ακεραιότητα Ψηφιακού Σήµατος.Λιούπης Ψηφιακά Ηλεκτρονικά Ακεραιότητα Ψηφιακού Σήµατος 1 Ακεραιότητα Ψηφιακού Σήµατος Θόρυβος και ηλεκτροµαγνητικές παρεµβολές Μοντέρνα ψηφιακά κυκλώµατα

Διαβάστε περισσότερα

Μοντέλα. χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες.

Μοντέλα. χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες. Γλώσσες Περιγραφής Μοντέλα Ένα µοντέλο ενός κυκλώµατος είναι µία αναπαράσταση που παρουσιάζει χαρακτηριστικά χωρίς να συνοδεύεται από λεπτοµέρειες. Τα τυπικά µοντέλα έχουν καλά ορισµένη σύνταξη. Τα αυτόµατα

Διαβάστε περισσότερα

ιοίκηση Παραγωγής και Υπηρεσιών

ιοίκηση Παραγωγής και Υπηρεσιών ιοίκηση Παραγωγής και Υπηρεσιών Προγραµµατισµός Παραγωγής Προβλήµατα µε πολλές µηχανές Γιώργος Ιωάννου, Ph.D. Αναπληρωτής Καθηγητής Σύνοψη διάλεξης Προβλήµατα Παράλληλων Μηχανών Ελαχιστοποίηση χρόνου ροής

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (10 η σειρά διαφανειών)

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (10 η σειρά διαφανειών) ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Δρ. Δ. Λαμπάκης (10 η σειρά διαφανειών) Σχεδιασμός και Προσομοίωση Βασικών Κυκλωμάτων Τεχνολογίας CMOS Με βάση το εργαλείο σχεδιασμού Microwind Σκοπός: η

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ ΙΑΤΜΗΜΑΤΙΚΟ ΠΜΣ «ΜΑΘΗΜΑΤΙΚΑ ΤΩΝ ΥΠΟΛΟΓΙΣΤΩΝ & ΤΩΝ ΑΠΟΦΑΣΕΩΝ ΤΕΧΝΗΤΗ ΝΟΗΜΟΣΥΝΗ 2006-2007 2η Σειρά Ασκήσεων ΑΠΑΝΤΗΣΕΙΣ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ ΙΑΤΜΗΜΑΤΙΚΟ ΠΜΣ «ΜΑΘΗΜΑΤΙΚΑ ΤΩΝ ΥΠΟΛΟΓΙΣΤΩΝ & ΤΩΝ ΑΠΟΦΑΣΕΩΝ ΤΕΧΝΗΤΗ ΝΟΗΜΟΣΥΝΗ 2006-2007 2η Σειρά Ασκήσεων ΑΠΑΝΤΗΣΕΙΣ ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ ΙΑΤΜΗΜΑΤΙΚΟ ΠΜΣ «ΜΑΘΗΜΑΤΙΚΑ ΤΩΝ ΥΠΟΛΟΓΙΣΤΩΝ & ΤΩΝ ΑΠΟΦΑΣΕΩΝ ΤΕΧΝΗΤΗ ΝΟΗΜΟΣΥΝΗ 2006-2007 2η Σειρά Ασκήσεων ΑΠΑΝΤΗΣΕΙΣ 1. ίνεται το γνωστό πρόβληµα των δύο δοχείων: «Υπάρχουν δύο δοχεία

Διαβάστε περισσότερα

Παράλληλοι Υπολογισµοί (Μεταπτυχιακό)

Παράλληλοι Υπολογισµοί (Μεταπτυχιακό) Παράλληλοι Υπολογισµοί (Μεταπτυχιακό) ιδάσκων: Επίκ. Καθηγητής Φ. Τζαφέρης ΕΚΠΑ 19 Απριλίου 2010 ιδάσκων: Επίκ. Καθηγητής Φ. Τζαφέρης (ΕΚΠΑ) Παράλληλοι Υπολογισµοί (Μεταπτυχιακό) 19 Απριλίου 2010 1 / 31

Διαβάστε περισσότερα

Κεφάλαιο 10 ο Υποπρογράµµατα

Κεφάλαιο 10 ο Υποπρογράµµατα Κεφάλαιο 10 ο Υποπρογράµµατα Ανάπτυξη Εφαρµογών σε Προγραµµατιστικό Περιβάλλον Η αντιµετώπιση των σύνθετων προβληµάτων και η ανάπτυξη των αντίστοιχων προγραµµάτων µπορεί να γίνει µε την ιεραρχική σχεδίαση,

Διαβάστε περισσότερα

PROJECT ΣΤΟ ΜΑΘΗΜΑ ΕΙΣΑΓΩΓΗ ΣΤΙΣ ΕΥΡΕΤΙΚΕΣ ΜΕΘΟ ΟΥΣ

PROJECT ΣΤΟ ΜΑΘΗΜΑ ΕΙΣΑΓΩΓΗ ΣΤΙΣ ΕΥΡΕΤΙΚΕΣ ΜΕΘΟ ΟΥΣ ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ Η/Υ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ PROJECT ΣΤΟ ΜΑΘΗΜΑ ΕΙΣΑΓΩΓΗ ΣΤΙΣ ΕΥΡΕΤΙΚΕΣ ΜΕΘΟ ΟΥΣ ΜΕΡΟΣ ΤΡΙΤΟ Πολίτη Όλγα Α.Μ. 4528 Εξάµηνο 8ο Υπεύθυνος Καθηγητής Λυκοθανάσης

Διαβάστε περισσότερα

Αλγόριθµοι και Πολυπλοκότητα

Αλγόριθµοι και Πολυπλοκότητα Αλγόριθµοι και Πολυπλοκότητα Ν. Μ. Μισυρλής Τµήµα Πληροφορικής και Τηλεπικοινωνιών, Πανεπιστήµιο Αθηνών Καθηγητής: Ν. Μ. Μισυρλής () Αλγόριθµοι και Πολυπλοκότητα 15 Ιουνίου 2009 1 / 26 Εισαγωγή Η ϑεωρία

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ Τ Μ Η Μ Α Π Λ Η Ρ Ο Φ Ο Ρ Ι Κ Η Σ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ Τ Μ Η Μ Α Π Λ Η Ρ Ο Φ Ο Ρ Ι Κ Η Σ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ Τ Μ Η Μ Α Π Λ Η Ρ Ο Φ Ο Ρ Ι Κ Η Σ ΕΠΛ 035 - ΔΟΜΕΣ ΔΕΔΟΜΕΝΩΝ ΚΑΙ ΑΛΓΟΡΙΘΜΟΙ ΓΙΑ ΗΛΕΚΤΡΟΛΟΓΟΥΣ ΜΗΧΑΝΙΚΟΥΣ ΚΑΙ ΜΗΧΑΝΙΚΟΥΣ ΥΠΟΛΟΓΙΣΤΩΝ Ακαδηµαϊκό έτος 2017-2018 Υπεύθυνος εργαστηρίου: Γεώργιος

Διαβάστε περισσότερα

Γεωµετρικοί Αλγόριθµοι (CLR, κεφάλαιο 35)

Γεωµετρικοί Αλγόριθµοι (CLR, κεφάλαιο 35) Γεωµετρικοί Αλγόριθµοι (CLR, κεφάλαιο 35) Στην ενότητα αυτή θα µελετηθούν τα εξής θέµατα: Γινόµενα σηµεία, τοµή ευθυγράµµων τµηµάτων Εύρεση κυρτών περιβληµάτων: Ο αλγόριθµος του Grm και ο αλγόριθµος του

Διαβάστε περισσότερα

Διακριτά Μαθηματικά ΙΙ Χρήστος Νομικός Τμήμα Μηχανικών Η/Υ και Πληροφορικής Πανεπιστήμιο Ιωαννίνων 2018 Χρήστος Νομικός ( Τμήμα Μηχανικών Η/Υ Διακριτά

Διακριτά Μαθηματικά ΙΙ Χρήστος Νομικός Τμήμα Μηχανικών Η/Υ και Πληροφορικής Πανεπιστήμιο Ιωαννίνων 2018 Χρήστος Νομικός ( Τμήμα Μηχανικών Η/Υ Διακριτά Διακριτά Μαθηματικά ΙΙ Χρήστος Νομικός Τμήμα Μηχανικών Η/Υ και Πληροφορικής Πανεπιστήμιο Ιωαννίνων 2018 Χρήστος Νομικός ( Τμήμα Μηχανικών Η/Υ Διακριτά και Πληροφορικής Μαθηματικά Πανεπιστήμιο ΙΙ Ιωαννίνων

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 18. 18 Μηχανική Μάθηση

ΚΕΦΑΛΑΙΟ 18. 18 Μηχανική Μάθηση ΚΕΦΑΛΑΙΟ 18 18 Μηχανική Μάθηση Ένα φυσικό ή τεχνητό σύστηµα επεξεργασίας πληροφορίας συµπεριλαµβανοµένων εκείνων µε δυνατότητες αντίληψης, µάθησης, συλλογισµού, λήψης απόφασης, επικοινωνίας και δράσης

Διαβάστε περισσότερα

Αλγόριθµοι. Παράδειγµα. ιαίρει και Βασίλευε. Παράδειγµα MergeSort. Τεχνικές Σχεδιασµού Αλγορίθµων

Αλγόριθµοι. Παράδειγµα. ιαίρει και Βασίλευε. Παράδειγµα MergeSort. Τεχνικές Σχεδιασµού Αλγορίθµων Τεχνικές Σχεδιασµού Αλγορίθµων Αλγόριθµοι Παύλος Εφραιµίδης pefraimi@ee.duth.gr Ορισµένες γενικές αρχές για τον σχεδιασµό αλγορίθµων είναι: ιαίρει και Βασίλευε (Divide and Conquer) υναµικός Προγραµµατισµός

Διαβάστε περισσότερα

(β) Θεωρούµε µια ακολουθία Nθετικών ακεραίων η οποία περιέχει ακριβώς

(β) Θεωρούµε µια ακολουθία Nθετικών ακεραίων η οποία περιέχει ακριβώς Θέµα (Αρχή του Περιστερώνα, 8 µονάδες) (α) Επιλέγουµε αυθαίρετα φυσικούς αριθµούς από το σύνολο {,,3,, 3, } Να δείξετε ότι µεταξύ των αριθµών που έχουµε επιλέξει υπάρχει πάντα ένα ζευγάρι όπου ο µεγαλύτερος

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2018-2019 Ροή Σχεδίασης Κυκλωμάτων και Εργαλεία CAD ΗΥ220 - Βασίλης Παπαευσταθίου 1 Transistor: Δομική μονάδα κυκλωμάτων Τα ολοκληρωμένα κυκλώματα

Διαβάστε περισσότερα

ΠΡΟΒΛΗΜΑΤΑ ΕΛΑΧΙΣΤΟΠΟΙΗΣΗΣ

ΠΡΟΒΛΗΜΑΤΑ ΕΛΑΧΙΣΤΟΠΟΙΗΣΗΣ ΠΡΟΒΛΗΜΑΤΑ ΕΛΑΧΙΣΤΟΠΟΙΗΣΗΣ Ελαχιστοποίηση κόστους διατροφής Ηεπιχείρηση ζωοτροφών ΒΙΟΤΡΟΦΕΣ εξασφάλισε µια ειδική παραγγελίααπό έναν πελάτη της για την παρασκευή 1.000 κιλών ζωοτροφής, η οποία θα πρέπει

Διαβάστε περισσότερα

Παράλληλοι Αλγόριθμοι: Ανάλυση Εικόνας και Υπολογιστική Γεωμετρία. Πέτρος Ποτίκας CoReLab 4/5/2006

Παράλληλοι Αλγόριθμοι: Ανάλυση Εικόνας και Υπολογιστική Γεωμετρία. Πέτρος Ποτίκας CoReLab 4/5/2006 Παράλληλοι Αλγόριθμοι: Ανάλυση Εικόνας και Υπολογιστική Γεωμετρία Πέτρος Ποτίκας CoReLab 4/5/2006 Επισκόπηση Ετικέτες σε συνιστώσες (Component labelling) Hough μετασχηματισμοί (transforms) Πλησιέστερος

Διαβάστε περισσότερα

Κεφάλαιο 4: Επιλογή σημείου παραγωγής

Κεφάλαιο 4: Επιλογή σημείου παραγωγής Κ4.1 Μέθοδος ανάλυσης νεκρού σημείου για την επιλογή διαδικασίας παραγωγής ή σημείου παραγωγής Επιλογή διαδικασίας παραγωγής Η μέθοδος ανάλυσης νεκρού για την επιλογή διαδικασίας παραγωγής αναγνωρίζει

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2017-2018 Ροή Σχεδίασης Κυκλωμάτων και Εργαλεία CAD ΗΥ220 - Γιώργος Καλοκαιρινός & Βασίλης Παπαευσταθίου 1 Transistor: Δομική μονάδα κυκλωμάτων Τα

Διαβάστε περισσότερα

Heapsort Using Multiple Heaps

Heapsort Using Multiple Heaps sort sort Using Multiple s. Λεβεντέας Χ. Ζαρολιάγκης Τµήµα Μηχανικών Η/Υ & Πληροφορικής 29 Αυγούστου 2008 sort 1 Ορισµός ify Build- 2 sort Πως δουλεύει Ιδιότητες 3 4 Προβλήµατα Προτάσεις Ανάλυση Κόστους

Διαβάστε περισσότερα

Βασικές Προτάσεις. έντρα. υαδικά έντρα Αναζήτησης ( Α) Ισοζυγισµένα έντρα και Υψος. Κάθε δέντρο µε n κόµβους έχει n 1 ακµές.

Βασικές Προτάσεις. έντρα. υαδικά έντρα Αναζήτησης ( Α) Ισοζυγισµένα έντρα και Υψος. Κάθε δέντρο µε n κόµβους έχει n 1 ακµές. Βασικές Προτάσεις έντρα Ορέστης Τελέλης Κάθε δέντρο µε n κόµβους έχει n ακµές. ικαιολόγηση: Με επαγωγή στο πλήθος των κόµβων, n. έντρο µε k εσωτερικούς κόµβους και l ϕύλλα έχει n = k + l κόµβους. tllis@unipi.r

Διαβάστε περισσότερα

ΠΡΟΓΡΑΜΜΑΤΙΣΜΟΣ ΜΑΘΗΜΑ 8 Ο. Ταξινόμηση και Αναζήτηση Συναρτήσεις χειρισμού οθόνης ΣΙΝΑΤΚΑΣ Ι. ΠΡΟΓΡΑΜΜΑΤΙΣΜΟΣ

ΠΡΟΓΡΑΜΜΑΤΙΣΜΟΣ ΜΑΘΗΜΑ 8 Ο. Ταξινόμηση και Αναζήτηση Συναρτήσεις χειρισμού οθόνης ΣΙΝΑΤΚΑΣ Ι. ΠΡΟΓΡΑΜΜΑΤΙΣΜΟΣ ΠΡΟΓΡΑΜΜΑΤΙΣΜΟΣ ΜΑΘΗΜΑ 8 Ο Ταξινόμηση και Αναζήτηση Συναρτήσεις χειρισμού οθόνης ΠΡΟΓΡΑΜΜΑΤΙΣΜΟΣ 2010-11 1 Εισαγωγή Η τακτοποίηση των δεδομένων με ιδιαίτερη σειρά είναι πολύ σημαντική λειτουργία που ονομάζεται

Διαβάστε περισσότερα

Επιλογή και επανάληψη. Λογική έκφραση ή συνθήκη

Επιλογή και επανάληψη. Λογική έκφραση ή συνθήκη Επιλογή και επανάληψη Η ύλη που αναπτύσσεται σε αυτό το κεφάλαιο είναι συναφής µε την ύλη που αναπτύσσεται στο 2 ο κεφάλαιο. Όπου υπάρχουν διαφορές αναφέρονται ρητά. Προσέξτε ιδιαίτερα, πάντως, ότι στο

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Κεφάλαιο 7 i: Καταχωρητές Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές Ολίσθησης Σειριακή Φόρτωση Σειριακή Ολίσθηση Καταχωρητές Ολίσθησης Παράλληλης Φόρτωσης

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΜΑΚΕ ΟΝΙΑΣ ΟΙΚΟΝΟΜΙΚΩΝ ΚΑΙ ΚΟΙΝΩΝΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΕΦΑΡΜΟΣΜΕΝΗΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΝΕΥΡΩΝΙΚΑ ΙΚΤΥΑ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΜΑΚΕ ΟΝΙΑΣ ΟΙΚΟΝΟΜΙΚΩΝ ΚΑΙ ΚΟΙΝΩΝΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΕΦΑΡΜΟΣΜΕΝΗΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΝΕΥΡΩΝΙΚΑ ΙΚΤΥΑ ΘΕΜΑ ο 2.5 µονάδες ΠΑΝΕΠΙΣΤΗΜΙΟ ΜΑΚΕ ΟΝΙΑΣ ΟΙΚΟΝΟΜΙΚΩΝ ΚΑΙ ΚΟΙΝΩΝΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΕΦΑΡΜΟΣΜΕΝΗΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΝΕΥΡΩΝΙΚΑ ΙΚΤΥΑ Τελικές εξετάσεις 2 Σεπτεµβρίου 2005 5:00-8:00 Σχεδιάστε έναν αισθητήρα ercetro

Διαβάστε περισσότερα

Επίλυση Προβληµάτων µε Greedy Αλγόριθµους

Επίλυση Προβληµάτων µε Greedy Αλγόριθµους Επίλυση Προβληµάτων µε Greedy Αλγόριθµους Περίληψη Επίλυση προβληµάτων χρησιµοποιώντας Greedy Αλγόριθµους Ελάχιστα Δέντρα Επικάλυψης Αλγόριθµος του Prim Αλγόριθµος του Kruskal Πρόβληµα Ελάχιστης Απόστασης

Διαβάστε περισσότερα

7 η διάλεξη Ακολουθιακά Κυκλώματα

7 η διάλεξη Ακολουθιακά Κυκλώματα 7 η διάλεξη Ακολουθιακά Κυκλώματα 1 2 3 4 5 6 7 Παραπάνω βλέπουμε ακολουθιακό κύκλωμα σχεδιασμένο με μανταλωτές διαφορετικής φάσης. Παρατηρούμε ότι συνδυαστική λογική μπορεί να προστεθεί μεταξύ και των

Διαβάστε περισσότερα

4 η Θεµατική Ενότητα : Συνδυαστική Λογική. Επιµέλεια διαφανειών: Χρ. Καβουσιανός

4 η Θεµατική Ενότητα : Συνδυαστική Λογική. Επιµέλεια διαφανειών: Χρ. Καβουσιανός 4 η Θεµατική Ενότητα : Συνδυαστική Λογική Επιµέλεια διαφανειών: Χρ. Καβουσιανός Λογικά Κυκλώµατα Ø Τα λογικά κυκλώµατα διακρίνονται σε συνδυαστικά (combinational) και ακολουθιακά (sequential). Ø Τα συνδυαστικά

Διαβάστε περισσότερα

ΟΙΚΟΝΟΜΙΚΩΝ ΚΑΙ ΚΟΙΝΩΝΙΚΩΝ ΕΠΙΣΤΗΜΩΝ

ΟΙΚΟΝΟΜΙΚΩΝ ΚΑΙ ΚΟΙΝΩΝΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΘΕΜΑ 1 ο (2.5 µονάδες) ΠΑΝΕΠΙΣΤΗΜΙΟ ΜΑΚΕ ΟΝΙΑΣ ΟΙΚΟΝΟΜΙΚΩΝ ΚΑΙ ΚΟΙΝΩΝΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΕΦΑΡΜΟΣΜΕΝΗΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΤΕΧΝΗΤΗ ΝΟΗΜΟΣΥΝΗ Τελικές εξετάσεις Παρασκευή 28 Σεπτεµβρίου 2007 ιάρκεια: 13:00-16:00

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Καταχωρητές και Μετρητές 2. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Καταχωρητές και Μετρητές 2. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Καταχωρητές και Μετρητές Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Εισαγωγή Καταχωρητής: είναι μία ομάδα από δυαδικά κύτταρα αποθήκευσης

Διαβάστε περισσότερα

Εργαστηριακή άσκηση. Κανόνες σχεδίασης και κατασκευαστικές λεπτομέρειες στη σχεδίασης μασκών (layout) και προσομοίωσης κυκλώματος VLSI

Εργαστηριακή άσκηση. Κανόνες σχεδίασης και κατασκευαστικές λεπτομέρειες στη σχεδίασης μασκών (layout) και προσομοίωσης κυκλώματος VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

7 η Θεµατική Ενότητα : Καταχωρητές, Μετρητές και Μονάδες Μνήµης

7 η Θεµατική Ενότητα : Καταχωρητές, Μετρητές και Μονάδες Μνήµης 7 η Θεµατική Ενότητα : Καταχωρητές, Μετρητές και Εισαγωγή Καταχωρητής: είναι µία οµάδα από δυαδικά κύτταρα αποθήκευσης και από λογικές πύλες που διεκπεραιώνουν την µεταφορά πληροφοριών. Οι µετρητές είναι

Διαβάστε περισσότερα

Clustering. Αλγόριθµοι Οµαδοποίησης Αντικειµένων

Clustering. Αλγόριθµοι Οµαδοποίησης Αντικειµένων Clustering Αλγόριθµοι Οµαδοποίησης Αντικειµένων Εισαγωγή Οµαδοποίηση (clustering): οργάνωση µιας συλλογής από αντικείµενα-στοιχεία (objects) σε οµάδες (clusters) µε βάση κάποιο µέτρο οµοιότητας. Στοιχεία

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ Γ ΕΠΑΛ 14 / 04 / 2019

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ Γ ΕΠΑΛ 14 / 04 / 2019 Γ ΕΠΑΛ 14 / 04 / 2019 ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΘΕΜΑ 1 ο 1. Να γράψετε στο τετράδιό σας το γράμμα καθεμιάς από τις παρακάτω προτάσεις και δίπλα τη λέξη ΣΩΣΤΟ, αν είναι σωστή ή τη λέξη ΛΑΘΟΣ, αν είναι λανθασμένη.

Διαβάστε περισσότερα

7.9 ροµολόγηση. Ερωτήσεις

7.9 ροµολόγηση. Ερωτήσεις 7.9 ροµολόγηση Ερωτήσεις 1. Να δώσετε τον ορισµό της δροµολόγησης; 2. Από τι εξαρτάται η χρονική στιγµή στην οποία λαµβάνονται οι αποφάσεις δροµολόγησης; Να αναφέρετε ποια είναι αυτή στην περίπτωση των

Διαβάστε περισσότερα

1. Πότε χρησιμοποιούμε την δομή επανάληψης; Ποιες είναι οι διάφορες εντολές (μορφές) της;

1. Πότε χρησιμοποιούμε την δομή επανάληψης; Ποιες είναι οι διάφορες εντολές (μορφές) της; 1. Πότε χρησιμοποιούμε την δομή επανάληψης; Ποιες είναι οι διάφορες (μορφές) της; Η δομή επανάληψης χρησιμοποιείται όταν μια σειρά εντολών πρέπει να εκτελεστεί σε ένα σύνολο περιπτώσεων, που έχουν κάτι

Διαβάστε περισσότερα

Δομές Δεδομένων & Αλγόριθμοι

Δομές Δεδομένων & Αλγόριθμοι Θέματα Απόδοσης Αλγορίθμων 1 Η Ανάγκη για Δομές Δεδομένων Οι δομές δεδομένων οργανώνουν τα δεδομένα πιο αποδοτικά προγράμματα Πιο ισχυροί υπολογιστές πιο σύνθετες εφαρμογές Οι πιο σύνθετες εφαρμογές απαιτούν

Διαβάστε περισσότερα

Καταστάσεων. Καταστάσεων

Καταστάσεων. Καταστάσεων 8 η Θεµατική Ενότητα : Εισαγωγή Ησχεδίαση ενός ψηφιακού συστήµατος µπορεί να διαιρεθεί σε δύο µέρη: τα κυκλώµατα επεξεργασίας δεδοµένων και τα κυκλώµατα ελέγχου. Το κύκλωµα ελέγχου δηµιουργεί σήµατα για

Διαβάστε περισσότερα

Κεφάλαιο 4: Επιλογή σημείου παραγωγής

Κεφάλαιο 4: Επιλογή σημείου παραγωγής Κεφάλαιο 4: Επιλογή σημείου παραγωγής Κ4.1 Μέθοδος ανάλυσης νεκρού σημείου για την επιλογή διαδικασίας παραγωγής ή σημείου παραγωγής Επιλογή διαδικασίας παραγωγής Η μέθοδος ανάλυσης νεκρού για την επιλογή

Διαβάστε περισσότερα

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

Δοµές Δεδοµένων. 11η Διάλεξη Ταξινόµηση Quicksort και Ιδιότητες Δέντρων. Ε. Μαρκάκης

Δοµές Δεδοµένων. 11η Διάλεξη Ταξινόµηση Quicksort και Ιδιότητες Δέντρων. Ε. Μαρκάκης Δοµές Δεδοµένων 11η Διάλεξη Ταξινόµηση Quicksort και Ιδιότητες Δέντρων Ε. Μαρκάκης Περίληψη Quicksort Χαρακτηριστικά επιδόσεων Μη αναδροµική υλοποίηση Δέντρα Μαθηµατικές ιδιότητες Δοµές Δεδοµένων 11-2

Διαβάστε περισσότερα

ΤΕΧΝΙΚΕΣ ΤΟΠΟΘΕΤΗΣΗΣ ΚΑΙ ΔΙΑΣΥΝΔΕΣΗΣ ΠΡΟΤΥΠΩΝ ΛΟΓΙΚΩΝ ΚΥΤΤΑΡΩΝ VLSI Η ΜΕΤΑΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΕΞΕΙΔΙΚΕΥΣΗΣ. Υποβάλλεται στην

ΤΕΧΝΙΚΕΣ ΤΟΠΟΘΕΤΗΣΗΣ ΚΑΙ ΔΙΑΣΥΝΔΕΣΗΣ ΠΡΟΤΥΠΩΝ ΛΟΓΙΚΩΝ ΚΥΤΤΑΡΩΝ VLSI Η ΜΕΤΑΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΕΞΕΙΔΙΚΕΥΣΗΣ. Υποβάλλεται στην ΤΕΧΝΙΚΕΣ ΤΟΠΟΘΕΤΗΣΗΣ ΚΑΙ ΔΙΑΣΥΝΔΕΣΗΣ ΠΡΟΤΥΠΩΝ ΛΟΓΙΚΩΝ ΚΥΤΤΑΡΩΝ VLSI ΚΥΚΛΩΜΑΤΩΝ Η ΜΕΤΑΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΕΞΕΙΔΙΚΕΥΣΗΣ Υποβάλλεται στην ορισθείσα από την Γενική Συνέλευση Ειδικής Σύνθεσης του Τμήματος Πληροφορικής

Διαβάστε περισσότερα

Q 12. c 3 Q 23. h 12 + h 23 + h 31 = 0 (6)

Q 12. c 3 Q 23. h 12 + h 23 + h 31 = 0 (6) Εθνικό Μετσόβιο Πολυτεχνείο Σχολή Πολιτικών Μηχανικών Τοµέας Υδατικών Πόρων Μάθηµα: Τυπικά Υδραυλικά Έργα Μέρος 2: ίκτυα διανοµής Άσκηση E0: Μαθηµατική διατύπωση µοντέλου επίλυσης απλού δικτύου διανοµής

Διαβάστε περισσότερα

8.1 Θεωρητική εισαγωγή

8.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 8 ΣΤΟΙΧΕΙΑ ΜΝΗΜΗΣ ΚΑΤΑΧΩΡΗΤΕΣ Σκοπός: Η µελέτη της λειτουργίας των καταχωρητών. Θα υλοποιηθεί ένας απλός στατικός καταχωρητής 4-bit µε Flip-Flop τύπου D και θα µελετηθεί

Διαβάστε περισσότερα

Γ. Κορίλη Αλγόριθµοι ροµολόγησης

Γ. Κορίλη Αλγόριθµοι ροµολόγησης - Γ. Κορίλη Αλγόριθµοι ροµολόγησης http://www.seas.upenn.edu/~tcom50/lectures/lecture.pdf ροµολόγηση σε ίκτυα εδοµένων Αναπαράσταση ικτύου µε Γράφο Μη Κατευθυνόµενοι Γράφοι Εκτεταµένα έντρα Κατευθυνόµενοι

Διαβάστε περισσότερα

Κυκλώµατα. Εισαγωγή. Συνδυαστικό Κύκλωµα

Κυκλώµατα. Εισαγωγή. Συνδυαστικό Κύκλωµα 6 η Θεµατική Ενότητα : Σύγχρονα Ακολουθιακά Κυκλώµατα Εισαγωγή Είσοδοι Συνδυαστικό Κύκλωµα Έξοδοι Στοιχεία Μνήµης Κατάσταση Ακολουθιακού Κυκλώµατος : περιεχόµενα στοιχείων µνήµης Η έξοδος εξαρτάται από

Διαβάστε περισσότερα

Επίλυση προβληµάτων. Περιγραφή προβληµάτων Αλγόριθµοι αναζήτησης Αλγόριθµοι τυφλής αναζήτησης Αλγόριθµοι ευρετικής αναζήτησης

Επίλυση προβληµάτων. Περιγραφή προβληµάτων Αλγόριθµοι αναζήτησης Αλγόριθµοι τυφλής αναζήτησης Αλγόριθµοι ευρετικής αναζήτησης Επίλυση προβληµάτων Περιγραφή προβληµάτων Αλγόριθµοι αναζήτησης Αλγόριθµοι τυφλής αναζήτησης Αλγόριθµοι ευρετικής αναζήτησης! Παιχνίδια δύο αντιπάλων Προβλήµατα ικανοποίησης περιορισµών Γενικά " Ντετερµινιστικά

Διαβάστε περισσότερα

ΕΥΑΙΣΘΗΣΙΑ ΗΛΕΚΤΡΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ

ΕΥΑΙΣΘΗΣΙΑ ΗΛΕΚΤΡΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ ΤΕΙ ΠΕΙΡΑΙΑ -ΤΜΗΜΑ ΗΛΕΚΤΡΟΝΙΚΩΝ ΜΗΧΑΝΙΚΩΝ ΕΡΓΑΣΤΗΡΙΟ ΗΛΕΚΤΡΟΝΙΚΩΝ ΦΙΛΤΡΩΝ ΧΕΙΜΕΡΙΝΟ 2017-18 ΕΥΑΙΣΘΗΣΙΑ ΗΛΕΚΤΡΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ 1. ΕΥΑΙΣΘΗΣΙΑ Ενα κύκλωµα, το οποίο κάνει µια συγκεκριµένη λειτουργία εκφραζόµενη

Διαβάστε περισσότερα

2 η Θεµατική Ενότητα : Άλγεβρα Boole και Λογικές Πύλες

2 η Θεµατική Ενότητα : Άλγεβρα Boole και Λογικές Πύλες 2 η Θεµατική Ενότητα : Άλγεβρα Boole και Λογικές Πύλες Βασικοί Ορισµοί υαδικός Τελεστής (Binary Operator): σε κάθε ζεύγος από το S αντιστοιχίζει ένα στοιχείο του S. Συνηθισµένα Αξιώµατα (α, β, γ, 0) Σ,,

Διαβάστε περισσότερα

sin ϕ = cos ϕ = tan ϕ =

sin ϕ = cos ϕ = tan ϕ = Τ.Ε.Ι. ΠΕΙΡΑΙΑ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ ΤΜΗΜΑ ΠΟΛΙΤΙΚΩΝ ΟΜΙΚΩΝ ΕΡΓΩΝ ΜΗΧΑΝΙΚΗ 1 ΠΑΡΑ ΕΙΓΜΑ 1 ΚΑΤΑΣΚΕΥΗ ΙΑΓΡΑΜΜΑΤΩΝ MQN ΣΕ ΟΚΟ ιδάσκων: Αριστοτέλης Ε. Χαραλαµπάκης Εισαγωγή Με το παράδειγµα αυτό αναλύεται

Διαβάστε περισσότερα

Αλγόριθμοι και Δομές Δεδομένων (Ι) (εισαγωγικές έννοιες)

Αλγόριθμοι και Δομές Δεδομένων (Ι) (εισαγωγικές έννοιες) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 2015-16 Αλγόριθμοι και Δομές Δεδομένων (Ι) (εισαγωγικές έννοιες) http://di.ionio.gr/~mistral/tp/csintro/ Μ.Στεφανιδάκης Τι είναι

Διαβάστε περισσότερα

Οπτικά Δίκτυα. Εγκατάσταση Οπτικών Διαδρομών (Lightpath Setup) και δρομολόγηση

Οπτικά Δίκτυα. Εγκατάσταση Οπτικών Διαδρομών (Lightpath Setup) και δρομολόγηση Οπτικά Δίκτυα Εγκατάσταση Οπτικών Διαδρομών (Lightpath Setup) και δρομολόγηση Εισαγωγή Στα αμιγώς οπτικά δίκτυα παρέχονται συνδέσεις στους πελάτες με τη μορφή των lightpahts. Η μεταγωγή των lightpaths

Διαβάστε περισσότερα

ΚΑΝΟΝΕΣ ΚΛΑΣΜΑΤΩΝ ΙΟΝΙΣΜΟΥ ΓΙΑ ΔΙΠΡΩΤΙΚΑ ΟΞΕΑ Στην περίπτωση διπρωτικού οξέως µε σταθερές pk A και pk B ελέγχουµε την τιµή του ph 1η περίπτωση.

ΚΑΝΟΝΕΣ ΚΛΑΣΜΑΤΩΝ ΙΟΝΙΣΜΟΥ ΓΙΑ ΔΙΠΡΩΤΙΚΑ ΟΞΕΑ Στην περίπτωση διπρωτικού οξέως µε σταθερές pk A και pk B ελέγχουµε την τιµή του ph 1η περίπτωση. ΚΑΝΟΝΕΣ ΚΛΑΣΜΑΤΩΝ ΙΟΝΙΣΜΟΥ ΓΙΑ ΔΙΠΡΩΤΙΚΑ ΟΞΕΑ Στην περίπτωση διπρωτικού οξέως µε σταθερές pk A και pk B ελέγχουµε την τιµή του ph 1η περίπτωση ph pk A pk B Τότε α 0 (διπρωτικού) = α 0 (µονοπρωτικού) α

Διαβάστε περισσότερα

7.5 Πρωτόκολλο IP. Τεχνολογία ικτύων Επικοινωνιών ΙΙ

7.5 Πρωτόκολλο IP. Τεχνολογία ικτύων Επικοινωνιών ΙΙ Τεχνολογία ικτύων Επικοινωνιών ΙΙ 7.5 Πρωτόκολλο IP 38. Τι είναι το πρωτόκολλο ιαδικτύου (Internet Protocol, IP); Είναι το βασικό πρωτόκολλο του επιπέδου δικτύου της τεχνολογίας TCP/IP. Βασίζεται στα αυτοδύναµα

Διαβάστε περισσότερα

Κυκλώµατα. Εισαγωγή. Συνδυαστικό Κύκλωµα

Κυκλώµατα. Εισαγωγή. Συνδυαστικό Κύκλωµα 6 η Θεµατική Ενότητα : Σύγχρονα Ακολουθιακά Κυκλώµατα Εισαγωγή Είσοδοι Συνδυαστικό Κύκλωµα Έξοδοι Στοιχεία Μνήµης Κατάσταση Ακολουθιακού Κυκλώµατος : περιεχόµενα στοιχείων µνήµης Η έξοδος εξαρτάται από

Διαβάστε περισσότερα

Απλοποιεί τα γεγονότα έτσι ώστε να περιγράφει τι έχει γίνει και όχι πως έχει γίνει.

Απλοποιεί τα γεγονότα έτσι ώστε να περιγράφει τι έχει γίνει και όχι πως έχει γίνει. οµηµένες τεχνικές Ο στόχος των δοµηµένων τεχνικών είναι: Υψηλής ποιότητας προγράµµατα Εύκολη τροποποίηση προγραµµάτων Απλοποιηµένα προγράµµατα Μείωση κόστους και χρόνου ανάπτυξης. Οι βασικές αρχές τους

Διαβάστε περισσότερα

Συστήματα μνήμης και υποστήριξη μεταφραστή για MPSoC

Συστήματα μνήμης και υποστήριξη μεταφραστή για MPSoC Συστήματα μνήμης και υποστήριξη μεταφραστή για MPSoC Πλεονεκτήματα MPSoC Είναι ευκολότερο να σχεδιαστούν πολλαπλοί πυρήνες επεξεργαστών από τον σχεδιασμό ενός ισχυρότερου και πολύ πιο σύνθετου μονού επεξεργαστή.

Διαβάστε περισσότερα

Αναζήτηση Κατά Πλάτος

Αναζήτηση Κατά Πλάτος Αναζήτηση Κατά Πλάτος Επιµέλεια διαφανειών:. Φωτάκης Σχολή Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Εθνικό Μετσόβιο Πολυτεχνείο Γραφήµατα Μοντελοποίηση πολλών σηµαντικών προβληµάτων (π.χ. δίκτυα

Διαβάστε περισσότερα

έντρα ιδάσκοντες:. Φωτάκης,. Σούλιου Επιμέλεια διαφανειών:. Φωτάκης Σχολή Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Εθνικό Μετσόβιο Πολυτεχνείο

έντρα ιδάσκοντες:. Φωτάκης,. Σούλιου Επιμέλεια διαφανειών:. Φωτάκης Σχολή Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Εθνικό Μετσόβιο Πολυτεχνείο έντρα ιδάσκοντες:. Φωτάκης,. Σούλιου Επιμέλεια διαφανειών:. Φωτάκης Σχολή Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Εθνικό Μετσόβιο Πολυτεχνείο έντρα έντρο: πρότυπο ιεραρχικής δομής. Αναπαράσταση

Διαβάστε περισσότερα

5.1 Θεωρητική εισαγωγή

5.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 5 ΚΩ ΙΚΟΠΟΙΗΣΗ BCD Σκοπός: Η κατανόηση της µετατροπής ενός τύπου δυαδικής πληροφορίας σε άλλον (κωδικοποίηση/αποκωδικοποίηση) µε τη µελέτη της κωδικοποίησης BCD

Διαβάστε περισσότερα

Βασίλειος Μαχαιράς Πολιτικός Μηχανικός Ph.D.

Βασίλειος Μαχαιράς Πολιτικός Μηχανικός Ph.D. Βασίλειος Μαχαιράς Πολιτικός Μηχανικός Ph.D. Μη γραμμικός προγραμματισμός: μέθοδοι μονοδιάστατης ελαχιστοποίησης Πανεπιστήμιο Θεσσαλίας Σχολή Θετικών Επιστημών ΤμήμαΠληροφορικής Διάλεξη 6 η /2017 Τι παρουσιάστηκε

Διαβάστε περισσότερα

Αλγόριθμοι και Πολυπλοκότητα

Αλγόριθμοι και Πολυπλοκότητα Αλγόριθμοι και Πολυπλοκότητα Ροή Δικτύου Δημήτρης Μιχαήλ Τμήμα Πληροφορικής και Τηλεματικής Χαροκόπειο Πανεπιστήμιο Μοντελοποίηση Δικτύων Μεταφοράς Τα γραφήματα χρησιμοποιούνται συχνά για την μοντελοποίηση

Διαβάστε περισσότερα

Αλγόριθµοι Γραφηµάτων

Αλγόριθµοι Γραφηµάτων Αλγόριθµοι Γραφηµάτων Παύλος Σπυράκης Πανεπιστήµιο Πατρών Τοµέας Θεµελιώσεων και Εφαρµογών της Επιστήµης των Υπολογιστών Ερευνητικό Ακαδηµαϊκό Ινστιτούτο Τεχνολογίας Υπολογιστών Γραφήµατα Μοντελοποίηση

Διαβάστε περισσότερα

Πανεπιστήµιο Θεσσαλίας

Πανεπιστήµιο Θεσσαλίας Πανεπιστήµιο Θεσσαλίας Τµήµα Πληροφορικής Ενότητα 8η: Συσκευές Ε/Ε - Αρτηρίες Άσκηση 1: Υπολογίστε το µέσο χρόνο ανάγνωσης ενός τµήµατος των 512 bytes σε µια µονάδα σκληρού δίσκου µε ταχύτητα περιστροφής

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΜΑΚΕ ΟΝΙΑΣ ΟΙΚΟΝΟΜΙΚΩΝ ΚΑΙ ΚΟΙΝΩΝΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΕΦΑΡΜΟΣΜΕΝΗΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΝΕΥΡΩΝΙΚΑ ΙΚΤΥΑ

ΠΑΝΕΠΙΣΤΗΜΙΟ ΜΑΚΕ ΟΝΙΑΣ ΟΙΚΟΝΟΜΙΚΩΝ ΚΑΙ ΚΟΙΝΩΝΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΕΦΑΡΜΟΣΜΕΝΗΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΝΕΥΡΩΝΙΚΑ ΙΚΤΥΑ ΘΕΜΑ ο (2.5 µονάδες) ΠΑΝΕΠΙΣΤΗΜΙΟ ΜΑΚΕ ΟΝΙΑΣ ΟΙΚΟΝΟΜΙΚΩΝ ΚΑΙ ΚΟΙΝΩΝΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ ΕΦΑΡΜΟΣΜΕΝΗΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΝΕΥΡΩΝΙΚΑ ΙΚΤΥΑ Τελικές εξετάσεις Παρασκευή 9 Ιανουαρίου 2007 5:00-8:00 εδοµένου ότι η

Διαβάστε περισσότερα

Τι είναι αλγόριθμος; Υποπρογράμματα (υποαλγόριθμοι) Βασικές αλγοριθμικές δομές

Τι είναι αλγόριθμος; Υποπρογράμματα (υποαλγόριθμοι) Βασικές αλγοριθμικές δομές Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 2015-16 Αλγόριθμοι και Δομές Δεδομένων (Ι) (εισαγωγικές έννοιες) http://di.ionio.gr/~mistral/tp/csintro/ Μ.Στεφανιδάκης Τι είναι

Διαβάστε περισσότερα