ΔΙΠΛΩΜΑΤΙΚΗ ΕΡΓΑΣΙΑ. Σχεδίαση και αξιολόγηση ψευδοτυχαίων γεννητριών για μειωμένη κατανάλωση ισχύος κατά τον έλεγχο ορθής λειτουργίας

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "ΔΙΠΛΩΜΑΤΙΚΗ ΕΡΓΑΣΙΑ. Σχεδίαση και αξιολόγηση ψευδοτυχαίων γεννητριών για μειωμένη κατανάλωση ισχύος κατά τον έλεγχο ορθής λειτουργίας"

Transcript

1 ΔΙΠΛΩΜΑΤΙΚΗ ΕΡΓΑΣΙΑ Θέμα: Επιβλέπων: Σχεδίαση και αξιολόγηση ψευδοτυχαίων γεννητριών για μειωμένη κατανάλωση ισχύος κατά τον έλεγχο ορθής λειτουργίας Καθηγητής Δημήτριος Νικολός Λαουδιάς Χρήστος Α.Μ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ Η/Υ & ΠΛΗΡΟΦΟΡΙΚΗΣ ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ ΝΟΕΜΒΡΙΟΣ 2003

2 Περιεχόμενα Περιεχόμενα... 1 Πίνακας Σχημάτων... 3 Πρόλογος... 4 Έλεγχοι καθυστέρησης Το πρόβλημα του εντοπισμού σφαλμάτων καθυστέρησης Έλεγχος καθυστέρησης μονοπατιού Παραδείγματα μη-ανθεκτικών ελέγχων Παραγωγή ελέγχων για συνδυαστικά κυκλώματα Παραγωγή ανθεκτικού ελέγχου Παραγωγή μη-ανθεκτικού ελέγχου Σφάλματα καθυστέρησης μονοπατιού που δεν εντοπίζονται Μέτρηση των μονοπατιών ενός κυκλώματος Πρακτικές πλευρές του έλεγχου καθυστέρησης Έλεγχος με την ταχύτητα του κυκλώματος (At-Speed testing) Built-in Self Test (BIST) για σφάλματα καθυστέρησης Διανύσματα δοκιμής που διαφέρουν σε ένα ακριβώς bit (Single Input Change SIC patterns) Συμπεράσματα Ανάλυση γεννητριών SIC διανυσμάτων που βασίζονται στον LFSR Γεννήτρια SIC ελέγχων για υψηλού επιπέδου BIST σύνθεση Γεννήτρια παραγωγής ανθεκτικών ελέγχων για BIST αρχιτεκτονικές Γεννήτρια παραγωγής ακολουθιών ελέγχου χαμηλής κατανάλωσης για περιβάλλον BIST Ακολουθίες ελέγχου Γεννήτρια παραγωγής RSIC ακολουθιών ελέγχου Βελτιστοποιημένη γεννήτρια διανυσμάτων για τον έλεγχο σφαλμάτων καθυστέρησης σε περιβάλλον BIST Βελτιστοποίηση της δομής του σχεδιασμού Γεννήτρια παραγωγής διανυσμάτων για υψηλή κάλυψη σφαλμάτων σε περιβάλλον BIST Ανάλυση γεννητριών SIC διανυσμάτων που βασίζονται σε μετρητή GRAY Κώδικας GRAY και η παραγωγή του Γεννήτρια με D flip-flop Γεννήτρια με Τ flip-flop Γεννήτρια με D flip-flop (2 η έκδοση) Σύγκριση της επιφάνειας (area) και της ταχύτητας των SIC γεννητριών Σύγκριση ως προς την ταχύτητα λειτουργίας Σύγκριση ως προς την επιφάνεια Συμπεράσματα Κάλυψη σφαλμάτων των γεννητριών SIC διανυσμάτων Τα ακαδημαϊκά κυκλώματα ISCAS85 και η εξομοίωση σφαλμάτων Κάλυψη σφαλμάτων καθυστέρησης των γεννητριών SIC διανυσμάτων

3 5.3 Κάλυψη stuck-at σφαλμάτων των γεννητριών SIC διανυσμάτων Έλεγχος περισσότερων κυκλωμάτων χρησιμοποιώντας την ίδια γεννήτρια Παράρτημα Α Παράρτημα Β Παράρτημα Γ Βιβλιογραφία

4 Πίνακας Σχημάτων Σχήμα 1: Παράδειγμα για την ελεγξιμότητα και την παρατηρησιμότητα κυκλώματος... 7 Σχήμα 2: Παράδειγμα σφάλματος καθυστέρησης... 8 Σχήμα 3: Ορισμός του σφάλματος καθυστέρησης... 9 Σχήμα 4: Παράδειγμα διάδοσης μιας μετάβασης μέσω διαφορετικών μονοπατιών Σχήμα 5: Παράδειγμα κατασκευής μη-ανθεκτικών ελέγχων για την πύλη NAND Σχήμα 6: Παράδειγμα ενός μη-ανθεκτικού ελέγχου Σχήμα 7: Γεγονότα που παράγονται στην έξοδο συνδυαστικής λογικής Σχήμα 8: Ενεργοποίηση για ανθεκτικό έλεγχο μονοπατιού για μεταβάσεις ανόδου και καθόδου των πυλών AND και OR Σχήμα 9: Άλγεβρα πέντε μεταβλητών για τον έλεγχο σφαλμάτων καθυστέρησης Σχήμα 10: Διαδικασία παραγωγής ανθεκτικού ελέγχου Σχήμα 11: Διαδικασία παραγωγής μη-ανθεκτικού ελέγχου Σχήμα 12: Διαδικασία μέτρησης μονοπατιών Σχήμα 13: Γενική αρχιτεκτονική BIST Σχήμα 14: Η επίπτωση των hazards κατά των έλεγχο σφαλμάτων καθυστέρησης Σχήμα 15: Η γεννήτρια παραγωγής SIC ελέγχων σύμφωνα με το [21] Σχήμα 16: Η γεννήτρια παραγωγής SIC ελέγχων σύμφωνα με το [4] Σχήμα 17: Τροποποιημένος LFSR τριών σταδίων μέγιστου μήκους Σχήμα 18: Βασική αρχή για την παραγωγή μιας ακολουθίας RSIC ελέγχων Σχήμα 19: Παραγωγή μέσω hardware μιας ακολουθίας ελέγχου RSIC Σχήμα 20: Παράδειγμα με k = 5 και m = 2. (a) Shifting σ = 1. (b) Shifting σ = m = Σχήμα 21: Ο σχεδιασμός της γεννήτριας SIC διανυσμάτων σύμφωνα με το [26] Σχήμα 22: Ο βελτιστοποιημένος σχεδιασμός της γεννήτριας του [26] Σχήμα 23: Γεννήτρια διανυσμάτων για υψηλή κάλυψη σφαλμάτων Σχήμα 24: Παραγωγή κώδικα GRAY τριών bits με χρήση απλού μετρητή Σχήμα 25: Γεννήτρια βασισμένη σε πάνω/κάτω μετρητή GRAY Σχήμα 26: Σύγχρονος δυαδικός πάνω/κάτω μετρητής με D flip-flop Σχήμα 27: 1-bit πλήρης αθροιστής Σχήμα 28: Πάνω/κάτω GRAY μετρητής με δυνατότητα κυκλικής μετατόπισης του LSB Σχήμα 29: Κύκλωμα ανίχνευσης για την αλλαγή της φοράς μέτρησης Σχήμα 30: Κύκλωμα παραγωγής του σήματος NEXT_PHASE Σχήμα 31: Σύγχρονος δυαδικός πάνω/κάτω μετρητής με T flip-flop Σχήμα 32: Δυαδικός μετρητής με δυνατότητα κυκλικής μετατόπισης του LSB Σχήμα 33: Κύκλωμα ανίχνευσης για την αλλαγή της φοράς μέτρησης Σχήμα 34: Υβριδική γεννήτρια διανυσμάτων δοκιμής για διαφορετικά είδη σφαλμάτων Σχήμα 35: Αριθμός των ανιχνεύσιμων RDDFs για το c Σχήμα 36: Αριθμός των ανιχνεύσιμων ΝRDDFs για το c Σχήμα 37: Η τροποποιημένη γεννήτρια της

5 Πρόλογος Αυτή η διπλωματική εργασία επιχειρεί μία εισαγωγή στις βασικές έννοιες του ελέγχου σφαλμάτων καθυστέρησης μονοπατιού (path delay fault testing) στα ψηφιακά κυκλώματα. Τα σφάλματα καθυστέρησης είναι ένα νέο μοντέλο σφαλμάτων που χρησιμοποιείται σήμερα κατά κόρον στη βιομηχανία για τον έλεγχο της ορθής λειτουργίας των ολοκληρωμένων κυκλωμάτων. Σκοπός του μοντέλου δεν είναι να εκτοπίσει το ευρύτατα διαδεδομένο single stuck-at μοντέλο σφαλμάτων από τη διαδικασία ελέγχου, αλλά να συνδράμει στην ανίχνευση ελαττωμάτων που δεν εντοπίζονται από το πρώτο. Τέτοια σφάλματα εμφανίζονται όλο και πιο συχνά σήμερα, που τα μεγέθη των ολοκληρωμένων κυκλωμάτων έχουν φτάσει την κλίμακα του νανόμετρου. Το μεγαλύτερο μέρος της διπλωματικής εργασίας αναφέρεται στη μελέτη και αξιολόγηση διάφορων γεννητριών για την παραγωγή διανυσμάτων ελέγχου που επιτυγχάνουν υψηλά ποσοστά κάλυψης σφαλμάτων και για τα δύο αυτά μοντέλα. Για την αξιολόγηση χρησιμοποιούνται διάφορα κριτήρια όπως το ποσοστό κάλυψης που επιτυγχάνει, το κόστος υλοποίησης και η συχνότητα λειτουργίας κάθε γεννήτριας. Το υπόλοιπο κομμάτι της διπλωματικής είναι οργανωμένο με τον ακόλουθο τρόπο. Στο Κεφάλαιο 1 παρουσιάζονται κάποιες εισαγωγικές έννοιες του μοντέλου καθυστέρησης σφαλμάτων καθώς και της τεχνικής του εμφωλευμένου ελέγχου (Built-In Self Test). Στο Κεφάλαιο 2 αναλύονται κάποιες γεννήτριες παραγωγής Single Input Change (SIC) διανυσμάτων που χρησιμοποιούν ως βασικό δομικό στοιχείο τον Linear Feedback Shift Register (LFSR). Στο Κεφάλαιο 3 αναλύονται κάποιες γεννήτριες παραγωγής διανυσμάτων βασισμένες σε μετρητή Gray, που αναπτύχθηκαν κατά την εκπόνηση της διπλωματικής. Στο Κεφάλαιο 4 γίνεται σύγκριση μεταξύ ορισμένων γεννητριών που αναλύθηκαν στα δύο προηγούμενα Κεφάλαια ως προς την ταχύτητα λειτουργίας και το επιπλέον υλικό που απαιτείται για την υλοποίηση κάθε μίας από αυτές. Στο Κεφάλαιο 5 γίνεται σύγκριση μεταξύ ορισμένων γεννητριών που αναλύθηκαν στα δύο προηγούμενα Κεφάλαια ως προς το ποσοστό κάλυψης σφαλμάτων και για τα δύο μοντέλα σφαλμάτων, single stuck-at και path delay. Στο Κεφάλαιο 6 αναλύεται η δυνατότητα ορισμένων γεννητριών να χρησιμοποιηθούν, με μικρές τροποποιήσεις, αποδοτικά για τον έλεγχο περισσοτέρων από ένα υποκυκλωμάτων σε εφαρμογές System On Chip (SOC). Στα 4

6 Παραρτήματα Α, Β, Γ περιλαμβάνονται οι αναλυτικοί πίνακες με όλα τα αποτελέσματα των πειραμάτων που πραγματοποιήθηκαν για κάθε γεννήτρια. Θα ήθελα να ευχαριστήσω τον Κο Δ. Νικολό καθηγητή του Τμήματος Μηχανικών Η/Υ & Πληροφορικής για την πολύτιμη βοήθεια και καθοδήγηση που μου προσέφερε κατά την επίβλεψη αυτής της εργασίας. Αυτή η διπλωματική εργασία είναι αφιερωμένη στους γονείς μου. Χρήστος Λαουδιάς 5

7 ΚΕΦΑΛΑΙΟ 1 Έλεγχοι καθυστέρησης 1.1 Το πρόβλημα του εντοπισμού σφαλμάτων καθυστέρησης Ένα stuck-at-0 σφάλμα σε κάποιο σήμα σημαίνει ότι το σήμα αυτό μπορούμε να το θέσουμε στην λογική τιμή 0, αλλά δεν μπορεί στη συνέχεια να αλλάξει στην τιμή 1. Εναλλακτικά, μπορούμε να πούμε ότι το σήμα χρειάζεται «άπειρο» χρόνο για να μεταβεί από λογική στάθμη 0 σε 1. Επομένως, ένα stuck-at σφάλμα είναι ένα άπειρης καθυστέρησης σφάλμα. Πρακτικά, αυτό σημαίνει ότι ένα κύκλωμα που περνάει με επιτυχία όλους τους ελέγχους που βασίζονται στο stuck-at μοντέλο είναι απίθανο να έχει τέτοια σφάλματα άπειρης καθυστέρησης. Αυτό φυσικά δεν είναι αρκετό για τα σύγχρονα ψηφιακά κυκλώματα που λειτουργούν σε πολύ υψηλές ταχύτητες. Οι λειτουργίες αυτών των κυκλωμάτων συνήθως χρονίζονται με κάποιο σήμα ρολογιού (clock) και είναι απαραίτητο όλα τα συνδυαστικά λογικά τμήματα του κυκλώματος να βρίσκονται σε σταθερή κατάσταση μέσα στην καθορισμένη περίοδο του ρολογιού. Με την εφαρμογή ελέγχων που βασίζονται στο stuck-at μοντέλο είναι δυνατό να αποκαλυφθούν ορισμένα σφάλματα καθυστέρησης. Παρόλα αυτά, αρκετές πρόσφατες μελέτες [6, 7, 8, 9] δείχνουν ότι οι έλεγχοι αυτοί δεν είναι αρκετοί και είναι αναγκαία η ανάπτυξη μεθόδων για τον εντοπισμό των σφαλμάτων καθυστέρησης. Τα ενσωματωμένα λογικά τμήματα (embedded logic blocks) που χρησιμοποιούνται στα VLSI κυκλώματα συνήθως έχουν μικρή ελεγξιμότητα (controllability) και παρατηρησιμότητα (observability). Ακολουθούν οι ορισμοί των δύο αυτών ποσοτικών μεγεθών που έχουν μεγάλη σημασία κατά τη διαδικασία του ελέγχου: Η ελεγξιμότητα αναφέρεται στο κόστος που χρειάζεται για να θέσουμε κάποιο κόμβο του κυκλώματος (κύρια είσοδο, εσωτερικό κόμβο, κύρια έξοδο) σε μία καθορισμένη λογική τιμή (0 ή 1) Η παρατηρησιμότητα αναφέρεται στην προσπάθεια που χρειάζεται ώστε να παρατηρήσουμε τη λογική τιμή ενός κόμβου σε μία από τις κύριες εξόδους του κυκλώματος 6

8 Στο Σχήμα 1 φαίνονται καθαρά τα παραπάνω μεγέθη. Σχήμα 1: Παράδειγμα για την ελεγξιμότητα και την παρατηρησιμότητα κυκλώματος Για να θέσουμε την έξοδο μιας πύλης AND πολλών εισόδων στη λογική τιμή 1 πρέπει να θέσουμε όλες τις εισόδους τις πύλης στην τιμή 1, ενώ αντίθετα για μια πύλη OR απαιτείται απλά να θέσουμε μόνο μία από τις εισόδους της πύλης στην τιμή 1. Φυσικά για να θέσουμε την τιμή ενός κόμβου που βρίσκεται στο δεύτερο επίπεδο πυλών του κυκλώματος απαιτείται μεγαλύτερη προσπάθεια. Όσο πιο μέσα προχωρούμε, τόσο πιο δύσκολη γίνεται αυτή η προσπάθεια, ενώ είναι πιθανό να μην υπάρχει ανάθεση τιμών στις κύριες εισόδους ώστε να θέσουμε τον κόμβο στην επιθυμητή τιμή. Από την άλλη πλευρά, για να παρατηρήσουμε την τιμή του κόμβου Ε πρέπει να θέσουμε την κύρια είσοδο D στην τιμή 0 ώστε να πετύχουμε την ενεργοποίηση (sensitization) μέσω της πύλης OR στην κύρια έξοδο G. Η αυξανόμενη πολυπλοκότητα και ταχύτητα των λογικών κυκλωμάτων, σε συνδυασμό με τις αυξανόμενες απαιτήσεις για ποιοτικά VLSI chips, έχουν αναδείξει τα τελευταία χρόνια τη σημασία, πρακτική και θεωρητική, του μοντέλου σφαλμάτων καθυστέρησης (delay fault model). Σκοπός του ελέγχου σφαλμάτων καθυστέρησης (delay testing) είναι να εξετάσει αν η καθυστέρηση διάδοσης των σημάτων κατά μήκος όλων των μονοπατιών του κυκλώματος, από τις κύριες εισόδους μέχρι τις κύριες εξόδους, είναι μικρότερη από την περίοδο του ρολογιού που χρησιμοποιεί το κύκλωμα. Όπως πολύ εύστοχα τονίζει ο Melvin Breuer σε μία εργασία [10] του 1974: a new type of fault, called a delay fault, is introduced, and a model developed so that a test to detect this class of fault can be generated via conventional test generation techniques. so that test generation is more of a science rather 7

9 than a hit or miss process, and so that the correctness of results need not always be verified via simulation or physical fault injection. Τα ευρύτατα διαδεδομένα μοντέλα σφαλμάτων stuck-at, stuck-open (ανοικτού κυκλώματος) και bridging (βραχυκυκλώματος) δεν περιλαμβάνουν καθόλου το χρονισμό. Είναι συχνό φαινόμενο στην πράξη λάθη που συμβαίνουν στα CMOS κυκλώματα να αφήνουν ανεπηρέαστη τη λειτουργικότητα του κυκλώματος, αλλά να επηρεάζουν το χρονισμό. Για παράδειγμα [11], θεωρούμε το φυσικό σχέδιο που φαίνεται στο Σχήμα 2 για μια υψηλής ισχύος πύλη NAND που αποτελείται από παράλληλα n- και p-τρανζίστορ. Αν η σύνδεση που φαίνεται στο σχήμα ήταν ανοικτή, η πύλη θα συνέχιζε να λειτουργεί κανονικά, αλλά με αυξημένο χρόνο οδήγησης «κάτω» («pull-down»). Επιπλέον, το σφάλμα τώρα γίνεται ακολουθιακό καθώς ο εντοπισμός του εξαρτάται από την προηγούμενη κατάσταση της πύλης και από την ταχύτητα του ρολογιού εξομοίωσης. Σχήμα 2: Παράδειγμα σφάλματος καθυστέρησης Στο Σχήμα 3 φαίνεται ένα ψηφιακό κύκλωμα. Ορισμένες από τις εισόδους και εξόδους μπορεί να είναι σταθερές κατάστασης που συνδέονται με flip-flops και άλλες είναι κύριες είσοδοι και έξοδοι. Όλες οι αλλαγές στις εισόδους είναι χρονισμένες με ένα σήμα ρολογιού και όλες οι έξοδοι αναμένονται να διατηρήσουν τις τελικές τους τιμές σταθερές κατά τη διάρκεια μιας περιόδου του ρολογιού μετά τις αλλαγές των τιμών στις εισόδους. 8

10 Σχήμα 3: Ορισμός του σφάλματος καθυστέρησης Επομένως, για να λειτουργεί σωστά το κύκλωμα θα πρέπει η καθυστέρηση του συνδυαστικού κομματιού λογικής (combinational logic) να μην υπερβαίνει την περίοδο του ρολογιού. Οι έξοδοι των λογικών κυκλωμάτων τυπικά βρίσκονται σε περιοχή μετάβασης (transient region) προτού πάρουν την τελική τους τιμή. Στο Σχήμα 3 μπορούμε να κάνουμε τις ακόλουθες παρατηρήσεις [12]: Για να εξετάσουμε την ορθή χρονική λειτουργία ενός κυκλώματος πρέπει να ελέγξουμε τις μεταβάσεις των σημάτων. Για παράδειγμα στο Σχήμα 3 το σήμα εισόδου αποτελείται από δύο διανύσματα, που εφαρμόζονται το ένα μετά το άλλο, ώστε να πετύχουμε τη μετάβαση Οι έλεγχοι σφαλμάτων καθυστέρησης αποτελούνται από ζεύγη διανυσμάτων δοκιμής. Το πρώτο διάνυσμα, που ονομάζεται διάνυσμα αρχικοποίησης, αρχικοποιεί τις γραμμές του κυκλώματος στην επιθυμητή αρχική τιμή. Το δεύτερο διάνυσμα, που ονομάζεται διάνυσμα ελέγχου, εφαρμόζεται στη συνέχεια ώστε να πετύχουμε την επιθυμητή μετάβαση (transition) και να την διαδώσουμε μέσω του κυκλώματος στις εξόδους. Όλες οι μεταβάσεις των τιμών στις εισόδους γίνονται την ίδια στιγμή. Για το λόγο αυτό η διάρκεια της περιοχής μετάβασης των εισόδων είναι μηδέν. Αυτό φυσικά είναι μία εξιδανίκευση, η οποία όμως δε βρίσκεται μακριά από την 9

11 πραγματικότητα. Η περιοχή μετάβασης των εξόδων (γκρι χρώμα) περιέχει πολλαπλές μεταβάσεις. Όπως θα φανεί και από το επόμενο παράδειγμα, η θέση της μετάβασης κάθε εξόδου, δηλαδή η χρονική στιγμή που λαμβάνει χώρα η εκάστοτε μετάβαση, εξαρτάται από την καθυστέρηση που επιφέρει κάποιο μονοπάτι του συνδυαστικού τμήματος του κυκλώματος. Το δεξί άκρο της περιοχής μετάβασης των εξόδων καθορίζεται ουσιαστικά από την τελευταία μετάβαση, ή από την καθυστέρηση του πιο αργού συνδυαστικού μονοπατιού που ενεργοποιείται από το τρέχον ζευγάρι διανυσμάτων που εφαρμόζουμε στις εισόδους. Θεωρώντας όλα τα δυνατά ζεύγη διανυσμάτων, «το πιο αργό συνδυαστικό μονοπάτι» είναι γνωστό ως το κρίσιμο μονοπάτι (critical path). Είναι δυνατό να υπάρχουν περισσότερα κρίσιμα μονοπάτια, αν πιο πολλά από ένα μονοπάτια έχουν καθυστέρηση που είναι ίση με τη μέγιστη. Είναι προφανές ότι η καθυστέρηση των κρίσιμων μονοπατιών καθορίζει την περίοδο του ρολογιού ώστε να είναι εφικτή η ορθή λειτουργία του κυκλώματος. Για να είναι εξασφαλισμένη η ορθή λειτουργία ενός κυκλώματος θα πρέπει η περιοχή μετάβασης των εξόδων να μην επεκτείνεται έξω από την περίοδο του ρολογιού. Διαφορετικά, το κύκλωμα θεωρείται ότι έχει σφάλμα καθυστέρησης. Σφάλμα καθυστέρησης σημαίνει ότι η καθυστέρηση ενός ή περισσότερων μονοπατιών, όχι αναγκαστικά των κρίσιμων, υπερβαίνει την περίοδο του ρολογιού. Στη συνέχεια θα εξετάσουμε τη διάδοση των μεταβάσεων διαφόρων σημάτων και πως αυτή επηρεάζεται από ένα ή πολλαπλά σφάλματα που ενδεχομένως υπάρχουν σε κάποια μονοπάτια του κυκλώματος. Θεωρούμε το ακόλουθο συνδυαστικό κύκλωμα. Σχήμα 4: Παράδειγμα διάδοσης μιας μετάβασης μέσω διαφορετικών μονοπατιών 10

12 Υποθέτουμε ότι οι λογικές πύλες έχουν ίσες καθυστερήσεις ανόδου και καθόδου (rise and fall times), οι οποίες είναι ακέραια πολλαπλάσια μιας αρκετά μικρής μονάδας χρόνου (nanosecond ή picosecond). Γενικά, στα σήματα υπάρχουν δύο ειδών καθυστερήσεις: Το χρονικό διάστημα ανάμεσα σε μία αλλαγή στις εισόδους (αιτία) και την αντίστοιχη αλλαγή στην έξοδο (αποτέλεσμα) μιας πύλης ονομάζεται καθυστέρηση εναλλαγής (inertial or switching delay) Το χρονικό διάστημα ανάμεσα στη δημιουργία μιας μετάβασης στη έξοδο μιας πύλης (πηγή) και της «άφιξής» της στην είσοδο κάποιας οδηγούμενης πύλης (προορισμός) είναι γνωστή ως καθυστέρηση διάδοσης (propagation ή transport delay) Στο παράδειγμά μας, υποθέτουμε ότι οι καθυστερήσεις των πυλών είναι αποκλειστικά καθυστερήσεις διάδοσης και ότι οι καθυστερήσεις εναλλαγής είναι αμελητέες. Επομένως, οι κυματομορφές των σημάτων εξελίσσονται ανάλογα με τις πύλες που μεσολαβούν χωρίς παραμορφώσεις. Έχουμε δηλαδή σε κάθε χρονική στιγμή «καθαρές» τετραγωνικές κυματομορφές. Στο Σχήμα 4 είναι σημειωμένες κυματομορφές σημάτων σε διάφορους κόμβους. Επίσης, σε κάθε κόμβο φαίνεται η στιγμή που συμβαίνει η μετάβαση. Στις εισόδους του κυκλώματος θεωρούμε ότι οι μεταβάσεις συμβαίνουν τη χρονική στιγμή 0, που είναι και το σημείο αναφοράς για τις μεταβάσεις στους υπόλοιπους κόμβους και στην έξοδο του κυκλώματος. Στην έξοδο γίνονται τρεις μεταβάσεις, οι οποίες οφείλονται στη διάδοση των σημάτων μέσα από τρία διαφορετικά μονοπάτια του κυκλώματος. Η εξέλιξη της κάθε μετάβασης φαίνεται καθαρά στο Σχήμα 4 αν ακολουθήσουμε τις διακεκομμένες γραμμές με τα βέλη. Για την ακρίβεια το κύκλωμα έχει πέντε μονοπάτια, τα οποία ενδεχομένως να προκαλέσουν στην έξοδο τον ίδιο αριθμό μεταβάσεων, ανάλογα φυσικά με τα διανύσματα εισόδου και τις αντίστοιχες καθυστερήσεις των πυλών. Με βάση τη μετάβαση στις εισόδους τα τρία μονοπάτια που ενεργοποιούνται είναι τα παρακάτω: Μονοπάτι P1: A H K Μονοπάτι P2: B E Q H K Μονοπάτι P3: B E G J K 11

13 Κατά τη λειτουργία του κυκλώματος τα σήματα εισόδου και εξόδου χρονίζονται με ένα ρολόι περιόδου Τ. Θεωρώντας ότι οι καθυστερήσεις αυτές προκύπτουν από την ανάλυση των δεδομένων της σχεδίασης (παράμετροι πυλών, χωρητικότητες των γραμμών κτλ) το κρίσιμο μονοπάτι έχει καθυστέρηση έξι (6) μονάδων όταν το κύκλωμα δεν έχει σφάλματα. Το μονοπάτι P3 είναι το ένα από τα δύο κρίσιμα μονοπάτια. Επιλέγουμε Τ=7. Όποιο μονοπάτι έχει καθυστέρηση μεγαλύτερη από εφτά μονάδες χρόνου θεωρείται ότι έχει σφάλμα. Εξετάζουμε τις ακόλουθες δύο περιπτώσεις: 1. Ένα ελαττωματικό μονοπάτι: Παρακολουθούμε την έξοδο του κυκλώματος και εξετάζουμε την τιμή του σήματος στις εφτά μονάδες χρόνου. Εφόσον η καθυστέρηση του μονοπατιού Ρ3 είναι έξι μονάδες ή λιγότερο, η έξοδος θα έχει πάρει τη λογική τιμή 1 ανεξάρτητα από τις καθυστερήσεις των μονοπατιών Ρ1 και Ρ2. Επομένως, σφάλματα καθυστέρησης στα δύο τελευταία μονοπάτια δεν είναι δυνατό να εντοπιστούν από αυτό το ζεύγος διανυσμάτων δοκιμής που εφαρμόσαμε στις εισόδους. Αν η καθυστέρηση του μονοπατιού Ρ3 υπερβεί τις εφτά μονάδες χρόνου, λόγω κάποιου κατασκευαστικού λάθους, τότε η τελευταία ακμή της εξόδου θα μετατοπιστεί προς τα δεξιά και θα παρατηρήσουμε 0 αντί για 1. Άρα ένα σφάλμα καθυστέρησης στο μονοπάτι Ρ3 μπορεί να εντοπιστεί από αυτό το ζεύγος διανυσμάτων δοκιμής. 2. Πολλαπλά ελαττωματικά μονοπάτια: Έστω ότι και τα τρία μονοπάτια έχουν καθυστερήσεις που ξεπερνούν τις εφτά μονάδες χρόνου. Αν ισχύσει αυτό το σενάριο τότε η κυματομορφή θα μετατοπιστεί ολόκληρη προς τα δεξιά και θα παρατηρήσουμε το λάθος. Αν το Ρ1 δεν είναι ελαττωματικό, αλλά τα Ρ2 και Ρ3 είναι, τότε η έξοδος θα πάρει την τιμή 1 στις δύο (2) μονάδες χρόνου και θα διατηρήσει την τιμή αυτή και μετά τις εφτά μονάδες χρόνου. Μπορεί βέβαια η έξοδος να αλλάξει τιμή ανάλογα με τις σχετικές καθυστερήσεις των μονοπατιών Ρ2 και Ρ3, αλλά παρατηρώντας στις εφτά μονάδες χρόνου δε θα υπάρχει λάθος. Στην περίπτωση αυτή ουσιαστικά το σφάλμα στο μονοπάτι Ρ2 εμπλέκεται στον εντοπισμό του σφάλματος στο μονοπάτι Ρ3. Αυτό συμβαίνει, όπως θα δούμε και στην επόμενη παράγραφο, επειδή το συγκεκριμένο ζεύγος διανυσμάτων αποτελεί 12

14 ένα «μη-ανθεκτικό» έλεγχο (non-robust test) για το σφάλμα καθυστέρησης του μονοπατιού Ρ3. Ανάλογα με το ζεύγος διανυσμάτων που εφαρμόζεται στις εισόδους του κυκλώματος ενδεχομένως να ενεργοποιούνται κάποια άλλα μονοπάτια. Η ανάλυση των μονοπατιών αυτών μπορεί να γίνει με παρόμοιο τρόπο. 1.2 Έλεγχος καθυστέρησης μονοπατιού Το σφάλμα καθυστέρησης μονοπατιού (path-delay fault) είναι ένα σημαντικό μοντέλο σφαλμάτων που χρησιμοποιείται στον έλεγχο σφαλμάτων καθυστέρησης. Ο πρώτος που έκανε λόγο για σφάλματα που οφείλονται στις καθυστερήσεις μονοπατιών ήταν ο G. L. Smith [13]. Ακολουθούν οι ορισμοί κάποιων βασικών εννοιών που σχετίζονται με το βασισμένο σε μονοπάτια μοντέλο σφαλμάτων καθυστέρησης [12]. Ορισμός 1 Σφάλμα καθυστέρησης μονοπατιού (Path-delay fault). Ένα ελάττωμα στο κύκλωμα θεωρείται ότι προκαλεί συσσωρευτική καθυστέρηση ενός συνδυαστικού μονοπατιού ώστε η διάδοση ενός σήματος μέσω του μονοπατιού αυτού να ξεπεράσει μια δεδομένη χρονική διάρκεια. Το συνδυαστικό μονοπάτι ξεκινάει από μία κύρια είσοδο ή κάποιο flip-flop, περιλαμβάνει μια αλυσίδα από διασυνδεόμενες πύλες και τερματίζει σε κάποια κύρια έξοδο ή flip-flop. Η καθυστέρηση διάδοσης είναι ο χρόνος που χρειάζεται ένα συμβάν (μετάβαση) για να διαδοθεί μέσω του μονοπατιού. Τόσο οι καθυστερήσεις εναλλαγής των πυλών, όσο και οι καθυστερήσεις μεταφοράς των γραμμών συμβάλλουν στην καθυστέρηση διάδοσης. Για κάθε συνδυαστικό μονοπάτι που υπάρχει στο κύκλωμα υπάρχουν δύο σφάλματα καθυστέρησης μονοπατιού, τα οποία σχετίζονται με τις μεταβάσεις 0 1 και 1 0 αντίστοιχα. Τα σφάλματα αυτά για ένα μονοπάτι που περιλαμβάνει τις πύλες a, b και c συμβολίζονται με a b c και a b c, όπου τα βέλη δείχνουν την μετάβαση στην είσοδο του μονοπατιού ( για τη μετάβαση 0 1 και για τη μετάβαση 1 0). Επομένως, ο συνολικός αριθμός των σφαλμάτων καθυστέρησης μονοπατιού είναι διπλάσιος από τον αριθμό των φυσικών μονοπατιών που υπάρχουν στο κύκλωμα. Είναι 13

15 εμφανές, όπως θα δούμε και σε επόμενη παράγραφο, το μέγεθος του προβλήματος που προκύπτει καθώς ο αριθμός των διακριτών μονοπατιών στα σύγχρονα κυκλώματα είναι τεράστιος. Αυτό κάνει τον έλεγχο του κυκλώματος, με βάση το μοντέλο καθυστέρησης μονοπατιών, ένα δύσκολο εγχείρημα. Στην πράξη πολλαπλά μονοπάτια μπορεί να παρουσιάζουν σφάλματα καθυστέρησης. Όπως συμβαίνει όμως και στην περίπτωση του stuck-at μοντέλου σφαλμάτων, που στην ουσία είναι single stuck-at μοντέλο, θεωρούμε σφάλματα καθυστέρησης σε ένα μονοπάτι κάθε φορά. Ορισμός 2 Μη-ανθεκτικός έλεγχος καθυστέρησης μονοπατιού (Non-robust path-delay test). Ένας έλεγχος που εξασφαλίζει τον εντοπισμό ενός σφάλματος καθυστέρησης μονοπατιού, όταν δεν υπάρχει άλλο σφάλμα καθυστέρησης μονοπατιού στο κύκλωμα, ονομάζεται μηανθεκτικός έλεγχος για το μονοπάτι. Ένα σφάλμα καθυστέρησης μονοπατιού για το οποίο υπάρχει ένας μη-ανθεκτικός έλεγχος ονομάζεται «μοναδικά ελεγχόμενο σφάλμα καθυστέρησης μονοπατιού» (singly-testable path-delay fault) [14]. Ένας μη-ανθεκτικός έλεγχος καθυστέρησης μονοπατιού εφαρμόζει μία μετάβαση (δηλαδή ένα ζεύγος διανυσμάτων) στην είσοδο του μονοπατιού και εξετάζει την τιμή στην έξοδο μετά από ένα καθορισμένο διάστημα (περίοδος ρολογιού). Για να είναι ο έλεγχος αυτός ένα αποδοτικό μέτρο της καθυστέρησης του μονοπατιού η αναμενόμενη τιμή στην έξοδο θα πρέπει να καθορίζεται μοναδικά από τη μετάβαση που διαδίδεται μέσω του μονοπατιού. Ας θεωρήσουμε το σφάλμα καθυστέρησης μονοπατιού Ρ3 που φαίνεται στο Σχήμα 4 με έντονη μαύρη γραμμή. Τα σήματα B, E, G, J, K ονομάζονται on-path σήματα. Τα σήματα που βρίσκονται έξω από το μονοπάτι Ρ3, αλλά τροφοδοτούν τις πύλες του μονοπατιού ονομάζονται off-path σήματα (για παράδειγμα τα σήματα C και Η για το μονοπάτι Ρ3). Ένας μη-ανθεκτικός έλεγχος αποτελείται από ένα ζεύγος διανυσμάτων V1 και V2 τέτοια ώστε: 1. Η αλλαγή V1 V2 ξεκινάει την κατάλληλη μετάβαση στην αρχή του μονοπατιού που ελέγχεται για σφάλμα. Για παράδειγμα, το ζεύγος διανυσμάτων (V1,V2) = (010,100) προκαλεί μία μετάβαση 1 0 στο Β για τον έλεγχο του Ρ3 σφάλματος. 14

16 2. Όλα τα off-path σήματα εισόδου για το μονοπάτι που ελέγχεται θέτονται σε τιμές κατάλληλες, ώστε να είναι δυνατή η διάδοση της μετάβασης που προκαλεί το ζεύγος διανυσμάτων, αμέσως μετά την εφαρμογή του δεύτερου διανύσματος V2. Αυτό σημαίνει στην πράξη ότι τα off-path σήματα που τροφοδοτούν μία OR ή NOR πύλη θέτονται στην τιμή 0, διαφορετικά θέτονται στην τιμή 1. Αυτή η προϋπόθεση είναι γνωστή ως στατική ενεργοποίηση (static sensitization) του μονοπατιού, έννοια που δεν πρέπει σε καμία περίπτωση να συγχέεται με τον όρο static timing analysis, η οποία αναφέρεται στην τοπολογική ανάλυση των φυσικών μονοπατιών χωρίς την εφαρμογή σημάτων. Στο Σχήμα 4 μεταβάσεις εφαρμόζονται για τα σφάλματα Ρ1 και Ρ3, αλλά στατική ενεργοποίηση επιτυγχάνεται μόνο για το δεύτερο σφάλμα. Για αυτό μόνο το σφάλμα Ρ3 είναι μη-ανθεκτικά ελέγξιμο. Κανείς μπορεί εύκολα να επιβεβαιώσει ότι οι δύο προηγούμενες προϋποθέσεις πράγματι παράγουν ένα μη-ανθεκτικό έλεγχο. Πρώτα, από τον ορισμό του μηανθεκτικού ελέγχου, μόνο ένα μονοπάτι είναι ελαττωματικό. Επομένως, όλες οι μεταβάσεις που διαδίδονται μέσω διαφορετικών μονοπατιών και καταλήγουν στον ίδιο προορισμό πρέπει να φτάνουν πριν το τέλος της περιόδου του ρολογιού. Αυτό σημαίνει ότι στο τέλος της περιόδου του ρολογιού όλα τα σήματα, εκτός από τα on-path σήματα, του μονοπατιού που ελέγχεται πρέπει να βρίσκονται σε σταθερή κατάσταση. Αφού τα off-path σήματα (μετά τη σταθεροποίησή τους) ενεργοποιούν ολόκληρο το μονοπάτι που ελέγχεται, το σήμα στην έξοδο του μονοπατιού καθορίζεται μοναδικά από τη διάδοση της μετάβασης μέσω του μονοπατιού. Αν η καθυστέρηση του μονοπατιού υπερβεί τη περίοδο ρολογιού τότε η τιμή του σήματος στην έξοδο του μονοπατιού στο τέλος της περιόδου θα διαφέρει από την αναμενόμενη τιμή Παραδείγματα μη-ανθεκτικών ελέγχων Παράδειγμα 1 Στο Σχήμα 5 φαίνονται οι δύο μη-ανθεκτικοί έλεγχοι για μία πύλη AND τριών εισόδων. Για τον πρώτο έλεγχο εφαρμόζουμε στις εισόδους της πύλης το ζεύγος διανυσμάτων (V1,V2) = (ΧΧ0,111). Για το δεύτερο έλεγχο εφαρμόζουμε στις εισόδους της πύλης το 15

17 ζεύγος διανυσμάτων (V1,V2) = (ΧΧ1,110). Παρατηρούμε και στους δύο ελέγχους ότι κατά την εφαρμογή του διανύσματος V2 οι off-path είσοδοι της πύλης θέτονται στην τιμή 1 για να μπορέσει να διαδοθεί η μετάβαση στην τρίτη είσοδο της πύλης μέχρι την έξοδο μέσω του μονοπατιού που φαίνεται. Σχήμα 5: Παράδειγμα κατασκευής μη-ανθεκτικών ελέγχων για την πύλη NAND Παράδειγμα 2 Στο Σχήμα 6 φαίνεται ένας μη-ανθεκτικός έλεγχος για το σφάλμα καθυστέρησης μονοπατιού A B C. Η πύλη AND έχει ίσους χρόνους ανόδου και καθόδου μιας μονάδας χρόνου (συμβολίζεται με 1/1). Η πύλη NOT έχει χρόνους ανόδου και καθόδου ίσους με δύο μονάδες χρόνου. Το ζεύγος διανυσμάτων (0,1) επιλέγεται ως ένας μηανθεκτικός έλεγχος για το μονοπάτι χωρίς να λάβουμε υπόψη μας τους χρόνους καθυστέρησης των πυλών. Οι πρώτες τρεις κυματομορφές δείχνουν τις τιμές των σημάτων τις διάφορες χρονικές στιγμές για το κύκλωμα στην περίπτωση που αυτό δεν είναι ελαττωματικό. Οι τελευταίες δύο κυματομορφές δείχνουν τη συμπεριφορά των σημάτων για ένα σφάλμα καθυστέρησης που προκαλείται εξαιτίας της αύξησης της καθυστέρησης του αντιστροφέα στις τέσσερις μονάδες χρόνου αντί για δύο. Παρατηρούμε ότι ο έλεγχος αυτός δεν προκαλεί αλλαγή της τιμής του σήματος στην έξοδο (σήμα C) στο τέλος της περιόδου του ρολογιού, η οποία είναι μηδέν για όλες τις εισόδους του κυκλώματος. Το κύκλωμα αυτό είναι στην ουσία μία γεννήτρια παλμού (pulse generator). Το πλάτος του παλμού εξαρτάται από την καθυστέρηση του αντιστροφέα. Στην περίπτωση που η θέση και το πλάτος του παραγόμενου παλμού έχουν χρονικές απαιτήσεις σε σχέση με την περίοδο ρολογιού, τότε ένα σφάλμα καθυστέρησης στο μονοπάτι του αντιστροφέα είναι σημαντικό και ο συγκεκριμένος έλεγχος αρκετά χρήσιμος. Ο έλεγχος βέβαια αυτός είναι μη-ανθεκτικός και σύμφωνα με τον ορισμό δεν 16

18 Σχήμα 6: Παράδειγμα ενός μη-ανθεκτικού ελέγχου εγγυάται ότι το σφάλμα θα εντοπιστεί στην περίπτωση που υπάρχουν και άλλα ελαττωματικά μονοπάτια. Για παράδειγμα, αν υπάρχει επιπλέον το σφάλμα καθυστέρησης A C, είτε λόγω αύξησης της καθυστέρησης των γραμμών είτε λόγω αύξησης της καθυστέρησης της πύλης AND, τότε το σήμα C μπορεί να διατηρεί διαρκώς την τιμή 0. Σε ορισμένες περιπτώσεις ο παλμός εξόδου παράγεται, αλλά είναι μετατοπισμένος στο χρόνο προς τα δεξιά και έξω από την περιοχή της περιόδου ρολογιού (σχεδιασμένη με γκρίζο χρώμα). Σε κάθε περίπτωση, θα παρατηρήσουμε στην έξοδο τη λογική τιμή 0 στο τέλος της περιόδου. Επομένως, η παρουσία του σφάλματος καθυστέρησης A C ουσιαστικά αχρηστεύει τον έλεγχο για το σφάλμα A B C. Τονίζουμε επίσης ότι δεν υπάρχει μη-ανθεκτικός έλεγχος για το σφάλμα καθυστέρησης A C, αφού όταν εφαρμόσουμε τη μετάβαση 0 1 στο σήμα Α η off-path είσοδος Β της πύλης AND παίρνει την τιμή 0 και όχι την τιμή 1 που έπρεπε να πάρει για να διαδοθεί η μετάβαση στην έξοδο. Ένα μονοπάτι για το οποίο δεν υπάρχει μη-ανθεκτικός έλεγχος ονομάζεται false path. Ορισμός 3 Ανθεκτικός έλεγχος σφάλματος καθυστέρησης (Robust path-delay test). Ένας ανθεκτικός έλεγχος σφάλματος καθυστέρησης εγγυάται ότι στο τέλος του μονοπατιού που ελέγχεται θα υπάρξει λανθασμένη τιμή, αν η καθυστέρηση του μονοπατιού ξεπεράσει την 17

19 περίοδο του ρολογιού, ανεξάρτητα από το αν υπάρχουν άλλα σφάλματα καθυστέρησης στο κύκλωμα. Στο Σχήμα 7 φαίνεται μία υποθετική κυματομορφή που παράγεται στην έξοδο ενός συνδυαστικού κυκλώματος μετά την εφαρμογή ενός ζεύγους διανυσμάτων (V1,V2) στην είσοδο. Αν το συνδυαστικό αυτό κύκλωμα είναι μέρος ενός ακολουθιακού κυκλώματος με ρολόι, τότε μας ενδιαφέρει η τιμή στην έξοδο στο τέλος της περιόδου ρολογιού. Η αρχική τιμή 0 είναι η τιμή της εξόδου σε σταθερή κατάσταση μετά την εφαρμογή του διανύσματος V1 και η τελική τιμή 1 είναι η τιμή της εξόδου σε σταθερή κατάσταση μετά Σχήμα 7: Γεγονότα που παράγονται στην έξοδο συνδυαστικής λογικής την εφαρμογή του διανύσματος V2. Κάθε μετάβαση που προκαλείται από την εφαρμογή του ζεύγους διανυσμάτων μπορεί να διαδοθεί μέσα από κάποιο μονοπάτι και να προκαλέσει μετάβαση στην τιμή της εξόδου ανάλογα με την καθυστέρηση του αντίστοιχου μονοπατιού. Οι μεταβάσεις που διαδίδονται μέσω μονοπατιών με καθυστέρηση μικρότερη από την περίοδο του ρολογιού ονομάζονται «γρήγορες μεταβάσεις» (fast transitions), ενώ οι μεταβάσεις που διαδίδονται μέσω μονοπατιών με καθυστέρηση μεγαλύτερη από την περίοδο του ρολογιού ονομάζονται «αργές μεταβάσεις» (slow transitions). Αν η καθυστέρηση ενός μονοπατιού αυξηθεί, τότε η αντίστοιχη μετάβαση στην έξοδο θα μετατοπιστεί προς τα δεξιά. Αν η καθυστέρηση ενός μονοπατιού μειωθεί, τότε η αντίστοιχη μετάβαση στην έξοδο θα μετατοπιστεί προς τα αριστερά. Όταν δύο γειτονικές μεταβάσεις ενωθούν και σχηματίσουν έναν παλμό, το πλάτος του παλμού ισούται με τη διαφορά των καθυστερήσεων των αντίστοιχων μονοπατιών. Αν το πλάτος του παλμού είναι μηδέν, τότε και οι δύο μεταβάσεις εξαφανίζονται. Τελικά, η θέση ενός συμβάντος στην έξοδο καθορίζεται από την 18

7 η διάλεξη Ακολουθιακά Κυκλώματα

7 η διάλεξη Ακολουθιακά Κυκλώματα 7 η διάλεξη Ακολουθιακά Κυκλώματα 1 2 3 4 5 6 7 Παραπάνω βλέπουμε ακολουθιακό κύκλωμα σχεδιασμένο με μανταλωτές διαφορετικής φάσης. Παρατηρούμε ότι συνδυαστική λογική μπορεί να προστεθεί μεταξύ και των

Διαβάστε περισσότερα

Ψηφιακά Κυκλώματα (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική

Ψηφιακά Κυκλώματα (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική Ψηφιακά Κυκλώματα ( ο μέρος) ΜΥΥ-6 Εισαγωγή στους Η/Υ και στην Πληροφορική Ψηφιακά κυκλώματα Οι δύο λογικές τιμές, αντιστοιχούν σε ηλεκτρικές τάσεις Υλοποιούνται με τρανζίστορ ή διόδους: ελεγχόμενοι διακόπτες

Διαβάστε περισσότερα

σφαλμάτων Δημήτρης Νικολός, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν. Πατρών

σφαλμάτων Δημήτρης Νικολός, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν. Πατρών Λογική εξομοίωση και εξομοίωση σφαλμάτων Περίγραμμα ργρ Επιβεβαίωση σχεδιασμού και εξομοίωση (Verification and Simulation) Είδη εξομοίωσης (Types of Simulation) Εξομοίωση σφαλμάτων (Fault Simulation) Σειριακή

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9. Tα Flip-Flop

ΑΣΚΗΣΗ 9. Tα Flip-Flop ΑΣΚΗΣΗ 9 Tα Flip-Flop 9.1. ΣΚΟΠΟΣ Η κατανόηση της λειτουργίας των στοιχείων μνήμης των ψηφιακών κυκλωμάτων. Τα δομικά στοιχεία μνήμης είναι οι μανδαλωτές (latches) και τα Flip-Flop. 9.2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ

Διαβάστε περισσότερα

Σωστή απάντηση το: Γ. Απάντηση

Σωστή απάντηση το: Γ. Απάντηση Ειδικά Θέματα Ελέγχου Ορθής Λειτουργίας VLSI Συστημάτων - Σχεδιασμός για Εύκολο Έλεγχο Εξετάσεις ΟΣΥΛ & ΕΤΥ 4-7- 2016 Ειδικά Θέματα Σχεδίασης Ψηφιακών Συστημάτων Εξετάσεις μαθήματος επιλογής Τμήματος Μηχανικών

Διαβάστε περισσότερα

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο

«Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο ΤΕΙ Δυτικής Ελλάδας Τμήμα Μηχανικών Πληροφορικής ΤΕ Εργαστήριο Σχεδίασης Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων «Σχεδιασμός Ψηφιακών Συστημάτων σε FPGA» Εαρινό εξάμηνο 2016-2017 Διάλεξη 6 η :

Διαβάστε περισσότερα

Εξαγωγή Διανυσμάτων Δοκιμής. Δημήτρης Νικολός, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν. Πατρών

Εξαγωγή Διανυσμάτων Δοκιμής. Δημήτρης Νικολός, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν. Πατρών Εξαγωγή Διανυσμάτων Δοκιμής Δημήτρης Νικολός, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν. Πατρών Περίγραμμα ργρ Παρουσίασης Είδη Συνόλων Δοκιμής Ντετερμινιστικά σύνολα δοκιμής Συμβολισμοί

Διαβάστε περισσότερα

Προβλήµατα και τεχνικές

Προβλήµατα και τεχνικές LOW POWER TESTING Προβλήµατα και τεχνικές 1 Προβλήµατα Προβλήµατα Πιθανές βλάβες κατά την διάρκεια της διαδικασίας λόγω αυξηµένης διακοπτικής δραστηριότητας και συνήθως µη ποιοτικού packaging που οδηγούν

Διαβάστε περισσότερα

Πανεπιστήµιο Αιγαίου Τµήµα Μηχανικών Πληροφοριακών και Επικοινωνιακών Συστηµάτων. 3η Άσκηση Logical Effort - Ένα ολοκληρωµένο παράδειγµα σχεδίασης

Πανεπιστήµιο Αιγαίου Τµήµα Μηχανικών Πληροφοριακών και Επικοινωνιακών Συστηµάτων. 3η Άσκηση Logical Effort - Ένα ολοκληρωµένο παράδειγµα σχεδίασης Πανεπιστήµιο Αιγαίου Τµήµα Μηχανικών Πληροφοριακών και Επικοινωνιακών Συστηµάτων Εισαγωγή σε VLSI 3η Άσκηση Logical Effort - Ένα ολοκληρωµένο παράδειγµα σχεδίασης Μανόλης Καλλίγερος (kalliger@aegean.gr)

Διαβάστε περισσότερα

Bλάβες, ελαττώματα και. Δημήτρης Νικολός, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν. Πατρών

Bλάβες, ελαττώματα και. Δημήτρης Νικολός, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν. Πατρών Bλάβες, ελαττώματα και μοντέλα σφαλμάτων Περίγραμμα ργρ παρουσίασης Βλάβες (Failures) Ελαττώματα (Defects) Μοντέλα σφαλμάτων (Fault models) Μοντέλο σφαλμάτων μόνιμης μης τιμής (Stuck-at faults Βραχυκυκλώματα

Διαβάστε περισσότερα

Καθυστέρηση στατικών πυλών CMOS

Καθυστέρηση στατικών πυλών CMOS Καθυστέρηση στατικών πυλών CMOS Πρόχειρες σημειώσεις Γιώργος Δημητρακόπουλος Τμήμα Επιστήμης Υπολογιστών Πανεπιστήμιο Κρήτης Άνοιξη 2008 Παρόλο που οι εξισώσεις των ρευμάτων των MOS τρανζίστορ μας δίνουν

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ 1) Οι απαριθμητές ή μετρητές (counters) είναι κυκλώματα που

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 9: Ελαχιστοποίηση και Κωδικοποίηση Καταστάσεων, Σχεδίαση με D flip-flop, Σχεδίαση με JK flip-flop, Σχεδίαση με T flip-flop Δρ. Μηνάς

Διαβάστε περισσότερα

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI

Εργαστήριο Εισαγωγής στη Σχεδίαση Συστημάτων VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL

Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL 3.1 Εισαγωγή στα FLIP FLOP 3.1.1 Θεωρητικό Υπόβαθρο Τα σύγχρονα ακολουθιακά κυκλώματα με τα οποία θα ασχοληθούμε στο εργαστήριο των Ψηφιακών συστημάτων

Διαβάστε περισσότερα

Υ52 Σχεδίαση Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων. Δεληγιαννίδης Σταύρος Φυσικός, MsC in Microelectronic Design

Υ52 Σχεδίαση Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων. Δεληγιαννίδης Σταύρος Φυσικός, MsC in Microelectronic Design Υ52 Σχεδίαση Ψηφιακών Ολοκληρωμένων Κυκλωμάτων και Συστημάτων Δεληγιαννίδης Σταύρος Φυσικός, MsC in Microelectronic Design TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής Τ.Ε.

Διαβάστε περισσότερα

«Εγχειρίδιο Προγράμματος Hope (version 2)»

«Εγχειρίδιο Προγράμματος Hope (version 2)» ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ Η/Υ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ «Εγχειρίδιο Προγράμματος Hope (version 2)» Μαργαρίτα Κουτσουπιά Μηχ. Η/Υ και Πληροφορικής ΠΑΤΡΑ 2009 Το Hope αποτελεί έναν Παράλληλο

Διαβάστε περισσότερα

ΚΕΦΑΛΑΙΟ 6 ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ. 6.1 Εισαγωγή

ΚΕΦΑΛΑΙΟ 6 ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ. 6.1 Εισαγωγή ΚΕΦΑΛΑΙΟ 6 ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 6. Εισαγωγή Τα ψηφιακά κυκλώματα διακρίνονται σε συνδυαστικά και ακολουθιακά. Τα κυκλώματα που εξετάσαμε στα προηγούμενα κεφάλαια ήταν συνδυαστικά. Οι τιμές των

Διαβάστε περισσότερα

Εισαγωγή στον έλεγχο ορθής λειτουργίας ψηφιακών συστημάτων. Δημήτρης Νικολός, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν.

Εισαγωγή στον έλεγχο ορθής λειτουργίας ψηφιακών συστημάτων. Δημήτρης Νικολός, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών και Πληροφορικής, Παν. Εισαγωγή στον έλεγχο ορθής λειτουργίας ψηφιακών συστημάτων 1 Περίγραμμα παρουσίασης Ανάγκη για έλεγχο ορθής λειτουργίας Επιβεβαίωση σχεδιασμού έναντι επιβεβαίωσης ορθής λειτουργίας μετά την κατασκευή και

Διαβάστε περισσότερα

Εργαστηριακή άσκηση. Θεωρητικός και πρακτικός υπολογισμός καθυστερήσεων σε αναστροφείς CMOS VLSI

Εργαστηριακή άσκηση. Θεωρητικός και πρακτικός υπολογισμός καθυστερήσεων σε αναστροφείς CMOS VLSI Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων

ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Μετρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Μετρητής Ριπής Σύγχρονος υαδικός

Διαβάστε περισσότερα

Xρονισμός ψηφιακών κυκλωμάτων

Xρονισμός ψηφιακών κυκλωμάτων Xρονισμός ψηφιακών κυκλωμάτων Γιώργος Δημητρακόπουλος Τμήμα Επιστήμης Υπολογιστών Πανεπιστήμιο Κρήτης Φθινόπωρο 2008 ΗΥ220 1 Περιεχόμενα μαθήματος Καθυστέρηση λογικών πυλών και των συνδυαστικών κυκλωμάτων

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Μετρητές 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Μετρητές 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Μετρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Μετρητής Ριπής Σύγχρονος υαδικός Μετρητής

Διαβάστε περισσότερα

Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής

Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής Βασισμένο σε μια εργασία των Καζαρλή, Καλόμοιρου, Μαστοροκώστα, Μπαλουκτσή, Καλαϊτζή, Βαλαή, Πετρίδη Εισαγωγή Η Εξελικτική Υπολογιστική

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

Αλγόριθµοι Εκτίµησης Καθυστέρησης και

Αλγόριθµοι Εκτίµησης Καθυστέρησης και Αλγόριθµοι Εκτίµησης Καθυστέρησης και Βελτιστοποίησης Εισαγωγή Το κύριο πρόβληµα στην σχεδίαση κυκλωµάτων είναι η επίτευξη της µέγιστης απόδοσης για την δεδοµένη τεχνολογία. Μεγιστοποίηση απόδοσης: (α)

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ

ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ ΑΣΚΗΣΗ 2 η N-MOS ΚΑΙ P-MOS TRANSISTOR ΩΣ ΔΙΑΚΟΠΤΗΣ ΘΕΩΡΙΑ 1. Εργαλεία εξομοίωσης, SPICE, αρχεία περιγραφής κυκλωμάτων (netlist) (Παρ. 3.4, σελ 152-155) 2. To transistor ως διακόπτης, πύλη διέλευσης. (Παρ

Διαβάστε περισσότερα

Συνδυαστικά Κυκλώματα

Συνδυαστικά Κυκλώματα 3 Συνδυαστικά Κυκλώματα 3.1. ΣΥΝΔΥΑΣΤΙΚΗ Λ ΟΓΙΚΗ Συνδυαστικά κυκλώματα ονομάζονται τα ψηφιακά κυκλώματα των οποίων οι τιμές της εξόδου ή των εξόδων τους διαμορφώνονται αποκλειστικά, οποιαδήποτε στιγμή,

Διαβάστε περισσότερα

4/10/2008. Στατικές πύλες CMOS και πύλες με τρανζίστορ διέλευσης. Πραγματικά τρανζίστορ. Ψηφιακή λειτουργία. Κανόνες ψηφιακής λειτουργίας

4/10/2008. Στατικές πύλες CMOS και πύλες με τρανζίστορ διέλευσης. Πραγματικά τρανζίστορ. Ψηφιακή λειτουργία. Κανόνες ψηφιακής λειτουργίας 2 η διάλεξη 25 Σεπτεμβρίου Πραγματικά τρανζίστορ Στατικές πύλες CMOS και πύλες με τρανζίστορ διέλευσης Γιώργος Δημητρακόπουλος Τμήμα Επιστήμης Υπολογιστών Πανεπιστήμιο Κρήτης Η τάση στο gate του τρανζίστορ

Διαβάστε περισσότερα

Θέματα χρονισμού σε φλιπ-φλοπ και κυκλώματα VLSI

Θέματα χρονισμού σε φλιπ-φλοπ και κυκλώματα VLSI Εθνικό Μετσόβιο Πολυτεχνείο Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Τομέας Επικοινωνιών, Ηλεκτρονικής και Συστημάτων Πληροφορικής Εισαγωγή στην Σχεδίαση Συστημάτων VLSI Θέματα χρονισμού

Διαβάστε περισσότερα

Μάθημα 5: Χαρακτηριστικά της Κ.Μ.Ε.

Μάθημα 5: Χαρακτηριστικά της Κ.Μ.Ε. Μάθημα 5: Χαρακτηριστικά της Κ.Μ.Ε. 5.1 Το ρολόι Κάθε μία από αυτές τις λειτουργίες της Κ.Μ.Ε. διαρκεί ένα μικρό χρονικό διάστημα. Για το συγχρονισμό των λειτουργιών αυτών, είναι απαραίτητο κάποιο ρολόι.

Διαβάστε περισσότερα

Μικροηλεκτρονική - VLSI

Μικροηλεκτρονική - VLSI ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Μικροηλεκτρονική - VLSI Ενότητα 6.1: Συνδυαστική Λογική - Βασικές Πύλες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

K15 Ψηφιακή Λογική Σχεδίαση 7-8: Ανάλυση και σύνθεση συνδυαστικών λογικών κυκλωμάτων

K15 Ψηφιακή Λογική Σχεδίαση 7-8: Ανάλυση και σύνθεση συνδυαστικών λογικών κυκλωμάτων K15 Ψηφιακή Λογική Σχεδίαση 7-8: Ανάλυση και σύνθεση συνδυαστικών λογικών κυκλωμάτων Γιάννης Λιαπέρδος TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ Η έννοια του συνδυαστικού

Διαβάστε περισσότερα

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα Κεφάλαιο 6 Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα 6.1 Εισαγωγή Η εκτέλεση διαδοχικών λειτουργιών απαιτεί τη δημιουργία κυκλωμάτων που μπορούν να αποθηκεύουν πληροφορίες, στα ενδιάμεσα στάδια των

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές

Διαβάστε περισσότερα

Εργαστηριακή άσκηση. Θεωρητικός και πρακτικός υπολογισμός καθυστερήσεων σε λογικά δίκτυα πολλών σταδίων

Εργαστηριακή άσκηση. Θεωρητικός και πρακτικός υπολογισμός καθυστερήσεων σε λογικά δίκτυα πολλών σταδίων Ε.Μ.Π. - ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ ΚΑΙ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΕΙΣΑΓΩΓΗ ΣΤΗ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ VLSI

Διαβάστε περισσότερα

Κυκλώματα αποθήκευσης με ρολόι

Κυκλώματα αποθήκευσης με ρολόι Κυκλώματα αποθήκευσης με ρολόι Latches και Flip-Flops Γιώργος Δημητρακόπουλος Τμήμα Επιστήμης Υπολογιστών Πανεπιστήμιο Κρήτης 1 Γιατί χρειαζόμαστε τα ρολόγια Συνδιαστική λογική Η έξοδος εξαρτάται μόνο

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (9 η σειρά διαφανειών)

ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ. Δρ. Δ. Λαμπάκης (9 η σειρά διαφανειών) ΣΧΕΔΙΑΣΗ ΚΑΙ ΚΑΤΑΣΚΕΥΗ ΗΛΕΚΤΡΟΝΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Δρ. Δ. Λαμπάκης (9 η σειρά διαφανειών) Διεργασίες Μικροηλεκτρονικής Τεχνολογίας, Οξείδωση, Διάχυση, Φωτολιθογραφία, Επιμετάλλωση, Εμφύτευση, Περιγραφή CMOS

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 10 ΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ

ΑΣΚΗΣΗ 10 ΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ ΑΣΚΗΣΗ ΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ Στόχος της άσκησης: Η διαδικασία σχεδίασης σύγχρονων ακολουθιακών κυκλωμάτων. Χαρακτηριστικό παράδειγμα σύγχρονων ακολουθιακών κυκλωμάτων είναι οι σύγχρονοι μετρητές. Τις αδυναμίες

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Ακολουθιακή Λογική. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Ακολουθιακή Λογική. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Ακολουθιακή Λογική Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Εισαγωγή Είσοδοι Συνδυαστικό Κύκλωμα Έξοδοι Στοιχεία Μνήμης Κατάσταση

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ 1) Οι σύγχρονοι μετρητές υλοποιούνται με Flip-Flop τύπου T

Διαβάστε περισσότερα

Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level)

Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level) Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level) Απαντήσεις 1. Η παραγγελία είναι σάντουιτς ή ένα σουβλάκι και τηγανητές πατάτες η οποία μπορεί να αναλυθεί ως σάντουιτς ή (σουβλάκι και τηγανητές πατάτες)

Διαβάστε περισσότερα

7.1 Θεωρητική εισαγωγή

7.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 7 ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΜΑΝ ΑΛΩΤΕΣ FLIP FLOP Σκοπός: Η κατανόηση της λειτουργίας των βασικών ακολουθιακών κυκλωµάτων. Θα µελετηθούν συγκεκριµένα: ο µανδαλωτής (latch)

Διαβάστε περισσότερα

Ασύγχρονοι Απαριθμητές. Διάλεξη 7

Ασύγχρονοι Απαριθμητές. Διάλεξη 7 Ασύγχρονοι Απαριθμητές Διάλεξη 7 Δομή της διάλεξης Εισαγωγή στους Απαριθμητές Ασύγχρονος Δυαδικός Απαριθμητής Ασύγχρονος Δεκαδικός Απαριθμητής Ασύγχρονος Δεκαδικός Απαριθμητής με Latch Ασκήσεις 2 Ασύγχρονοι

Διαβάστε περισσότερα

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΗΛΕΚΤΡΟΝΙΚΗΣ & ΥΠΟΛΟΓΙΣΤΩΝ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ & ΣΥΣΤΗΜΑΤΑ ΣΗΜΕΙΩΣΕΙΣ ΑΠΑΡΙΘΜΗΤΕΣ Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ ΠΕΡΙΕΧΟΜΕΝΑ.3 ΑΣΥΓΧΡΟΝΟΣ ΔYΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.5 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.7 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ ΜΕ LATCH.

ΠΕΡΙΕΧΟΜΕΝΑ ΠΕΡΙΕΧΟΜΕΝΑ.3 ΑΣΥΓΧΡΟΝΟΣ ΔYΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.5 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.7 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ ΜΕ LATCH. ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΗΛΕΚΤΡΟΝΙΚΗΣ & ΥΠΟΛΟΓΙΣΤΩΝ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ & ΣΥΣΤΗΜΑΤΑ ΣΗΜΕΙΩΣΕΙΣ ΑΠΑΡΙΘΜΗΤΕΣ Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ

Διαβάστε περισσότερα

ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΑΤΡΩΝ

ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΑΤΡΩΝ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΠΑΝΕΠΙΣΤΗΜΙΟΥ ΠΑΤΡΩΝ Μεταπτυχιακό Πρόγραµµα «Ολοκληρωµένα Συστήµατα Υλικού & Λογισµικού» Μεταπτυχιακή Εργασία Θέµα: «Ανάπτυξη εξοµοιωτή σφαλµάτων για σφάλµατα µετάβασης σε ψηφιακά ολοκληρωµένα

Διαβάστε περισσότερα

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος

Ακολουθιακό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται από τις τιμές εισόδου ΚΑΙ από την προηγούμενη κατάσταση του κυκλώματος 1 Συνδυαστικό κύκλωμα Η έξοδος του κυκλώματος εξαρτάται ΜΟΝΟ από τις εισόδους του Εάν γνωρίζουμε τις τιμές των εισόδων του κυκλώματος, τότε μπορούμε να προβλέψουμε ακριβώς τις εξόδους του Ακολουθιακό κύκλωμα

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ ΠΕΡΙΕΧΟΜΕΝΑ Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ 1.1 Εισαγωγή...11 1.2 Τα κύρια αριθμητικά Συστήματα...12 1.3 Μετατροπή αριθμών μεταξύ των αριθμητικών συστημάτων...13 1.3.1 Μετατροπή ακέραιων

Διαβάστε περισσότερα

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2009 Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops K24 Ψηφιακά Ηλεκτρονικά 9: TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ ΤΕΧΝΟΛΟΓΙΚΟ Περιεχόμενα 1 2 3 Γενικά Ύστερα από τη μελέτη συνδυαστικών ψηφιακών κυκλωμάτων, θα μελετήσουμε

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα

ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα ΜΙΚΡΟΗΛΕΚΤΡΟΝΙΚΗ - VLSI Ενότητα: Συνδιαστικά κυκλώματα, βασικές στατικές λογικές πύλες, σύνθετες και δυναμικές πύλες Κυριάκης

Διαβάστε περισσότερα

Κεφάλαιο 7 ο. Γ. Τσιατούχας. VLSI Technology and Computer Architecture Lab. Ακολουθιακή Λογική 2

Κεφάλαιο 7 ο. Γ. Τσιατούχας. VLSI Technology and Computer Architecture Lab. Ακολουθιακή Λογική 2 ΚΥΚΛΩΜΑΤΑ VLSI Ακολουθιακή Λογική Κεφάλαιο 7 ο Γ. Τσιατούχας ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. Δισταθή κυκλώματα Μεταστάθεια 2. Μανδαλωτές 3. Flip Flops Flops 4. Δομές διοχέτευσης 5. Διανομή ρολογιού 6. Συγχρονισμός

Διαβάστε περισσότερα

Λογικά Κυκλώματα με Διόδους, Αντιστάσεις και BJTs. Διάλεξη 2

Λογικά Κυκλώματα με Διόδους, Αντιστάσεις και BJTs. Διάλεξη 2 Λογικά Κυκλώματα με Διόδους, Αντιστάσεις και BJTs Διάλεξη 2 Δομή της διάλεξης Επανάληψη άλγεβρας Boole Λογική με διόδους Λογική Αντιστάσεων-Τρανζίστορ (Resistor-Transistor Logic ή RTL) Λογική Διόδων-Τρανζίστορ

Διαβάστε περισσότερα

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM).

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM). Μνήμες Ένα από τα βασικά πλεονεκτήματα των ψηφιακών συστημάτων σε σχέση με τα αναλογικά, είναι η ευκολία αποθήκευσης μεγάλων ποσοτήτων πληροφοριών, είτε προσωρινά είτε μόνιμα Οι πληροφορίες αποθηκεύονται

Διαβάστε περισσότερα

Μνήμη και Προγραμματίσιμη Λογική

Μνήμη και Προγραμματίσιμη Λογική Μνήμη και Προγραμματίσιμη Λογική Η μονάδα μνήμης είναι ένα στοιχείο κυκλώματος στο οποίο μεταφέρονται ψηφιακές πληροφορίες προς αποθήκευση και από το οποίο μπορούμε να εξάγουμε αποθηκευμένες πληροφορίες

Διαβάστε περισσότερα

Ψηφιακή Λογική και Σχεδίαση

Ψηφιακή Λογική και Σχεδίαση Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 26-7 Ψηφιακή Λογική και Σχεδίαση (σχεδίαση συνδυαστικών κυκλωμάτων) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Το τρανζίστορ

Διαβάστε περισσότερα

Κεφάλαιο 15 o. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Έλεγχος Ορθής Λειτουργίας 2

Κεφάλαιο 15 o. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Έλεγχος Ορθής Λειτουργίας 2 ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων Έλεγχος Ορθής Λειτουργίας Κεφάλαιο 15 o Τμήμα Μηχανικών Η/Υ και Πληροφορικής Γ. Τσιατούχας ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. Ελαττώματα, σφάλματα, λάθη 2. Ανίχνευση σφαλμάτων

Διαβάστε περισσότερα

Συνήθεις διαφορικές εξισώσεις προβλήματα οριακών τιμών

Συνήθεις διαφορικές εξισώσεις προβλήματα οριακών τιμών Συνήθεις διαφορικές εξισώσεις προβλήματα οριακών τιμών Οι παρούσες σημειώσεις αποτελούν βοήθημα στο μάθημα Αριθμητικές Μέθοδοι του 5 ου εξαμήνου του ΤΜΜ ημήτρης Βαλουγεώργης Καθηγητής Εργαστήριο Φυσικών

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9 ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ (COUNTERS)

ΑΣΚΗΣΗ 9 ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ (COUNTERS) ΑΣΚΗΣΗ 9 ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ (COUNTERS) Αντικείμενο της άσκησης: H σχεδίαση και η χρήση ασύγχρονων απαριθμητών γεγονότων. Με τον όρο απαριθμητές ή μετρητές εννοούμε ένα ακολουθιακό κύκλωμα με FF, οι καταστάσεις

Διαβάστε περισσότερα

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f.

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f. 6. Καταχωρητές Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f. Καταχωρητής 4 ψηφίων Καταχωρητής με παράλληλη φόρτωση Η εισαγωγή

Διαβάστε περισσότερα

Συμπίεση Δεδομένων Δοκιμής (Test Data Compression) Νικολός Δημήτριος, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών & Πληροφορικής, Παν Πατρών

Συμπίεση Δεδομένων Δοκιμής (Test Data Compression) Νικολός Δημήτριος, Τμήμα Μηχ. Ηλεκτρονικών Υπολογιστών & Πληροφορικής, Παν Πατρών Συμπίεση Δεδομένων Δοκιμής (Test Data Compression), Παν Πατρών Test resource partitioning techniques ΑΤΕ Automatic Test Equipment (ATE) based BIST based Έλεγχος παραγωγής γής βασισμένος σε ΑΤΕ Μεγάλος

Διαβάστε περισσότερα

Σχεδιασμός Ψηφιακών Συστημάτων

Σχεδιασμός Ψηφιακών Συστημάτων ΗΜΥ 2: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 27 Νοε-7 ΗΜΥ-2: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 27 Ακολουθιακά Κυκλώματα: Μανδαλωτές (Latches) και Flip-Flops Flops Διδάσκουσα:

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Μετρητής Ριπής (Ripple Counter) Μετρητές (Counters) Μετρητής Ριπής (συν.

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Μετρητής Ριπής (Ripple Counter) Μετρητές (Counters) Μετρητής Ριπής (συν. ΗΜΥ-2: Λογικός Σχεδιασµός Εαρινό Κεφάλαιο 7 ii: Μετρητές Πανεπιστήµιο Κύπρου Τµήµα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Μετρητής Ριπής Περίληψη Σύγχρονος υαδικός Μετρητής Σχεδιασµός µε Flip-Flops

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 12: Σύνοψη Θεμάτων Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http://arch.icte.uowm.gr/mdasyg

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 2008

ΗΜΥ 210: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 2008 ΗΜΥ-211: Εργαστήριο Σχεδιασμού Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2009 Ακολουθιακά Κυκλώματα: Μανδαλωτές (Latches), Flip-FlopsFlops και Μετρητές Ριπής Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων

Διαβάστε περισσότερα

«Εγχειρίδιο Προγράμματος Atalanta 2.0»

«Εγχειρίδιο Προγράμματος Atalanta 2.0» ΠΑΝΕΠΙΣΤΗΜΙΟ ΠΑΤΡΩΝ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΜΗΧΑΝΙΚΩΝ Η/Υ ΚΑΙ ΠΛΗΡΟΦΟΡΙΚΗΣ «Εγχειρίδιο Προγράμματος Atalanta 2.0» Μαργαρίτα Κουτσουπιά Μηχ. Η/Υ και Πληροφορικής ΠΑΤΡΑ 2009 Το Atalanta αποτελεί μία Αυτόματη

Διαβάστε περισσότερα

i Το τρανζίστορ αυτό είναι τύπου NMOS. Υπάρχει και το συμπληρωματικό PMOS. ; Τι συμβαίνει στο τρανζίστορ PMOS; Το τρανζίστορ MOS(FET)

i Το τρανζίστορ αυτό είναι τύπου NMOS. Υπάρχει και το συμπληρωματικό PMOS. ; Τι συμβαίνει στο τρανζίστορ PMOS; Το τρανζίστορ MOS(FET) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 25-6 Το τρανζίστορ MOS(FET) πύλη (gate) Ψηφιακή και Σχεδίαση πηγή (source) καταβόθρα (drai) (σχεδίαση συνδυαστικών κυκλωμάτων) http://di.ioio.gr/~mistral/tp/comparch/

Διαβάστε περισσότερα

Ενότητα 3 ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ

Ενότητα 3 ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ Ενότητα 3 ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ Γενικές Γραμμές Οικογένειες Ψηφιακής Λογικής Τάση τροφοδοσίας Λογικά επίπεδα - Περιθώριo θορύβου Χρόνος μετάβασης Καθυστέρηση διάδοσης Κατανάλωση ισχύος Γινόμενο

Διαβάστε περισσότερα

8.1 Θεωρητική εισαγωγή

8.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 8 ΣΤΟΙΧΕΙΑ ΜΝΗΜΗΣ ΚΑΤΑΧΩΡΗΤΕΣ Σκοπός: Η µελέτη της λειτουργίας των καταχωρητών. Θα υλοποιηθεί ένας απλός στατικός καταχωρητής 4-bit µε Flip-Flop τύπου D και θα µελετηθεί

Διαβάστε περισσότερα

Ψηφιακή Λογική Σχεδίαση

Ψηφιακή Λογική Σχεδίαση Ψηφιακή Λογική Σχεδίαση Επιμέλεια: Νίκος Φακωτάκης, Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σημείωμα Αδειοδότησης Το παρόν υλικό διατίθεται

Διαβάστε περισσότερα

Ολοκληρωμένα Κυκλώματα

Ολοκληρωμένα Κυκλώματα Δημοκρίτειο Πανεπιστήμιο Θράκης Τμήμα Ηλεκτρολόγων Μηχανικών & Μηχανικών Υπολογιστών Γ. Δημητρακόπουλος Ολοκληρωμένα Κυκλώματα Πρόοδος - Φθινόπωρο 2017 Θέμα 1 ο Σχεδιάστε το datapath για τον υπολογισμό

Διαβάστε περισσότερα

Πράξεις με δυαδικούς αριθμούς

Πράξεις με δυαδικούς αριθμούς Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 25-6 Πράξεις με δυαδικούς αριθμούς (λογικές πράξεις) http://di.ionio.gr/~mistral/tp/csintro/ Μ.Στεφανιδάκης Εκτέλεση πράξεων

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007 Μάθημα : Ψηφιακά Ηλεκτρονικά Τεχνολογία ΙΙ Τεχνικών Σχολών, Θεωρητικής Κατεύθυνσης

Διαβάστε περισσότερα

5. Σύγχρονα Ακολουθιακά Κυκλώματα

5. Σύγχρονα Ακολουθιακά Κυκλώματα 5. Σύγχρονα Ακολουθιακά Κυκλώματα Ακολουθιακό (sequential) λέμε το σύστημα που περιέχει στοιχεία μνήμης, δηλ. κυκλώματα αποθήκευσης δυαδικής πληροφορίας Γενικό διάγραμμα ακολουθιακού κυκλώματος - Αποτελείται

Διαβάστε περισσότερα

Εισαγωγή στους Ταλαντωτές Οι ταλαντωτές είναι από τα βασικότερα κυκλώματα στα ηλεκτρονικά. Χρησιμοποιούνται κατά κόρον στα τηλεπικοινωνιακά συστήματα

Εισαγωγή στους Ταλαντωτές Οι ταλαντωτές είναι από τα βασικότερα κυκλώματα στα ηλεκτρονικά. Χρησιμοποιούνται κατά κόρον στα τηλεπικοινωνιακά συστήματα Πανεπιστήμιο Θεσσαλίας Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Η/Υ Υλοποίηση και Εργαστηριακή Αναφορά Ring και Hartley Ταλαντωτών Φοιτητής: Ζωγραφόπουλος Γιάννης Επιβλέπων Καθηγητής: Πλέσσας Φώτιος

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2018-2019 Ροή Σχεδίασης Κυκλωμάτων και Εργαλεία CAD ΗΥ220 - Βασίλης Παπαευσταθίου 1 Transistor: Δομική μονάδα κυκλωμάτων Τα ολοκληρωμένα κυκλώματα

Διαβάστε περισσότερα

Διακριτός Μετασχηματισμός Fourier

Διακριτός Μετασχηματισμός Fourier Διακριτός Μετασχηματισμός Fourier 1 Διακριτός Μετασχηματισμός Fourier Ο μετασχηματισμός Fourier αποτελεί τον ακρογωνιαίο λίθο της επεξεργασίας σήματος αλλά και συχνή αιτία πονοκεφάλου για όσους πρωτοασχολούνται

Διαβάστε περισσότερα

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 1) Να μετατρέψετε τον δεκαδικό αριθμό (60,25) 10, στον αντίστοιχο δυαδικό 11111,11 111001,01 111100,01 100111,1 111100,01 2)

Διαβάστε περισσότερα

Α. ΣΚΟΔΡΑΣ ΠΛΗ21 ΟΣΣ#2. 14 Δεκ 2008 ΠΑΤΡΑ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ 2008 Α. ΣΚΟΔΡΑΣ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ

Α. ΣΚΟΔΡΑΣ ΠΛΗ21 ΟΣΣ#2. 14 Δεκ 2008 ΠΑΤΡΑ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ 2008 Α. ΣΚΟΔΡΑΣ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ ΠΛΗ21 ΟΣΣ#2 14 Δεκ 2008 ΠΑΤΡΑ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ 7-segment display 7-segment display 7-segment display Αποκωδικοποιητής των 7 στοιχείων (τμημάτων) (7-segment decoder) Κύκλωμα αποκωδικοποίησης του στοιχείου

Διαβάστε περισσότερα

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ Τμήμα Ηλεκτρολόγων Μηχανικών Εργαστήριο Ενσύρματης Τηλεπικοινωνίας ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ Μάθημα 10: Ασύχρονα ακολουθιακά κυκλώµατα (µερος Α ) Διδάσκων: Καθηγητής Ν. Φακωτάκης Ασύγχρονα ακολουθιακά κυκλώµατα

Διαβάστε περισσότερα

Ελεγχος, Αξιοπιστία και Διασφάλιση Ποιότητας Λογισµικού Πολυπλοκότητα

Ελεγχος, Αξιοπιστία και Διασφάλιση Ποιότητας Λογισµικού Πολυπλοκότητα Ελεγχος, Αξιοπιστία και Διασφάλιση Ποιότητας Λογισµικού Πολυπλοκότητα Τµήµα Διοίκησης Επιχειρήσεων Τει Δυτικής Ελλάδας Μεσολόγγι Δρ. Α. Στεφανή Διάλεξη 5 2 Εγκυροποίηση Λογισµικού Εγκυροποίηση Λογισµικού

Διαβάστε περισσότερα

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2017-2018 Ροή Σχεδίασης Κυκλωμάτων και Εργαλεία CAD ΗΥ220 - Γιώργος Καλοκαιρινός & Βασίλης Παπαευσταθίου 1 Transistor: Δομική μονάδα κυκλωμάτων Τα

Διαβάστε περισσότερα

ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ

ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΟΛΟΚΛΗΡΩΜΕΝΩΝ ΚΥΚΛΩΜΑΤΩΝ ΚΑΙ ΣΥΣΤΗΜΑΤΩΝ ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ ΑΣΚΗΣΗ 1η: ΜΕΛΕΤΗ ΤΟΥ MOSFET Σκοπός της άσκησης Στην άσκηση αυτή θα μελετήσουμε το τρανζίστορ τύπου MOSFET και τη λειτουργία

Διαβάστε περισσότερα

Κεφάλαιο 9 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. CMOS Λογικές ομές 2

Κεφάλαιο 9 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. CMOS Λογικές ομές 2 ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων Συνδυαστική Λογική Κεφάλαιο 9 ο Τμήμα Μηχανικών Η/Υ και Πληροφορικής Γ. Τσιατούχας ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. Στατική CMOS λογική και λογική 2. Διαφορική λογική 3.

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Συνδυαστική Λογική. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Συνδυαστική Λογική. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Συνδυαστική Λογική Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Ψηφιακά Κυκλώματα Τα ψηφιακά κυκλώματα διακρίνονται σε συνδυαστικά (combinational)

Διαβάστε περισσότερα

Ειδικά θέματα Αλγορίθμων και Δομών Δεδομένων (ΠΛΕ073) Απαντήσεις 1 ου Σετ Ασκήσεων

Ειδικά θέματα Αλγορίθμων και Δομών Δεδομένων (ΠΛΕ073) Απαντήσεις 1 ου Σετ Ασκήσεων Ειδικά θέματα Αλγορίθμων και Δομών Δεδομένων (ΠΛΕ073) Απαντήσεις 1 ου Σετ Ασκήσεων Άσκηση 1 α) Η δομή σταθμισμένης ένωσης με συμπίεση διαδρομής μπορεί να τροποποιηθεί πολύ εύκολα ώστε να υποστηρίζει τις

Διαβάστε περισσότερα

Περιεχόμενα. Πρόλογος... XI. Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA Κεφάλαιο 2. Τεχνολογία VLSI Εισαγωγή Βασικές Αρχές...

Περιεχόμενα. Πρόλογος... XI. Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA Κεφάλαιο 2. Τεχνολογία VLSI Εισαγωγή Βασικές Αρχές... Περιεχόμενα Πρόλογος... XI Κεφάλαιο 1. Συστήματα Βασισμένα σε FPGA... 1 1.1 Εισαγωγή... 1 1.2 Βασικές Αρχές... 1 1.2.1 Boolean Άλγεβρα... 1 1.2.2 Σχηματικά και Λογικά Σύμβολα... 6 1.3 Ψηφιακή Σχεδίαση

Διαβάστε περισσότερα

ΠΛΗ10 Κεφάλαιο 2. ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών

ΠΛΗ10 Κεφάλαιο 2. ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: 2 2.3 : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών Στόχοι Μαθήματος: Να γνωρίσετε τις βασικές αρχές αριθμητικής των Η/Υ. Ποια είναι τα κυκλώματα

Διαβάστε περισσότερα

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες Πρώτο Κεφάλαιο Εισαγωγή στα Ψηφιακά Συστήματα 1.1 Αναλογικά και Ψηφιακά Σήματα και Συστήματα... 1 1.2 Βασικά Ψηφιακά Κυκλώματα... 3 1.3 Ολοκληρωμένα κυκλώματα... 4 1.4 Τυπωμένα κυκλώματα... 7 1.5 Εργαλεία

Διαβάστε περισσότερα

Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level)

Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level) Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level) Ερωτήσεις Επανάληψης 1. Ένας καθηγητής λογικής μπαίνει σε ένα εστιατόριο και λέει : Θέλω ένα σάντουιτς ή ένα σουβλάκι και τηγανητές πατάτες. Δυστυχώς,

Διαβάστε περισσότερα

3. Προσομοίωση ενός Συστήματος Αναμονής.

3. Προσομοίωση ενός Συστήματος Αναμονής. 3. Προσομοίωση ενός Συστήματος Αναμονής. 3.1. Διατύπωση του Προβλήματος. Τα συστήματα αναμονής (queueing systems), βρίσκονται πίσω από τα περισσότερα μοντέλα μελέτης της απόδοσης υπολογιστικών συστημάτων,

Διαβάστε περισσότερα

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ Τμήμα Ηλεκτρολόγων Μηχανικών Εργαστήριο Ενσύρματης Τηλεπικοινωνίας ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ Μάθημα 12: Κίνδυνοι Διδάσκων: Καθηγητής Ν. Φακωτάκης Κίνδυνοι Μια από τις κυριότερες αιτίες δυσλειτουργίας των

Διαβάστε περισσότερα

ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΒΑΣΙΚΑ ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ FLIP-FLOP ΤΟ ΒΑΣΙΚΟ FLIP-FLOP ΧΡΟΝΙΖΟΜΕΝΑ FF ΤΥΠΟΥ FF ΤΥΠΟΥ D FLIP-FLOP Τ FLIP-FLOP ΠΥΡΟΔΟΤΗΣΗ ΤΩΝ FLIP-FLOP ΚΥΡΙΟ - ΕΞΑΡΤΗΜΕΝΟ FLIP-FLOP ΑΚΜΟΠΥΡΟΔΟΤΟΥΜΕΝΑ FLIP-FLOP ΚΥΚΛΩΜΑΤΑ

Διαβάστε περισσότερα

ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ένα συνδυαστικό κύκλωµα µπορεί να περιγραφεί από: Φεβ-05. n-είσοδοι

ΗΜΥ 210: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο Ένα συνδυαστικό κύκλωµα µπορεί να περιγραφεί από: Φεβ-05. n-είσοδοι ΗΜΥ 2: Λογικός Σχεδιασµός, Εαρινό Εξάµηνο 25 Φεβ-5 ΗΜΥ-2: Λογικός Σχεδιασµός Εαρινό Εξάµηνο 25 Κεφάλαιο 3 -i: Σχεδιασµός Συνδυαστικών Κυκλωµάτων Περίληψη Αρχές σχεδιασµού Ιεραρχία σχεδιασµού Σχεδιασµός

Διαβάστε περισσότερα

Τεχνικές βελτιστοποίησης µε σκοπό την επίτευξη χαµηλής κατανάλωσης ισχύος

Τεχνικές βελτιστοποίησης µε σκοπό την επίτευξη χαµηλής κατανάλωσης ισχύος Low power techniques Τεχνικές βελτιστοποίησης µε σκοπό την επίτευξη χαµηλής κατανάλωσης ισχύος Γενικά Τεχνικές βιοµηχανίας Μείωση χωρητικοτήτων chip και package Μέσω process development πολύ αποτελεσµατική

Διαβάστε περισσότερα

Ψηφιακή Λογική Σχεδίαση

Ψηφιακή Λογική Σχεδίαση Ψηφιακή Λογική Σχεδίαση Επιμέλεια: Νίκος Φακωτάκης, Καθηγητής Ανδρέας Εμερετλής, Υποψήφιος Διδάκτορας Τμήμα Ηλεκτρολόγων Μηχανικών και Τεχνολογίας Υπολογιστών Σημείωμα Αδειοδότησης Το παρόν υλικό διατίθεται

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ ΠΑΤΡΑΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ 24/01/2012 ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΙΑΣ

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ ΠΑΤΡΑΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝ ΕΦΑΡΜΟΓΩΝ 24/01/2012 ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΘΕΜΑ 1 ο (1.5 μονάδες) (α) Να προσδιορίσετε την διακριτική ικανότητα (resolution) ενός ψηφιακού βτομέτρου με ενδείκτη (display) τριών ψηφίων και μέγιστη ένδειξη 99.9 olts. (0.5 μ.) (β) Στα ακόλουθα σχήματα

Διαβάστε περισσότερα

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών

Περίληψη. ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Εξάµηνο Παράδειγµα: Καταχωρητής 2-bit. Καταχωρητής 4-bit. Μνήµη Καταχωρητών ΗΜΥ-210: Λογικός Σχεδιασµός Εαρινό Κεφάλαιο 7 i: Καταχωρητές Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές Ολίσθησης Σειριακή Φόρτωση Σειριακή Ολίσθηση Καταχωρητές Ολίσθησης Παράλληλης Φόρτωσης

Διαβάστε περισσότερα

Οι Εξελικτικοί Αλγόριθμοι (ΕΑ) είναι καθολικοί στοχαστικοί αλγόριθμοι βελτιστοποίησης, εμπνευσμένοι από τις βασικές αρχές της φυσικής εξέλιξης.

Οι Εξελικτικοί Αλγόριθμοι (ΕΑ) είναι καθολικοί στοχαστικοί αλγόριθμοι βελτιστοποίησης, εμπνευσμένοι από τις βασικές αρχές της φυσικής εξέλιξης. Οι Εξελικτικοί Αλγόριθμοι (ΕΑ) είναι καθολικοί στοχαστικοί αλγόριθμοι βελτιστοποίησης, εμπνευσμένοι από τις βασικές αρχές της φυσικής εξέλιξης. Ένα από τα γνωστότερα παραδείγματα των ΕΑ είναι ο Γενετικός

Διαβάστε περισσότερα