Circuite logice programabile

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Circuite logice programabile"

Transcript

1 82 Tabelul Tabelul de funcţionare al circuitului Selecţie Strobare Date Ieşiri B A 1G 1C 1Y 1 01Y 1Y 21Y 3 x x 1 x x x x Pentru realizarea unui circuit DMUX 1:8, terminalele 1C şi 2C se utilizează pentru selecţie, iar 1G şi 2G pentru strobare sau pentru date (Figura 3.35). Figura Utilizarea circuitului ca demultiplexor 1:8. Pentru utilizarea ca decodificator, intrarea de date 1C se conectează la 1 logic. Numărul liniilor de ieşire se poate extinde prin conectarea în cascadă. Deoarece la ieşirea unui demultiplexor se obţin toţi termenii canonici minimali ai variabilelor de selecţie multiplicaţi cu variabilele de intrare, cu ajutorul unui circuit DMUX 1:2 n se poate implementa orice funcţie logică de n variabile sub forma canonică disjunctivă. Termenii canonici sunt introduşi într-o poartă SAU. Demultiplexoarele se utilizează pentru transmiterea informaţiei de la intrare la o anumită adresă, de exemplu la înscrierea informaţiei în memorie Circuite logice programabile Circuitele logice programabile, cunoscute şi sub forma acronimului PLD (Programmable Logic Device), sunt circuite integrate care conţin un număr mare de porţi sau celule a căror interconexiune poate fi configurată sau programată pentru a implementa orice funcţie combinaţională sau secvenţială dorită. Pentru programarea circuitelor PLD se utilizează două tehnici: programarea prin măşti, care se efectuează în timpul procesului de fabricaţie, şi programarea de către utilizator, pentru care se utilizează echipamente de programare cu costuri reduse. Multe circuite PLD pot fi

2 3. Circuite logice digitale 83 reprogramate de utilizator de multe ori, motiv pentru care ele sunt avantajoase pentru realizarea prototipurilor unui nou produs. Conexiunile programabile între elementele logice ale unui circuit PLD conţin comutatoare realizare de obicei cu tranzistoare sau antifuzibile (uneori fuzibile). Porţile logice programabile ale unui circuit PLD pot fi reprezentate în mod simplificat ca în Figura 3.36 (b). În locul unor linii de intrare multiple la fiecare din aceste porţi, se figurat o singură linie. Semnul indică o conexiune programabilă a unei linii de intrare la o poartă logică. Absenţa semnului indică faptul că respectiva conexiune a fost programată în starea deconectată. Figura Porţi ŞI, respectiv SAU: (a) reprezentare obişnuită; (b) reprezentare simplificată pentru circuitele PLD. În continuare se prezintă unele categorii de circuite logice programabile: memorii ROM, reţele logice programabile şi circuite FPGA Memorii ROM Memoriile ROM (Read Only Memory) reprezintă o categorie de circuite programabile care se pot utiliza pentru implementarea unui set de funcţii logice. Aceste memorii conţin un set de locaţii utilizate pentru stocarea informaţiei binare. Conţinutul acestor locaţii este fixat în momentul fabricaţiei, aceste memorii fiind programate prin măşti. Pe lângă acestea, există şi memorii ROM programabile care utilizează fuzibile, numite PROM (Programmable ROM). Acestea pot fi înscrise de către utilizator, cu ajutorul unui echipament de programare corespunzător. De asemenea, există memorii PROM care pot fi şterse cu ajutorul razelor ultraviolete, şi apoi pot fi reprogramate. Acestea se numesc EPROM (Erasable Programmable ROM). În fine, memoriile ROM care utilizează o tehnologie de ştergere prin impulsuri electrice se numesc EEPROM sau E 2 PROM (Electrically Erasable Programmable ROM). Diferitele variante de memorii ROM sunt nevolatile, deci îşi păstrează conţinutul şi după întreruperea tensiunii de alimentare.

3 84 O memorie ROM are k intrări şi n ieşiri. Intrările furnizează adresa pentru memorie, iar ieşirile furnizează conţinutul cuvântului selectat de adresa de la intrare. Numărul cuvintelor dintr-o memorie ROM este determinat de faptul că prin k linii de adresă se pot selecta 2 k cuvinte. Memoria ROM nu are date de intrare, deoarece nu este posibilă operaţia de scriere. Memoriile ROM integrate dispun de una sau mai multe intrări de validare şi ieşiri cu trei stări pentru a permite realizarea unor memorii de dimensiuni mai mari. Figura Logica internă a unei memorii ROM cu capacitatea de Considerăm, de exemplu, o memorie ROM cu capacitatea de 32 8, care conţine 32 de cuvinte de 8 biţi fiecare. Există 5 linii de intrare, care permit specificarea adreselor cuprinse între 0 şi 31. Structura internă a acestei memorii este indicată în Figura Intrările sunt decodificate în 32 ieşiri distincte cu ajutorul unui decodificator 5:32. Fiecare ieşire a decodificatorului reprezintă o adresă de memorie. Cele 32 de ieşiri sunt conectate prin intermediul unor conexiuni programabile la fiecare din cele opt porţi SAU. Fiecare poartă SAU trebuie considerată ca având 32 de intrări. Pentru aceste porţi s-a utilizat reprezentarea simplificată. Fiecare ieşire a decodificatorului este conectată prin intermediul unui fuzibil la una din intrările fiecărei porţi SAU. Deoarece fiecare poartă SAU are 32 de conexiuni interne programabile, şi deoarece există opt porţi SAU, această memorie ROM conţine 32 8 = 256 de conexiuni programabile. În general, o memorie ROM cu capacitatea de 2 k n are un decodificator intern k:2 k şi n porţi SAU. Fiecare poartă SAU are 2 k intrări, care sunt conectate prin conexiuni programabile la fiecare din ieşirile decodificatorului. Conţinutul locaţiilor unei memorii ROM poate fi specificat printr-o tabelă de adevăr. De exemplu, conţinutul unei memorii ROM cu dimensiunea de 32 8 poate fi specificat printr-o tabelă de adevăr similară cu cea din Tabelul Fiecare combinaţie a intrărilor din tabel specifică adresa unui cuvânt de 8 biţi, a cărei valoare este indicată în coloanele ieşirilor. Tabelul 3.13 prezintă numai primele patru şi ultimele patru cu-

4 3. Circuite logice digitale 85 vinte ale memoriei ROM; tabelul complet trebuie să conţină lista tuturor celor 32 de cuvinte. Tabelul Tabelul de adevăr al unei memorii ROM (parţial). Intrări Ieşiri I 4 I 3 I 2 I 1 I 0 A 7 A 6 A 5 A 4 A 3 A 2 A 1 A !! Programarea memoriei ROM conform tabelului de adevăr de mai sus conduce la configuraţia din Figura Fiecare valoare 0 din tabelul de adevăr specifică un circuit deschis, şi fiecare valoare 1 specifică un circuit închis. De exemplu, tabelul specifică un cuvânt cu valoarea la adresa Cei patru biţi de 0 din cadrul cuvântului sunt programaţi prin deschiderea conexiunilor dintre ieşirea 2 a decodificatorului şi intrările porţilor SAU asociate cu ieşirile A 5, A 4, A 3 şi A 1. Cei patru biţi de 1 din cadrul cuvântului sunt marcaţi cu un în schemă, pentru a indica un circuit închis. Atunci când intrarea memoriei ROM este 00010, ieşirea 2 a decodificatorului va fi la 1 logic, celelalte ieşiri fiind la 0 logic. Semnalul cu nivelul 1 logic de la ieşirea 2 a decodificatorului se propagă prin circuitele închise şi porţile SAU la ieşirile A 7, A 6, A 2 şi A 0, iar celelalte ieşiri rămân la 0 logic. Rezultatul este că la ieşirile de date se aplică cuvântul cu valoarea După cum s-a arătat anterior, un decodificator generează toţi mintermii variabilelor de intrare. Prin inserarea unor porţi SAU pentru însumarea mintermilor funcţiilor booleene, se poate implementa orice circuit combinaţional. O memorie ROM conţine atât un decodificator, cât şi porţi SAU în cadrul aceluiaşi circuit. Prin închiderea conexiunilor pentru mintermii incluşi în cadrul funcţiei, ieşirile memoriei ROM pot fi programate pentru a reprezenta funcţiile booleene ale variabilelor de intrare dintr-un circuit combinaţional. Din punctul de vedere al unui circuit care implementează o funcţie booleană, fiecare terminal de ieşire al unei memorii ROM este considerat separat ca ieşire a funcţiei booleene exprimate ca o sumă de mintermi. De exemplu, memoria ROM din Figura 3.37 poate fi considerată ca un circuit combinaţional cu opt ieşiri, fiecare fiind o funcţie a celor cinci variabile de intrare. Ieşirea A 7 poate fi exprimată ca o sumă a mintermilor, în felul următor (punctele reprezintă mintermii de la 4 la 27, care nu apar în figură): A 7 (I 4, I 3, I 2, I 1, I 0 ) = Σ (0, 2, 3,, 29)

5 86 Figura Programarea memoriei ROM conform Tabelului Deoarece o memorie ROM păstrează de fapt întregul tabel de adevăr al funcţiilor pe care le generează, o asemenea memorie poate fi utilizată pentru implementarea circuitelor combinaţionale complexe direct din tabelul de adevăr. Procesul de citire a informaţiilor memorate într-o memorie ROM este similar cu o căutare într-o tabelă (table lookup). Memoriile ROM sunt utile pentru implementarea unor circuite ale căror funcţii sunt dificil de specificat prin ecuaţii logice, ca de exemplu convertoare de cod sau circuite pentru operaţii aritmetice complexe (înmulţire, împărţire), şi pentru aplicaţii care necesită un număr moderat de intrări şi un număr mare de ieşiri. Utilitatea memoriilor ROM este limitată de faptul că dimensiunea lor trebuie dublată cu fiecare nouă variabilă de intrare. În practică, la implementarea unui circuit combinaţional printr-o memorie ROM, nu este necesar să se deseneze schema logică sau să se indice conexiunile interne din cadrul memoriei. Trebuie să se specifice doar o anumită memorie ROM prin numărul circuitului şi tabelul de adevăr al memoriei. Tabelul de adevăr conţine toate informaţiile necesare programării memoriei. De exemplu, se consideră proiectarea unui circuit combinaţional care acceptă la intrare un număr de 3 biţi şi generează la ieşire un număr binar egal cu pătratul numărului de la intrare. Prima etapă pentru proiectarea circuitului este întocmirea tabelului de adevăr. În cele mai multe cazuri, aceasta este singura operaţie necesară. În alte cazuri, se poate utiliza un tabel de adevăr parţial pentru memoria ROM ţinând cont de anumite proprietăţi ale variabilelor de ieşire. Tabelul 3.14 reprezintă tabelul de adevăr pentru circuitul proiectat.

6 3. Circuite logice digitale 87 Tabelul Tabelul de adevăr pentru circuitul care generează pătratele valorilor de la intrare. Intrări Ieşiri A 2 A 1 A 0 B 5 B 4 B 3 B 2 B 1 B 0 Zecimal Pentru circuitul proiectat, sunt necesare trei intrări şi şase ieşiri. Se observă că ieşirea B 0 este egală întotdeauna cu intrarea A 0, astfel încât nu este necesară generarea acestei ieşiri cu ajutorul memoriei ROM. De asemenea, ieşirea B 1 este întotdeauna 0. Astfel, trebuie să se genereze doar patru ieşiri cu memoria ROM. Memoria trebuie să aibă minimum trei intrări şi patru ieşiri. Cele trei intrări specifică opt cuvinte, deci dimensiunea minimă a memoriei necesare este de 8 4. Schema circuitului este prezentată în Figura Figura Implementarea cu o memorie ROM a circuitului care generează pătratele valorilor de la intrare Reţele logice programabile O reţea logică programabilă PLA (Programmable Logic Array) este similară ca şi concept cu o memorie ROM, cu excepţia faptului că nu realizează decodificarea completă a variabilelor şi nu generează toţi mintermii. Decodificatorul este înlocuit cu o reţea de porţi ŞI care poate fi programată pentru a genera termenii produs ai variabilelor de intrare. Termenii produs sunt apoi conectaţi în mod selectiv cu porţi SAU pentru a genera suma termenilor produs pentru funcţiile booleene necesare. Structura de bază a unui circuit PLA este prezentată în Figura 3.40.

7 88 Figura Structura generală a unui circuit PLA. Un circuit PLA poate implementa în mod direct un set de funcţii logice exprimate printr-un tabel de adevăr. Fiecare intrare pentru care valoarea funcţiei este adevărată necesită un termen produs, şi acestuia îi corespunde o linie de porţi ŞI din primul etaj al circuitului PLA. Fiecare ieşire corespunde la o linie de porţi SAU din al doilea etaj al circuitului. Numărul de porţi SAU corespunde cu numărul de intrări din tabela de adevăr pentru care ieşirea este adevărată. Dimensiunea totală a circuitului PLA este egală cu suma dintre dimensiunea reţelei de porţi ŞI şi dimensiunea reţelei de porţi SAU. Din Figura 3.39 se observă că dimensiunea reţelei de porţi ŞI este egală cu numărul de intrări multiplicat cu numărul diferiţilor termeni produs, iar dimensiunea reţelei de porţi SAU este egală cu numărul de ieşiri multiplicat cu numărul termenilor produs. Un circuit PLA are două caracteristici care determină o implementare eficientă a unui set de funcţii logice. Prima este că singurele intrări din tabelul de adevăr care necesită porţi logice sunt cele cărora le corespunde o valoare adevărată pentru cel puţin o ieşire. A doua este că un anumit termen produs va avea o singură intrare în circuitul PLA, chiar dacă termenul produs este utilizat în mai multe ieşiri. Considerăm implementarea următoarelor funcţii booleene într-un circuit PLA: F = AB + AC + ABC 1 F = AC + BC 2 (3.25) Structura internă a circuitului PLA care implementează aceste funcţii este prezentată în Figura Fiecare intrare trece printr-un buffer şi un inversor. Există conexiuni programabile de la fiecare intrare şi complementul acesteia la intrările fiecărei porţi ŞI, indicate prin intersecţiile dintre liniile verticale şi orizontale. Ieşirile porţilor ŞI au conexiuni programabile la intrările fiecărei porţi SAU. Ieşirea fiecărei porţi SAU constituie intrare într-o poartă SAU EXCLUSIV, iar cealaltă intrare poate fi programată fie la

8 3. Circuite logice digitale 89 1 logic, fie la 0 logic. Ieşirea este inversată dacă această intrare este 1 logic (deoarece X 1 = X ), şi rămâne neschimbată dacă această intrare este 0 logic (deoarece X 0 = X ). Figura Structura internă a unui circuit PLA cu trei intrări, patru termeni produs şi două ieşiri. Modul de programare a circuitului PLA se poate specifica sub formă tabelară. De exemplu, pentru circuitul din Figura 3.41 programarea este specificată în Tabelul Tabelul constă din trei secţiuni. Prima secţiune listează numerele termenilor produs. A doua secţiune specifică conexiunile necesare dintre intrări şi porţile ŞI. A treia secţiune specifică conexiunile dintre porţile ŞI şi porţile SAU. Fiecare variabilă de ieşire poate fi adevărată (A) sau complementată (C), ceea ce se controlează prin poarta SAU EXCLUSIV. Pentru fiecare termen produs, intrările sunt marcate cu 1, 0 sau. Dacă o variabilă dintr-un termen produs apare sub formă necomplementată, variabila este marcată cu 1. Dacă o variabilă dintr-un termen produs apare sub formă complementată, ea este marcată cu 0. Dacă variabila lipseşte din termenul produs, ea este marcată cu.

9 90 Variabilele de ieşire sunt marcate cu 1 pentru termenii produs care sunt incluşi în cadrul funcţiei. O ieşire marcată cu A indică faptul că cealaltă intrare a porţii SAU EXCLUSIV corespunzătoare trebuie conectată la 0, iar o ieşire marcată cu C indică o conectare la 1. Tabelul Tabelul de programare pentru circuitul PLA din Figura Termen produs Intrări A B C Ieşiri (A) (C) F 1 F 2 AB AC BC ABC Dimensiunea unui circuit PLA este specificată prin numărul intrărilor, numărul termenilor produs şi numărul ieşirilor. Un circuit PLA tipic are 16 intrări, 48 de termeni produs şi 8 ieşiri. Pentru n intrări, k termeni produs şi m ieşiri, logica internă a circuitului PLA constă din n buffere-inversoare, k porţi ŞI, m porţi SAU, şi m porţi SAU EXCLUSIV. Există 2n k conexiuni programabile între intrări şi porţile ŞI, k m conexiuni programabile între porţile ŞI şi porţile SAU, şi m conexiuni programabile asociate cu porţile SAU EXCLUSIV. Pentru proiectarea unui sistem digital cu un circuit PLA, nu este necesar să se indice conexiunile interne ale circuitului, ci trebuie să se specifice doar tabela de programare. Ca şi în cazul memoriilor ROM, circuitele PLA pot fi programate prin măşti sau programate de către utilizator. Circuitele PLA programate de către utilizator se numesc FPLA (Field Programmable Logic Array). La implementarea funcţiilor logice cu ajutorul circuitelor PLA, trebuie să se reducă numărul termenilor produs în scopul reducerii complexităţii circuitului. Pentru aceasta trebuie simplificate funcţiile booleene astfel încât acestea să aibă un număr minim de termeni. Numărul de literale dintr-un termen este mai puţin important, deoarece toate variabilele de intrare sunt disponibile. Trebuie simplificată atât forma necomplementată, cât şi cea complementată a fiecărei funcţii pentru a se determina care din acestea se poate exprima cu ajutorul unui număr mai mic de termeni produs şi care utilizează termeni produs care sunt utilizaţi şi de alte funcţii. Există şi circuite PLA care conţin bistabile ataşate prin conexiuni programabile la ieşirile reţelei de porţi SAU, ceea ce permite implementarea unor circuite secvenţiale de dimensiuni medii. O altă categorie de reţele logice programabile sunt circuitele PAL (Programmable Array Logic), care conţin o reţea de porţi ŞI programabilă, dar reţeaua de porţi SAU are conexiuni fixe. Fiecare linie de ieşire este conectată la un set fix de linii ale reţelei de porţi ŞI, în mod tipic de opt linii. O asemenea ieşire a circuitului PAL poate implementa o expresie pe două nivele conţinând cel mult opt termeni. Avantajele circuitelor PAL sunt simplitatea utilizării în anumite aplicaţii, ca şi viteza mai ridicată, deoarece factorul de încărcare la ieşire este limitat.

10 3. Circuite logice digitale Circuite FPGA Circuitele FPGA (Field Programmable Gate Array) au fost introduse în anul 1985 de compania Xilinx. De atunci au fost elaborate diferite tipuri de circuite FPGA de un număr de alte companii ca Actel, Altera, Atmel, Texas Instruments etc. Un circuit FPGA constă dintr-o reţea bidimensională de celule sau blocuri logice. De obicei, fiecare bloc logic poate fi programat pentru a implementa orice funcţie logică a intrărilor sale. De aceea, aceste blocuri sunt numite de obicei blocuri logice configurabile (Configurable Logic Block - CLB). Cele mai multe blocuri logice conţin de asemenea unul sau două bistabile. Canalele şi blocurile de comutare dintre aceste blocuri conţin resurse de interconectare, după cum se ilustrează în Figura Aceste resurse conţin de obicei segmente de interconectare de diferite lungimi. Interconexiunile conţin comutatoare programabile cu rolul de a conecta blocurile logice la segmentele de interconectare, sau un segment de interconectare la altul. În plus, există celule de I/E la periferia reţelei, care pot fi programate ca intrări sau ieşiri. Figura Structura unui circuit FPGA tipic. Din punctul de vedere al tipului conexiunilor programabile, există două categorii principale de circuite FPGA: circuite cu memorii SRAM şi circuite cu antifuzibile. Circuite cu memorii SRAM. Programarea acestor circuite se realizează prin celule de memorie statică. Logica este implementată cu ajutorul unor tabele (lookup table) realizate din celulele de memorie, intrările funcţiilor controlând liniile de adresă. Fiecare tabelă de 2 n celule de memorie implementează orice funcţie cu n intrări. Una sau mai multe tabele, combinate cu bistabile, formează un bloc logic configurabil. Aceste blocuri sunt aranjate într-un tablou bidimensional, segmentele de interconectare formând canale, similar cu reţelele de porţi. Segmentele se conectează la pinii blocurile

11 92 logice din canale şi la alte segmente din blocurile de comutare prin intermediul tranzistoarelor de trecere controlate de celule ale memoriei de configurare. Un program de configurare pentru circuitele cu memorii SRAM constă dintr-un singur cuvânt lung de programare. Logica din circuit încarcă cuvântul de programare, pe care îl citeşte serial dintr-o memorie externă de fiecare dată când circuitul este alimentat. Biţii acestui cuvânt setează valorile tuturor celulelor memoriei de configurare din circuit, setând astfel valorile tabelelor şi selectând segmentele care se vor conecta între ele. Circuitele cu memorii SRAM sunt reprogramabile. Ele pot fi actualizate în sistem, punând la dispoziţia proiectanţilor noi opţiuni şi posibilităţi de proiectare. Din această categorie de circuite FPGA fac parte cele ale firmelor Xilinx, Altera, AT&T. Circuite cu antifuzibile. Un antifuzibil este un dispozitiv cu două terminale care în mod normal se află în starea de înaltă impedanţă, iar atunci când este expus la o tensiune ridicată, trece în starea cu rezistenţă redusă ( Ω). Antifuzibilele au dimensiuni reduse, astfel încât o arhitectură bazată pe antifuzibile poate conţine sute de mii sau milioane de antifuzibile. Pentru simplificarea arhitecturii şi a programării, circuitele FPGA bazate pe antifuzibile constau de obicei din rânduri de elemente logice configurabile cu canale de interconectare între ele, ca şi reţelele de porţi tradiţionale. Un bloc logic poate fi programat prin conectarea pinilor săi de intrare la valori fixe sau la reţele de interconectare. Există antifuzibile la fiecare punct de intersecţie între interconexiuni şi pini din canal şi la toate punctele de intersecţie între interconexiuni în locurile în care canalele se intersectează. Din categoria circuitelor FPGA cu antifuzibile fac parte circuitele firmelor Actel, Quicklogic, Cypress. Figura Circuit FPGA Actel din seria ACT: (a) celula de bază; (b) arhitectura circuitului. Există două tipuri de celule logice ale circuitelor FPGA: celule bazate pe multiplexoare şi celule bazate pe memorii PROM. Figura 3.43(a) prezintă un tip de ce-

12 3. Circuite logice digitale 93 lulă (numită modul C) bazată pe multiplexoare utilizată de circuitele FPGA din seria ACT ale firmei Actel. Această celulă conţine un multiplexor 4:1, la care se adaugă o poartă SAU şi o poartă ŞI. O variantă de celulă numită modul S conţine un bistabil D conectat la ieşire; există de asemenea celule speciale ataşate la pinii de I/E ai circuitului FPGA. Un circuit FPGA din seria ACT conţine o reţea de dimensiuni mari de asemenea celule organizate în rânduri separate prin canale de rutare orizontale, după cum se ilustrează în Figura 3.43(b). Există segmente de conexiuni verticale ataşate la terminalele de I/E ale fiecărei celule. Aceste segmente permit stabilirea conexiunilor între celule şi canalele de rutare prin intermediul antifuzibilelor poziţionate la intersecţia liniilor orizontale şi verticale. În plus, există linii lungi de conexiuni verticale pentru semnalele de I/E primare, de alimentare şi masă. Figura Celula FPGA din Figura 3.43(a) programată pentru a realiza: (a) o poartă ŞI cu patru intrări; (b).o poartă SAU cu patru intrări; (c) un inversor; (d) un bistabil D.

13 94 Celula FPGA din Figura 3.43(a) poate genera orice funcţie booleană de până la trei variabile dacă intrările sunt furnizate atât sub formă complementată, cât şi necomplementată. Această celulă poate genera de asemenea diferite funcţii utile de mai mult de trei variabile datorită prezenţei celor două porţi suplimentare. Figurile 3.44(a), 3.44(b) şi 3.44(c) ilustrează modul în care această celulă implementează un set complet de porţi logice. Se observă modul în care porţile ŞI, respectiv SAU, permit realizarea funcţiilor ŞI, respectiv SAU cu patru intrări. Figura 3.44(d) ilustrează modul în care aceeaşi celulă combinaţională implementează un bistabil D comutat pe front. Avantajele circuitelor FPGA sunt costurile de prototipizare reduse şi durata scurtă de producţie. Principalele dezavantaje sunt viteza de operare mai redusă şi densitatea mai redusă a porţilor. Comutatoarele programabile şi circuitele de programare asociate necesită un spaţiu mai mare în cadrul circuitului comparativ cu conexiunile metalice din reţelele de porţi. Aceste comutatoare au de asemenea o rezistenţă şi capacitate semnificativă care determină o viteză mai redusă de operare. Circuitele FPGA sunt potrivite pentru proiectarea şi fabricaţia asistată de calculator, deoarece procesul de proiectare poate fi aproape în întregime automatizat. Acest proces necesită translatarea sau compilarea specificaţiilor proiectului (sub forma schemelor logice sau a unei descrieri într-un limbaj de descriere hardware) într-un model la nivelul porţilor logice. Se utilizează apoi programe CAD de plasare şi rutare pentru asignarea elementelor logice la celule (plasare), configurarea celulelor pentru o anumită funcţie şi pentru interconectarea celulelor (rutare). În final, circuitul FPGA este programat prin transmiterea şirului de configurare Circuite logice secvenţiale Prezentare generală a circuitelor secvenţiale Circuitele combinaţionale implementează funcţiile esenţiale ale unui calculator numeric. Aceste circuite se caracterizează prin faptul că starea ieşirilor depinde numai de starea intrărilor, şi nu depinde de timp. Deci, cu excepţia memoriilor ROM, circuitele combinaţionale nu furnizează informaţii de memorie sau de stare, care sunt de asemenea elemente esenţiale pentru funcţionarea unui calculator numeric. În acest scop se utilizează circuitele secvenţiale. Un circuit secvenţial are memorie, adică ieşirile curente ale circuitului nu depind numai de intrările curente, ci şi de intrările anterioare. Un alt mod de caracterizare a unui circuit secvenţial este că ieşirile curente ale circuitului depind de intrările curente şi de starea curentă a circuitului. Structura generală a unui circuit secvenţial este prezentată în Figura Circuitul secvenţial se compune dintr-un circuit combinaţional, o parte a ieşirilor acestuia fiind conectate la intrările circuitului prin intermediul unor elemente de memorie (elemente de întârziere) 1,, p. Semnalele aplicate pe cele n intrări formează mulţimea X = {x 1, x 2,, x n } a variabilelor de intrare, numite şi variabile de intrare principale. Mulţimea formată din 2 n intrări distincte se numeşte alfabet de intrare I, I = { i1, i2,..., i n }. De exemplu, pentru două variabile de intrare alfabetul de intrare este 2 I = {00, 01, 10, 11}. O combinaţie a intrărilor se numeşte simbol al alfabetului.

5.4. MULTIPLEXOARE A 0 A 1 A 2

5.4. MULTIPLEXOARE A 0 A 1 A 2 5.4. MULTIPLEXOARE Multiplexoarele (MUX) sunt circuite logice combinaţionale cu m intrări şi o singură ieşire, care permit transferul datelor de la una din intrări spre ieşirea unică. Selecţia intrării

Διαβάστε περισσότερα

CIRCUITE COMBINAŢIONALE UZUALE

CIRCUITE COMBINAŢIONALE UZUALE Arhitectura calculatoarelor Lucrarea de laborator Nr. 3. 1 CIRCUITE COMBINAŢIONALE UZUALE 1. Scopul lucrării Lucrarea prezintă unele circuite combinaţionale uzuale şi utilizarea acestor circuite la implementarea

Διαβάστε περισσότερα

2. Circuite logice 2.4. Decodoare. Multiplexoare. Copyright Paul GASNER

2. Circuite logice 2.4. Decodoare. Multiplexoare. Copyright Paul GASNER 2. Circuite logice 2.4. Decodoare. Multiplexoare Copyright Paul GASNER Definiţii Un decodor pe n bits are n intrări şi 2 n ieşiri; cele n intrări reprezintă un număr binar care determină în mod unic care

Διαβάστε περισσότερα

4. CIRCUITE LOGICE ELEMENTRE 4.. CIRCUITE LOGICE CU COMPONENTE DISCRETE 4.. PORŢI LOGICE ELEMENTRE CU COMPONENTE PSIVE Componente electronice pasive sunt componente care nu au capacitatea de a amplifica

Διαβάστε περισσότερα

Codificatorul SN74148 este un codificator zecimal-bcd de trei biţi (fig ). Figura Codificatorul integrat SN74148

Codificatorul SN74148 este un codificator zecimal-bcd de trei biţi (fig ). Figura Codificatorul integrat SN74148 5.2. CODIFICATOAE Codificatoarele (CD) sunt circuite logice combinaţionale cu n intrări şi m ieşiri care furnizează la ieşire un cod de m biţi atunci când numai una din cele n intrări este activă. De regulă

Διαβάστε περισσότερα

MARCAREA REZISTOARELOR

MARCAREA REZISTOARELOR 1.2. MARCAREA REZISTOARELOR 1.2.1 MARCARE DIRECTĂ PRIN COD ALFANUMERIC. Acest cod este format din una sau mai multe cifre şi o literă. Litera poate fi plasată după grupul de cifre (situaţie în care valoarea

Διαβάστε περισσότερα

Planul determinat de normală şi un punct Ecuaţia generală Plane paralele Unghi diedru Planul determinat de 3 puncte necoliniare

Planul determinat de normală şi un punct Ecuaţia generală Plane paralele Unghi diedru Planul determinat de 3 puncte necoliniare 1 Planul în spaţiu Ecuaţia generală Plane paralele Unghi diedru 2 Ecuaţia generală Plane paralele Unghi diedru Fie reperul R(O, i, j, k ) în spaţiu. Numim normala a unui plan, un vector perpendicular pe

Διαβάστε περισσότερα

Metode iterative pentru probleme neliniare - contractii

Metode iterative pentru probleme neliniare - contractii Metode iterative pentru probleme neliniare - contractii Problemele neliniare sunt in general rezolvate prin metode iterative si analiza convergentei acestor metode este o problema importanta. 1 Contractii

Διαβάστε περισσότερα

5.5. REZOLVAREA CIRCUITELOR CU TRANZISTOARE BIPOLARE

5.5. REZOLVAREA CIRCUITELOR CU TRANZISTOARE BIPOLARE 5.5. A CIRCUITELOR CU TRANZISTOARE BIPOLARE PROBLEMA 1. În circuitul din figura 5.54 se cunosc valorile: μa a. Valoarea intensității curentului de colector I C. b. Valoarea tensiunii bază-emitor U BE.

Διαβάστε περισσότερα

Curs 10 Funcţii reale de mai multe variabile reale. Limite şi continuitate.

Curs 10 Funcţii reale de mai multe variabile reale. Limite şi continuitate. Curs 10 Funcţii reale de mai multe variabile reale. Limite şi continuitate. Facultatea de Hidrotehnică Universitatea Tehnică "Gh. Asachi" Iaşi 2014 Fie p, q N. Fie funcţia f : D R p R q. Avem următoarele

Διαβάστε περισσότερα

5. FUNCŢII IMPLICITE. EXTREME CONDIŢIONATE.

5. FUNCŢII IMPLICITE. EXTREME CONDIŢIONATE. 5 Eerciţii reolvate 5 UNCŢII IMPLICITE EXTREME CONDIŢIONATE Eerciţiul 5 Să se determine şi dacă () este o funcţie definită implicit de ecuaţia ( + ) ( + ) + Soluţie ie ( ) ( + ) ( + ) + ( )R Evident este

Διαβάστε περισσότερα

Curs 1 Şiruri de numere reale

Curs 1 Şiruri de numere reale Bibliografie G. Chiorescu, Analiză matematică. Teorie şi probleme. Calcul diferenţial, Editura PIM, Iaşi, 2006. R. Luca-Tudorache, Analiză matematică, Editura Tehnopress, Iaşi, 2005. M. Nicolescu, N. Roşculeţ,

Διαβάστε περισσότερα

(a) se numeşte derivata parţială a funcţiei f în raport cu variabila x i în punctul a.

(a) se numeşte derivata parţială a funcţiei f în raport cu variabila x i în punctul a. Definiţie Spunem că: i) funcţia f are derivată parţială în punctul a în raport cu variabila i dacă funcţia de o variabilă ( ) are derivată în punctul a în sens obişnuit (ca funcţie reală de o variabilă

Διαβάστε περισσότερα

Arhitectura Calculatoarelor. Fizică - Informatică an II. 2. Circuite logice. Copyright Paul GASNER 1

Arhitectura Calculatoarelor. Fizică - Informatică an II. 2. Circuite logice. Copyright Paul GASNER 1 Arhitectura Calculatoarelor Fizică - Informatică an II gasner@uaic.ro 2. Circuite logice Copyright Paul GASNER 1 Funcţii booleene Porţi logice Circuite combinaţionale codoare şi decodoare Cuprins multiplexoare

Διαβάστε περισσότερα

Cursul nr. 6. C6.1 Multiplexorul / Selectorul de date

Cursul nr. 6. C6.1 Multiplexorul / Selectorul de date C61 Multiplexorul / Selectorul de date Cursul nr 6 Multiplexorul (MUX) este un circuit logic combinańional care selectează una din intrările sale pentru a o transmite la ieşirea unică Schema de principiu

Διαβάστε περισσότερα

CIRCUITE LOGICE CU TB

CIRCUITE LOGICE CU TB CIRCUITE LOGICE CU T I. OIECTIVE a) Determinarea experimentală a unor funcţii logice pentru circuite din familiile RTL, DTL. b) Determinarea dependenţei caracteristicilor statice de transfer în tensiune

Διαβάστε περισσότερα

4.2. CIRCUITE LOGICE ÎN TEHNOLOGIE INTEGRATĂ

4.2. CIRCUITE LOGICE ÎN TEHNOLOGIE INTEGRATĂ 4.2. CIRCUITE LOGICE ÎN TEHNOLOGIE INTEGRTĂ În prezent, circuitele logice se realizează în exclusivitate prin tehnica integrării monolitice. În funcţie de tehnologia utilizată, circuitele logice integrate

Διαβάστε περισσότερα

Problema a II - a (10 puncte) Diferite circuite electrice

Problema a II - a (10 puncte) Diferite circuite electrice Olimpiada de Fizică - Etapa pe judeţ 15 ianuarie 211 XI Problema a II - a (1 puncte) Diferite circuite electrice A. Un elev utilizează o sursă de tensiune (1), o cutie cu rezistenţe (2), un întrerupător

Διαβάστε περισσότερα

2. Circuite logice 2.2. Diagrame Karnaugh. Copyright Paul GASNER 1

2. Circuite logice 2.2. Diagrame Karnaugh. Copyright Paul GASNER 1 2. Circuite logice 2.2. Diagrame Karnaugh Copyright Paul GASNER Diagrame Karnaugh Tehnică de simplificare a unei expresii în sumă minimă de produse (minimal sum of products MSP): Există un număr minim

Διαβάστε περισσότερα

Analiza în curent continuu a schemelor electronice Eugenie Posdărăscu - DCE SEM 1 electronica.geniu.ro

Analiza în curent continuu a schemelor electronice Eugenie Posdărăscu - DCE SEM 1 electronica.geniu.ro Analiza în curent continuu a schemelor electronice Eugenie Posdărăscu - DCE SEM Seminar S ANALA ÎN CUENT CONTNUU A SCHEMELO ELECTONCE S. ntroducere Pentru a analiza în curent continuu o schemă electronică,

Διαβάστε περισσότερα

III. Serii absolut convergente. Serii semiconvergente. ii) semiconvergentă dacă este convergentă iar seria modulelor divergentă.

III. Serii absolut convergente. Serii semiconvergente. ii) semiconvergentă dacă este convergentă iar seria modulelor divergentă. III. Serii absolut convergente. Serii semiconvergente. Definiţie. O serie a n se numeşte: i) absolut convergentă dacă seria modulelor a n este convergentă; ii) semiconvergentă dacă este convergentă iar

Διαβάστε περισσότερα

3.4. Minimizarea funcţiilor booleene

3.4. Minimizarea funcţiilor booleene 56 sau: F = ABC + ABC + ABC Complementând din nou, se obţine funcţia iniţială: F = ABC + ABC + ABC = ABC ABC ABC = ( A + B + C)( A + B + C)( A + B + C) sau F = S 4 S5 S6 3.4. Minimizarea funcţiilor booleene

Διαβάστε περισσότερα

6.4. REGISTRE. Un registru care îndeplineşte două sau mai multe funcţii din cele 4 prezentate mai sus se numeşte registru universal.

6.4. REGISTRE. Un registru care îndeplineşte două sau mai multe funcţii din cele 4 prezentate mai sus se numeşte registru universal. .. REGISTRE Registrele sunt circuite logice secvenţiale care primesc, stochează şi transferă informaţii sub formă binară. Un registru este format din mai multe celule bistabile de tip RS, JK sau şi permite

Διαβάστε περισσότερα

3.4. Minimizarea funcţiilor booleene

3.4. Minimizarea funcţiilor booleene 56 3.4. Minimizarea funcţiilor booleene Minimizarea constă în obţinerea formei celei mai simple de exprimare a funcţiilor booleene în scopul reducerii numărului de circuite şi a numărului de intrări ale

Διαβάστε περισσότερα

Curs 4 Serii de numere reale

Curs 4 Serii de numere reale Curs 4 Serii de numere reale Facultatea de Hidrotehnică Universitatea Tehnică "Gh. Asachi" Iaşi 2014 Criteriul rădăcinii sau Criteriul lui Cauchy Teoremă (Criteriul rădăcinii) Fie x n o serie cu termeni

Διαβάστε περισσότερα

V.7. Condiţii necesare de optimalitate cazul funcţiilor diferenţiabile

V.7. Condiţii necesare de optimalitate cazul funcţiilor diferenţiabile Metode de Optimizare Curs V.7. Condiţii necesare de optimalitate cazul funcţiilor diferenţiabile Propoziţie 7. (Fritz-John). Fie X o submulţime deschisă a lui R n, f:x R o funcţie de clasă C şi ϕ = (ϕ,ϕ

Διαβάστε περισσότερα

Curs 14 Funcţii implicite. Facultatea de Hidrotehnică Universitatea Tehnică "Gh. Asachi"

Curs 14 Funcţii implicite. Facultatea de Hidrotehnică Universitatea Tehnică Gh. Asachi Curs 14 Funcţii implicite Facultatea de Hidrotehnică Universitatea Tehnică "Gh. Asachi" Iaşi 2014 Fie F : D R 2 R o funcţie de două variabile şi fie ecuaţia F (x, y) = 0. (1) Problemă În ce condiţii ecuaţia

Διαβάστε περισσότερα

Componente şi Circuite Electronice Pasive. Laborator 3. Divizorul de tensiune. Divizorul de curent

Componente şi Circuite Electronice Pasive. Laborator 3. Divizorul de tensiune. Divizorul de curent Laborator 3 Divizorul de tensiune. Divizorul de curent Obiective: o Conexiuni serie şi paralel, o Legea lui Ohm, o Divizorul de tensiune, o Divizorul de curent, o Implementarea experimentală a divizorului

Διαβάστε περισσότερα

1.7. AMPLIFICATOARE DE PUTERE ÎN CLASA A ŞI AB

1.7. AMPLIFICATOARE DE PUTERE ÎN CLASA A ŞI AB 1.7. AMLFCATOARE DE UTERE ÎN CLASA A Ş AB 1.7.1 Amplificatoare în clasa A La amplificatoarele din clasa A, forma de undă a tensiunii de ieşire este aceeaşi ca a tensiunii de intrare, deci întreg semnalul

Διαβάστε περισσότερα

11.3 CIRCUITE PENTRU GENERAREA IMPULSURILOR CIRCUITE BASCULANTE Circuitele basculante sunt circuite electronice prevăzute cu o buclă de reacţie pozitivă, folosite la generarea impulsurilor. Aceste circuite

Διαβάστε περισσότερα

Metode de interpolare bazate pe diferenţe divizate

Metode de interpolare bazate pe diferenţe divizate Metode de interpolare bazate pe diferenţe divizate Radu Trîmbiţaş 4 octombrie 2005 1 Forma Newton a polinomului de interpolare Lagrange Algoritmul nostru se bazează pe forma Newton a polinomului de interpolare

Διαβάστε περισσότερα

a. 11 % b. 12 % c. 13 % d. 14 %

a. 11 % b. 12 % c. 13 % d. 14 % 1. Un motor termic funcţionează după ciclul termodinamic reprezentat în sistemul de coordonate V-T în figura alăturată. Motorul termic utilizează ca substanţă de lucru un mol de gaz ideal având exponentul

Διαβάστε περισσότερα

Aplicaţii ale principiului I al termodinamicii la gazul ideal

Aplicaţii ale principiului I al termodinamicii la gazul ideal Aplicaţii ale principiului I al termodinamicii la gazul ideal Principiul I al termodinamicii exprimă legea conservării şi energiei dintr-o formă în alta şi se exprimă prin relaţia: ΔUQ-L, unde: ΔU-variaţia

Διαβάστε περισσότερα

Fig Impedanţa condensatoarelor electrolitice SMD cu Al cu electrolit semiuscat în funcţie de frecvenţă [36].

Fig Impedanţa condensatoarelor electrolitice SMD cu Al cu electrolit semiuscat în funcţie de frecvenţă [36]. Componente şi circuite pasive Fig.3.85. Impedanţa condensatoarelor electrolitice SMD cu Al cu electrolit semiuscat în funcţie de frecvenţă [36]. Fig.3.86. Rezistenţa serie echivalentă pierderilor în funcţie

Διαβάστε περισσότερα

2.2. ELEMENTE DE LOGICA CIRCUITELOR NUMERICE

2.2. ELEMENTE DE LOGICA CIRCUITELOR NUMERICE 2.2. LMNT D LOGIC CIRCUITLOR NUMRIC Pe lângă capacitatea de a eectua operańii aritmetice, un microprocesor poate i programat să realizeze operańii logice ca ND, OR, XOR, NOT, etc. În acelaşi timp, elemente

Διαβάστε περισσότερα

10. STABILIZATOAE DE TENSIUNE 10.1 STABILIZATOAE DE TENSIUNE CU TANZISTOAE BIPOLAE Stabilizatorul de tensiune cu tranzistor compară în permanenţă valoare tensiunii de ieşire (stabilizate) cu tensiunea

Διαβάστε περισσότερα

2.1 Sfera. (EGS) ecuaţie care poartă denumirea de ecuaţia generală asferei. (EGS) reprezintă osferă cu centrul în punctul. 2 + p 2

2.1 Sfera. (EGS) ecuaţie care poartă denumirea de ecuaţia generală asferei. (EGS) reprezintă osferă cu centrul în punctul. 2 + p 2 .1 Sfera Definitia 1.1 Se numeşte sferă mulţimea tuturor punctelor din spaţiu pentru care distanţa la u punct fi numit centrul sferei este egalăcuunnumăr numit raza sferei. Fie centrul sferei C (a, b,

Διαβάστε περισσότερα

5 STRUCTURI PROGRAMABILE

5 STRUCTURI PROGRAMABILE . 5 STRUCTURI PROGRAMABILE Aplicaţiile din acest capitol îşi propun să prezinte funcţionarea circuitelor de memorie ROM(Read Only Memory) şi RAM(Random Access Memory), a structurilor programabile PLD(Programmable

Διαβάστε περισσότερα

Integrala nedefinită (primitive)

Integrala nedefinită (primitive) nedefinita nedefinită (primitive) nedefinita 2 nedefinita februarie 20 nedefinita.tabelul primitivelor Definiţia Fie f : J R, J R un interval. Funcţia F : J R se numeşte primitivă sau antiderivată a funcţiei

Διαβάστε περισσότερα

Seminar 5 Analiza stabilității sistemelor liniare

Seminar 5 Analiza stabilității sistemelor liniare Seminar 5 Analiza stabilității sistemelor liniare Noțiuni teoretice Criteriul Hurwitz de analiză a stabilității sistemelor liniare În cazul sistemelor liniare, stabilitatea este o condiție de localizare

Διαβάστε περισσότερα

R R, f ( x) = x 7x+ 6. Determinați distanța dintre punctele de. B=, unde x și y sunt numere reale.

R R, f ( x) = x 7x+ 6. Determinați distanța dintre punctele de. B=, unde x și y sunt numere reale. 5p Determinați primul termen al progresiei geometrice ( b n ) n, știind că b 5 = 48 și b 8 = 84 5p Se consideră funcția f : intersecție a graficului funcției f cu aa O R R, f ( ) = 7+ 6 Determinați distanța

Διαβάστε περισσότερα

11.2 CIRCUITE PENTRU FORMAREA IMPULSURILOR Metoda formării impulsurilor se bazează pe obţinerea unei succesiuni periodice de impulsuri, plecând de la semnale periodice de altă formă, de obicei sinusoidale.

Διαβάστε περισσότερα

Electronică anul II PROBLEME

Electronică anul II PROBLEME Electronică anul II PROBLEME 1. Găsiți expresiile analitice ale funcției de transfer şi defazajului dintre tensiunea de ieşire şi tensiunea de intrare pentru cuadrupolii din figurile de mai jos și reprezentați-le

Διαβάστε περισσότερα

Functii definitie, proprietati, grafic, functii elementare A. Definitii, proprietatile functiilor

Functii definitie, proprietati, grafic, functii elementare A. Definitii, proprietatile functiilor Functii definitie, proprietati, grafic, functii elementare A. Definitii, proprietatile functiilor. Fiind date doua multimi si spunem ca am definit o functie (aplicatie) pe cu valori in daca fiecarui element

Διαβάστε περισσότερα

Functii definitie, proprietati, grafic, functii elementare A. Definitii, proprietatile functiilor X) functia f 1

Functii definitie, proprietati, grafic, functii elementare A. Definitii, proprietatile functiilor X) functia f 1 Functii definitie proprietati grafic functii elementare A. Definitii proprietatile functiilor. Fiind date doua multimi X si Y spunem ca am definit o functie (aplicatie) pe X cu valori in Y daca fiecarui

Διαβάστε περισσότερα

DISTANŢA DINTRE DOUĂ DREPTE NECOPLANARE

DISTANŢA DINTRE DOUĂ DREPTE NECOPLANARE DISTANŢA DINTRE DOUĂ DREPTE NECOPLANARE ABSTRACT. Materialul prezintă o modalitate de a afla distanţa dintre două drepte necoplanare folosind volumul tetraedrului. Lecţia se adresează clasei a VIII-a Data:

Διαβάστε περισσότερα

SIGURANŢE CILINDRICE

SIGURANŢE CILINDRICE SIGURANŢE CILINDRICE SIGURANŢE CILINDRICE CH Curent nominal Caracteristici de declanşare 1-100A gg, am Aplicaţie: Siguranţele cilindrice reprezintă cea mai sigură protecţie a circuitelor electrice de control

Διαβάστε περισσότερα

Definiţia generală Cazul 1. Elipsa şi hiperbola Cercul Cazul 2. Parabola Reprezentari parametrice ale conicelor Tangente la conice

Definiţia generală Cazul 1. Elipsa şi hiperbola Cercul Cazul 2. Parabola Reprezentari parametrice ale conicelor Tangente la conice 1 Conice pe ecuaţii reduse 2 Conice pe ecuaţii reduse Definiţie Numim conica locul geometric al punctelor din plan pentru care raportul distantelor la un punct fix F şi la o dreaptă fixă (D) este o constantă

Διαβάστε περισσότερα

ANEXA 4. OPERAŢII ARITMETICE IMPLEMENTĂRI

ANEXA 4. OPERAŢII ARITMETICE IMPLEMENTĂRI ANEXA 4. OPERAŢII ARITMETICE IMPLEMENTĂRI ADUNAREA ÎN BINAR: A + B Adunarea a două numere de câte N biţi va furniza un rezultat pe N+1 biţi. Figura1. Anexa4. Sumator binar complet Schema bloc a unui sumator

Διαβάστε περισσότερα

Seminariile Capitolul X. Integrale Curbilinii: Serii Laurent şi Teorema Reziduurilor

Seminariile Capitolul X. Integrale Curbilinii: Serii Laurent şi Teorema Reziduurilor Facultatea de Matematică Calcul Integral şi Elemente de Analiă Complexă, Semestrul I Lector dr. Lucian MATICIUC Seminariile 9 20 Capitolul X. Integrale Curbilinii: Serii Laurent şi Teorema Reiduurilor.

Διαβάστε περισσότερα

Laborator 11. Mulţimi Julia. Temă

Laborator 11. Mulţimi Julia. Temă Laborator 11 Mulţimi Julia. Temă 1. Clasa JuliaGreen. Să considerăm clasa JuliaGreen dată de exemplu la curs pentru metoda locului final şi să schimbăm numărul de iteraţii nriter = 100 în nriter = 101.

Διαβάστε περισσότερα

V O. = v I v stabilizator

V O. = v I v stabilizator Stabilizatoare de tensiune continuă Un stabilizator de tensiune este un circuit electronic care păstrează (aproape) constantă tensiunea de ieșire la variaţia între anumite limite a tensiunii de intrare,

Διαβάστε περισσότερα

COLEGIUL NATIONAL CONSTANTIN CARABELLA TARGOVISTE. CONCURSUL JUDETEAN DE MATEMATICA CEZAR IVANESCU Editia a VI-a 26 februarie 2005.

COLEGIUL NATIONAL CONSTANTIN CARABELLA TARGOVISTE. CONCURSUL JUDETEAN DE MATEMATICA CEZAR IVANESCU Editia a VI-a 26 februarie 2005. SUBIECTUL Editia a VI-a 6 februarie 005 CLASA a V-a Fie A = x N 005 x 007 si B = y N y 003 005 3 3 a) Specificati cel mai mic element al multimii A si cel mai mare element al multimii B. b)stabiliti care

Διαβάστε περισσότερα

2. Circuite logice 2.5. Sumatoare şi multiplicatoare. Copyright Paul GASNER

2. Circuite logice 2.5. Sumatoare şi multiplicatoare. Copyright Paul GASNER 2. Circuite logice 2.5. Sumatoare şi multiplicatoare Copyright Paul GASNER Adunarea în sistemul binar Adunarea se poate efectua în mod identic ca la adunarea obişnuită cu cifre arabe în sistemul zecimal

Διαβάστε περισσότερα

CAPITOLUL 5. CIRCUITE LOGICE COMBINAŢIONALE

CAPITOLUL 5. CIRCUITE LOGICE COMBINAŢIONALE AUXILIAR ELECTRONICĂ DIGITALĂ CAPITOLUL 5. CIRCUITE LOGICE COMBINAŢIONALE 5.1. GENERALITĂŢI Circuitele logice combinaţionale (CLC) sunt circuite alcătuite din porţi logice de bază a căror operare poate

Διαβάστε περισσότερα

Circuite cu tranzistoare. 1. Inversorul CMOS

Circuite cu tranzistoare. 1. Inversorul CMOS Circuite cu tranzistoare 1. Inversorul CMOS MOSFET-urile cu canal indus N si P sunt folosite la familia CMOS de circuite integrate numerice datorită următoarelor avantaje: asigură o creştere a densităţii

Διαβάστε περισσότερα

Componente şi Circuite Electronice Pasive. Laborator 4. Măsurarea parametrilor mărimilor electrice

Componente şi Circuite Electronice Pasive. Laborator 4. Măsurarea parametrilor mărimilor electrice Laborator 4 Măsurarea parametrilor mărimilor electrice Obiective: o Semnalul sinusoidal, o Semnalul dreptunghiular, o Semnalul triunghiular, o Generarea diferitelor semnale folosind placa multifuncţională

Διαβάστε περισσότερα

a n (ζ z 0 ) n. n=1 se numeste partea principala iar seria a n (z z 0 ) n se numeste partea

a n (ζ z 0 ) n. n=1 se numeste partea principala iar seria a n (z z 0 ) n se numeste partea Serii Laurent Definitie. Se numeste serie Laurent o serie de forma Seria n= (z z 0 ) n regulata (tayloriana) = (z z n= 0 ) + n se numeste partea principala iar seria se numeste partea Sa presupunem ca,

Διαβάστε περισσότερα

2. Circuite logice Memorii. Copyright Paul GASNER

2. Circuite logice Memorii. Copyright Paul GASNER 2. Circuite logice 2.11. Memorii Copyright Paul GASNER Random Access Memory RAM Toate circuitele secvenţiale depind de memorii un flip-flop poate stoca doar un bit de informaţie un registru poate stoca

Διαβάστε περισσότερα

ELECTRONICĂ DIGITALĂ

ELECTRONICĂ DIGITALĂ E-mail URL ELECTRONICĂ DIGITALĂ Dan NICULA Universitatea TRANSILVANIA din Braşov Departamentul de Electronicăşi Calculatoare www.dannicula.ro/ed dan.nicula@unitbv.ro www.dannicula.ro 1 Capitole 0. Introducere

Διαβάστε περισσότερα

AUTOMATE FINITE. Un automat cu stări finite se defineşte formal prin cvintuplul

AUTOMATE FINITE. Un automat cu stări finite se defineşte formal prin cvintuplul AUTOMATE FINITE. Scopul lucrării Studiul automatelor cu stări finite ce conţin bistabile care lucrează sincron şi intrări care se modifică sincron sau asincron cu semnalul de ceas. escrierea funcţionării

Διαβάστε περισσότερα

SERII NUMERICE. Definiţia 3.1. Fie (a n ) n n0 (n 0 IN) un şir de numere reale şi (s n ) n n0

SERII NUMERICE. Definiţia 3.1. Fie (a n ) n n0 (n 0 IN) un şir de numere reale şi (s n ) n n0 SERII NUMERICE Definiţia 3.1. Fie ( ) n n0 (n 0 IN) un şir de numere reale şi (s n ) n n0 şirul definit prin: s n0 = 0, s n0 +1 = 0 + 0 +1, s n0 +2 = 0 + 0 +1 + 0 +2,.......................................

Διαβάστε περισσότερα

Subiecte Clasa a VII-a

Subiecte Clasa a VII-a lasa a VII Lumina Math Intrebari Subiecte lasa a VII-a (40 de intrebari) Puteti folosi spatiile goale ca ciorna. Nu este de ajuns sa alegeti raspunsul corect pe brosura de subiecte, ele trebuie completate

Διαβάστε περισσότερα

CIRCUITE INTEGRATE DIGITALE

CIRCUITE INTEGRATE DIGITALE CLAUDIU LUNG ŞTEFAN ONIGA RADU JOIAN CIPRIAN GAVRINCEA CIRCUITE INTEGRATE DIGITALE Îndrumător de laborator ISBN 978-973-729-86-2 PREFAŢĂ Cartea de faţă conţine 4 lucrări care surprind principalele aspecte

Διαβάστε περισσότερα

Ovidiu Gabriel Avădănei, Florin Mihai Tufescu,

Ovidiu Gabriel Avădănei, Florin Mihai Tufescu, vidiu Gabriel Avădănei, Florin Mihai Tufescu, Capitolul 6 Amplificatoare operaţionale 58. Să se calculeze coeficientul de amplificare în tensiune pentru amplficatorul inversor din fig.58, pentru care se

Διαβάστε περισσότερα

Subiecte Clasa a VIII-a

Subiecte Clasa a VIII-a Subiecte lasa a VIII-a (40 de intrebari) Puteti folosi spatiile goale ca ciorna. Nu este de ajuns sa alegeti raspunsul corect pe brosura de subiecte, ele trebuie completate pe foaia de raspuns in dreptul

Διαβάστε περισσότερα

Esalonul Redus pe Linii (ERL). Subspatii.

Esalonul Redus pe Linii (ERL). Subspatii. Seminarul 1 Esalonul Redus pe Linii (ERL). Subspatii. 1.1 Breviar teoretic 1.1.1 Esalonul Redus pe Linii (ERL) Definitia 1. O matrice A L R mxn este in forma de Esalon Redus pe Linii (ERL), daca indeplineste

Διαβάστε περισσότερα

III. Reprezentarea informaţiei în sistemele de calcul

III. Reprezentarea informaţiei în sistemele de calcul Metode Numerice Curs 3 III. Reprezentarea informaţiei în sistemele de calcul III.1. Reprezentarea internă a numerelor întregi III. 1.1. Reprezentarea internă a numerelor întregi fără semn (pozitive) Reprezentarea

Διαβάστε περισσότερα

7. RETELE ELECTRICE TRIFAZATE 7.1. RETELE ELECTRICE TRIFAZATE IN REGIM PERMANENT SINUSOIDAL

7. RETELE ELECTRICE TRIFAZATE 7.1. RETELE ELECTRICE TRIFAZATE IN REGIM PERMANENT SINUSOIDAL 7. RETEE EECTRICE TRIFAZATE 7.. RETEE EECTRICE TRIFAZATE IN REGIM PERMANENT SINSOIDA 7... Retea trifazata. Sistem trifazat de tensiuni si curenti Ansamblul format din m circuite electrice monofazate in

Διαβάστε περισσότερα

Criptosisteme cu cheie publică III

Criptosisteme cu cheie publică III Criptosisteme cu cheie publică III Anul II Aprilie 2017 Problema rucsacului ( knapsack problem ) Considerăm un număr natural V > 0 şi o mulţime finită de numere naturale pozitive {v 0, v 1,..., v k 1 }.

Διαβάστε περισσότερα

Tabelul tranziţiilor este prezentat mai jos. La construirea sumatorului folosim bistabile de tip JK: (3.1)

Tabelul tranziţiilor este prezentat mai jos. La construirea sumatorului folosim bistabile de tip JK: (3.1) Lucrarea 3 Sumatoare Ripple, Carry-Lookahead şi Carry Save În această lucrare sunt introduse sumatoarele Ripple Carry, Carry Lookahead şi Carry Save. Apoi este prezentat cadrul în care se pot face evaluări

Διαβάστε περισσότερα

Sisteme diferenţiale liniare de ordinul 1

Sisteme diferenţiale liniare de ordinul 1 1 Metoda eliminării 2 Cazul valorilor proprii reale Cazul valorilor proprii nereale 3 Catedra de Matematică 2011 Forma generală a unui sistem liniar Considerăm sistemul y 1 (x) = a 11y 1 (x) + a 12 y 2

Διαβάστε περισσότερα

Cap.3 CLASE DE CIRCUITE LOGICE COMBINAŢIONALE

Cap.3 CLASE DE CIRCUITE LOGICE COMBINAŢIONALE Circuite Logice Combinaţionale 143 Cap.3 CLASE DE CIRCUITE LOGICE COMBINAŢIONALE Circuitele integrate digitale cu complexitate mare, numite şi sisteme digitale, conţin în structura lor un număr foarte

Διαβάστε περισσότερα

Conice. Lect. dr. Constantin-Cosmin Todea. U.T. Cluj-Napoca

Conice. Lect. dr. Constantin-Cosmin Todea. U.T. Cluj-Napoca Conice Lect. dr. Constantin-Cosmin Todea U.T. Cluj-Napoca Definiţie: Se numeşte curbă algebrică plană mulţimea punctelor din plan de ecuaţie implicită de forma (C) : F (x, y) = 0 în care funcţia F este

Διαβάστε περισσότερα

FLUXURI MAXIME ÎN REŢELE DE TRANSPORT. x 4

FLUXURI MAXIME ÎN REŢELE DE TRANSPORT. x 4 FLUXURI MAXIME ÎN REŢELE DE TRANSPORT Se numeşte reţea de transport un graf în care fiecărui arc îi este asociat capacitatea arcului şi în care eistă un singur punct de intrare şi un singur punct de ieşire.

Διαβάστε περισσότερα

Laborator 4 Circuite integrate digitale TTL

Laborator 4 Circuite integrate digitale TTL Laborator 4 Circuite integrate digitale TTL Se va studia functionarea familiei de circuite integrate TTL printr-un reprezentant al familiei standard si anume poarta SI-NU(circuitele care sintetizeaza functii

Διαβάστε περισσότερα

Aparate de măsurat. Măsurări electronice Rezumatul cursului 2. MEE - prof. dr. ing. Ioan D. Oltean 1

Aparate de măsurat. Măsurări electronice Rezumatul cursului 2. MEE - prof. dr. ing. Ioan D. Oltean 1 Aparate de măsurat Măsurări electronice Rezumatul cursului 2 MEE - prof. dr. ing. Ioan D. Oltean 1 1. Aparate cu instrument magnetoelectric 2. Ampermetre şi voltmetre 3. Ohmetre cu instrument magnetoelectric

Διαβάστε περισσότερα

RĂSPUNS Modulul de rezistenţă este o caracteristică geometrică a secţiunii transversale, scrisă faţă de una dintre axele de inerţie principale:,

RĂSPUNS Modulul de rezistenţă este o caracteristică geometrică a secţiunii transversale, scrisă faţă de una dintre axele de inerţie principale:, REZISTENTA MATERIALELOR 1. Ce este modulul de rezistenţă? Exemplificaţi pentru o secţiune dreptunghiulară, respectiv dublu T. RĂSPUNS Modulul de rezistenţă este o caracteristică geometrică a secţiunii

Διαβάστε περισσότερα

Examen AG. Student:... Grupa:... ianuarie 2011

Examen AG. Student:... Grupa:... ianuarie 2011 Problema 1. Pentru ce valori ale lui n,m N (n,m 1) graful K n,m este eulerian? Problema 2. Să se construiască o funcţie care să recunoască un graf P 3 -free. La intrare aceasta va primi un graf G = ({1,...,n},E)

Διαβάστε περισσότερα

Să se arate că n este număr par. Dan Nedeianu

Să se arate că n este număr par. Dan Nedeianu Primul test de selecție pentru juniori I. Să se determine numerele prime p, q, r cu proprietatea că 1 p + 1 q + 1 r 1. Fie ABCD un patrulater convex cu m( BCD) = 10, m( CBA) = 45, m( CBD) = 15 și m( CAB)

Διαβάστε περισσότερα

Exemple de probleme rezolvate pentru cursurile DEEA Tranzistoare bipolare cu joncţiuni

Exemple de probleme rezolvate pentru cursurile DEEA Tranzistoare bipolare cu joncţiuni Problema 1. Se dă circuitul de mai jos pentru care se cunosc: VCC10[V], 470[kΩ], RC2,7[kΩ]. Tranzistorul bipolar cu joncţiuni (TBJ) este de tipul BC170 şi are parametrii β100 şi VBE0,6[V]. 1. să se determine

Διαβάστε περισσότερα

Curs 2 Şiruri de numere reale

Curs 2 Şiruri de numere reale Curs 2 Şiruri de numere reale Facultatea de Hidrotehnică Universitatea Tehnică "Gh. Asachi" Iaşi 2014 Convergenţă şi mărginire Teoremă Orice şir convergent este mărginit. Demonstraţie Fie (x n ) n 0 un

Διαβάστε περισσότερα

CIRCUITE CU PORŢI DE TRANSFER CMOS

CIRCUITE CU PORŢI DE TRANSFER CMOS CIRCUITE CU PORŢI DE TRANSFER CMOS I. OBIECTIVE a) Înţelegerea funcţionării porţii de transfer. b) Determinarea rezistenţelor porţii în starea de blocare, respectiv de conducţie. c) Înţelegerea modului

Διαβάστε περισσότερα

Capitolul 14. Asamblari prin pene

Capitolul 14. Asamblari prin pene Capitolul 14 Asamblari prin pene T.14.1. Momentul de torsiune este transmis de la arbore la butuc prin intermediul unei pene paralele (figura 14.1). De care din cotele indicate depinde tensiunea superficiala

Διαβάστε περισσότερα

Examen AG. Student:... Grupa: ianuarie 2016

Examen AG. Student:... Grupa: ianuarie 2016 16-17 ianuarie 2016 Problema 1. Se consideră graful G = pk n (p, n N, p 2, n 3). Unul din vârfurile lui G se uneşte cu câte un vârf din fiecare graf complet care nu-l conţine, obţinându-se un graf conex

Διαβάστε περισσότερα

Capitolul 4 PROPRIETĂŢI TOPOLOGICE ŞI DE NUMĂRARE ALE LUI R. 4.1 Proprietăţi topologice ale lui R Puncte de acumulare

Capitolul 4 PROPRIETĂŢI TOPOLOGICE ŞI DE NUMĂRARE ALE LUI R. 4.1 Proprietăţi topologice ale lui R Puncte de acumulare Capitolul 4 PROPRIETĂŢI TOPOLOGICE ŞI DE NUMĂRARE ALE LUI R În cele ce urmează, vom studia unele proprietăţi ale mulţimilor din R. Astfel, vom caracteriza locul" unui punct în cadrul unei mulţimi (în limba

Διαβάστε περισσότερα

Lectia VI Structura de spatiu an E 3. Dreapta si planul ca subspatii ane

Lectia VI Structura de spatiu an E 3. Dreapta si planul ca subspatii ane Subspatii ane Lectia VI Structura de spatiu an E 3. Dreapta si planul ca subspatii ane Oana Constantinescu Oana Constantinescu Lectia VI Subspatii ane Table of Contents 1 Structura de spatiu an E 3 2 Subspatii

Διαβάστε περισσότερα

Detalii privind circuitele FPGA (Field Programmable Gate Array).

Detalii privind circuitele FPGA (Field Programmable Gate Array). Detalii privind circuitele FPGA (Field Programmable Gate Array). 1. Introducere. Obiective urmarite: principii, implementare, programarea circuitelor logice configurabile din punctul de vedere al proiectarii

Διαβάστε περισσότερα

4. Măsurarea tensiunilor şi a curenţilor electrici. Voltmetre electronice analogice

4. Măsurarea tensiunilor şi a curenţilor electrici. Voltmetre electronice analogice 4. Măsurarea tensiunilor şi a curenţilor electrici oltmetre electronice analogice oltmetre de curent continuu Ampl.c.c. x FTJ Protectie Atenuator calibrat Atenuatorul calibrat divizor rezistiv R in const.

Διαβάστε περισσότερα

2 INTRODUCERE ÎN DOMENIUL CIRCUITELOR LOGICE PROGRAMABILE

2 INTRODUCERE ÎN DOMENIUL CIRCUITELOR LOGICE PROGRAMABILE 2 INTRODUCERE ÎN DOMENIUL CIRCUITELOR LOGICE PROGRAMABILE 2.1 Generalităţi Termenul de circuit logic programabil sau PLD (Programmable Logic Devices) este un termen general care se referă la orice tip

Διαβάστε περισσότερα

REDRESOARE MONOFAZATE CU FILTRU CAPACITIV

REDRESOARE MONOFAZATE CU FILTRU CAPACITIV REDRESOARE MONOFAZATE CU FILTRU CAPACITIV I. OBIECTIVE a) Stabilirea dependenţei dintre tipul redresorului (monoalternanţă, bialternanţă) şi forma tensiunii redresate. b) Determinarea efectelor modificării

Διαβάστε περισσότερα

riptografie şi Securitate

riptografie şi Securitate riptografie şi Securitate - Prelegerea 12 - Scheme de criptare CCA sigure Adela Georgescu, Ruxandra F. Olimid Facultatea de Matematică şi Informatică Universitatea din Bucureşti Cuprins 1. Schemă de criptare

Διαβάστε περισσότερα

2. CONDENSATOARE 2.1. GENERALITĂŢI PRIVIND CONDENSATOARELE DEFINIŢIE UNITĂŢI DE MĂSURĂ PARAMETRII ELECTRICI SPECIFICI CONDENSATOARELOR SIMBOLURILE

2. CONDENSATOARE 2.1. GENERALITĂŢI PRIVIND CONDENSATOARELE DEFINIŢIE UNITĂŢI DE MĂSURĂ PARAMETRII ELECTRICI SPECIFICI CONDENSATOARELOR SIMBOLURILE 2. CONDENSATOARE 2.1. GENERALITĂŢI PRIVIND CONDENSATOARELE DEFINIŢIE UNITĂŢI DE MĂSURĂ PARAMETRII ELECTRICI SPECIFICI CONDENSATOARELOR SIMBOLURILE CONDENSATOARELOR 2.2. MARCAREA CONDENSATOARELOR MARCARE

Διαβάστε περισσότερα

SEMINAR 14. Funcţii de mai multe variabile (continuare) ( = 1 z(x,y) x = 0. x = f. x + f. y = f. = x. = 1 y. y = x ( y = = 0

SEMINAR 14. Funcţii de mai multe variabile (continuare) ( = 1 z(x,y) x = 0. x = f. x + f. y = f. = x. = 1 y. y = x ( y = = 0 Facultatea de Hidrotehnică, Geodezie şi Ingineria Mediului Matematici Superioare, Semestrul I, Lector dr. Lucian MATICIUC SEMINAR 4 Funcţii de mai multe variabile continuare). Să se arate că funcţia z,

Διαβάστε περισσότερα

VII.2. PROBLEME REZOLVATE

VII.2. PROBLEME REZOLVATE Teoria Circuitelor Electrice Aplicaţii V PROBEME REOVATE R7 În circuitul din fiura 7R se cunosc: R e t 0 sint [V] C C t 0 sint [A] Se cer: a rezolvarea circuitului cu metoda teoremelor Kirchhoff; rezolvarea

Διαβάστε περισσότερα

Transformări de frecvenţă

Transformări de frecvenţă Lucrarea 22 Tranformări de frecvenţă Scopul lucrării: prezentarea metodei de inteză bazate pe utilizarea tranformărilor de frecvenţă şi exemplificarea aceteia cu ajutorul unui filtru trece-jo de tip Sallen-Key.

Διαβάστε περισσότερα

Valori limită privind SO2, NOx şi emisiile de praf rezultate din operarea LPC în funcţie de diferite tipuri de combustibili

Valori limită privind SO2, NOx şi emisiile de praf rezultate din operarea LPC în funcţie de diferite tipuri de combustibili Anexa 2.6.2-1 SO2, NOx şi de praf rezultate din operarea LPC în funcţie de diferite tipuri de combustibili de bioxid de sulf combustibil solid (mg/nm 3 ), conţinut de O 2 de 6% în gazele de ardere, pentru

Διαβάστε περισσότερα

Geometrie computationala 2. Preliminarii geometrice

Geometrie computationala 2. Preliminarii geometrice Platformă de e-learning și curriculă e-content pentru învățământul superior tehnic Geometrie computationala 2. Preliminarii geometrice Preliminarii geometrice Spatiu Euclidean: E d Spatiu de d-tupluri,

Διαβάστε περισσότερα

2.2.1 Măsurători asupra semnalelor digitale

2.2.1 Măsurători asupra semnalelor digitale Lucrarea 2 Măsurători asupra semnalelor digitale 2.1 Obiective Lucrarea are ca obiectiv fixarea cunoştinţelor dobândite în lucrarea anterioară: Familiarizarea cu aparatele de laborator (generatorul de

Διαβάστε περισσότερα

prin egalizarea histogramei

prin egalizarea histogramei Lucrarea 4 Îmbunătăţirea imaginilor prin egalizarea histogramei BREVIAR TEORETIC Tehnicile de îmbunătăţire a imaginilor bazate pe calculul histogramei modifică histograma astfel încât aceasta să aibă o

Διαβάστε περισσότερα