Κεφαλαιο 4 Ψηφιακή Λογική & Συστήµατα

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "Κεφαλαιο 4 Ψηφιακή Λογική & Συστήµατα"

Transcript

1 Κεφαλαιο 4 Ψηφιακή Λογική & Συστήµατα 1. Εισαγωγή - Γενικά 2. Συστήματα Αρίθμησης & Κώδικες 3. Μετατροπή & Δειγματοληψία Σημάτων 4. Λογικές Πύλες 5. Πίνακες Αληθείας - Ιδιότητες των Πυλών - Σχεδίαση Ψηφιακών Κυκλωμάτων 6. Απλοποίηση Λογικών Παραστάσεων & Κυκλωμάτων μέσω Πινάκων Karnaugh 7. Τυπικά Συνδυαστικά Κυκλώματα 8. Flip - Flop 9. Καταχωρητές Απαριθμητές 1. Πολυδονητές Ψηφιακοί Χρονιστές

2 Γενικά - Εισαγωγή Control Systems Laboratory Ένα ψηφιακό σήμα είναι μία συνάρτηση που μπορεί να πάρει μόνο διακριτές τιμές. Οι διακριτές καταστάσεις των ψηφιακών σημάτων εκφράζονται σαν το καρτεσιανό γινόμενο ενός πεπερασμένου πλήθους ανεξαρτήτων συνιστωσών η κάθε μία από τις οποίες παίρνει μόνο δύο μόνο τιμές : υψηλή και χαμηλή. Η μαθηματική μας παιδεία και ο φυσικός κόσμος παρέχουν εξοικείωση με τις συνεχείς μεταβλητές και τον αλγεβρικό - υπολογιστικό χειρισμό τους. ΟΜΩΣ: Ο ψηφιακός κόσμος είναι ιδιαίτερα πρόσφορος για ένα πρακτικό λόγο: οι αλγεβρικές πράξεις αναλογικών ποσοτήτων μπορούν να υλοποιηθούν μέσω λογικών πράξεων των αντιστοίχων ψηφιακών προσεγγίσεών τους. Οι διατάξεις που υλοποιούν τις λογικές πράξεις είναι εύκολα και φθηνά υλοποιήσιμες. Είναι δυνατή, όμως, η με ελεγχόμενη ακρίβεια μετάβαση από το ψηφιακό (διακριτό) πεδίο στο συνεχές και αντιστρόφως μέσω καταλλήλων ηλεκτρονικών συσκευών (Digital- Analog- Converters, Analog- Digital- Converters). 2

3 Control Systems Laboratory Συστήµατα Αρίθµησης & Κώδικες = Ο αριθμός είναι εκφρασμένος στο δεκαδικό σύστημα, δηλ. έχει βάση 1. b Γενικά, ένας αριθμός με βάση γράφεται και σημαίνει αντίστοιχα : a n 1 a n 2 a.a 1 a 2 a m ( ) b = a n 1 b n 1 + a n 2 b n a b + a 1 b 1 + a 2 b a m b m Το δυαδικό σύστημα αρίθμησης ( = 2) χρησιμοποιεί δύο ψηφία (1,) που αντιστοιχούν στις δύο στάθμες της ψηφιακής λογικής (υψηλή, χαμηλή). Στα ψηφιακά ηλεκτρονικά κυκλώματα συνήθως η υψηλή τιμή είναι +5V ενώ η χαμηλή V. Κάθε δυαδικό ψηφίο ονομάζεται bit (Binary Digit). Στην έκφραση ενός δυαδικού αριθμού το bit λέγεται ελάχιστα ενδεικτικό (Least Significant Bit - LSB), ενώ το λέγεται μέγιστα ενδεικτικό (Most Significant Bit - MSB). Χρησιμοποιούνται και άλλα συστήματα για λόγους προγραμματιστικής ευκολίας σε χαμηλό επίπεδο b b Το οκταδικό σύστημα αρίθμησης ( =8) χρησιμοποιεί τα ψηφία (,1,2,3,4,5,6,7) και χρησιμοποιείται στους προγραμματιζόμενους λογικούς ελεγκτές (PLC) για τον καθορισμό των διευθύνσεων των συσκευών εισόδου και εξόδου. Το δεκαεξαδικό σύστημα αρίθμησης ( =16) χρησιμοποιεί τα ψηφία (,1,2,3,4,5,6,7,8,9,A, B, C, D, E, F). b Ένα άλλο χρησιμοποιούμενο σύστημα αρίθμησης στους Η/Υ είναι το δυαδικά κωδικοποιημένο δεκαδικό (Binary Coded Decimal - BCD). Κατ αυτό το σύστημα, έχουμε δυαδική παράσταση κάθε δεκαδικού ψηφίου. Προφανώς, για την παράσταση κάθε ενός από τα δέκα ψηφίων του δεκαδικού συστήματος {,1,,9} απαιτούνται τουλάχιστον 4 bits. 3

4 Control Systems Laboratory Συστήµατα Αρίθµησης & Κώδικες Ο κώδικας ASCII (American Standard Code for Informa on Interchange) κωδικοποιεί όλους τους χαρακτήρες (π.χ. 1, α, ESC ) που απαιτούνται για την επικοινωνία μεταξύ ψηφιακών συσκευών (δηλαδή, σε βιομηχανικό περιβάλλον, ρομπότ, Η/Υ, μηχανές CNC, ψηφιακούς κατευθυντές κ.λ.π). Ο ASCII στηρίζεται στην ίδια ιδέα με το BCD. Όμως σε αυτόν, κάθε χαρακτήρας απαιτεί 7bit ενώ το 8 ο bit μπορεί να χρησιμοποιηθεί είτε για διόρθωση πιθανού σφάλματος μετάδοσης (parity bit) είτε για την μετάδοση ειδικών χαρακτήρων. Όπως φαίνεται, η κωδικοποίηση ASCII δεν είναι εντελώς τυχαία αλλά παρουσιάζει κάποια λογική π.χ. 1 = 111,. Γενικά ισχύουν δηλαδή οι ASCII 2 = 111 ASCII ισοδυναμίες ( 29) = ( 111) 2 = ( 111) 1 = BCD ASCII

5 Control Systems Laboratory Λογικές Πύλες Οι λογικές πύλες (logic gates) είναι ηλεκτρονικά κυκλώματα που επιτελούν διάφορες λογικές πράξεις. Οι λογικές πράξεις και η άλγεβρα που διέπει αυτές μελετήθηκε από τον άγγλο μαθηματικό George Boole που εισήγε την αρχή: «όλες ο προτάσεις μπορούν να αποδειχθούν με σωστές απαντήσεις σε πεπερασμένο αριθμό ερωτήσεων τύπου σωστό αληθές». Οι λογικές πράξεις της Άλγεβρας Boole είναι : A B AND Y AND OR NOT A B Y=A B A B Y=A+B X Y= A B OR Y X NOT Y X A B NAND Y NAND NOR A B Y=A B A B Y=A B A B NOR Y

6 Control Systems Laboratory Λογικές Πύλες Υπάρχουν οι πύλες XOR (EXCLUSIVE OR) που ορίζεται σαν και η XΝOR (EXCLUSIVE ΝOR) που ορίζεται ως A B = A B. Τα σύμβολά τους και οι αντίστοιχοι πίνακες αληθείας φαίνονται παρακάτω A B = A B + A B A B XOR XOR Y A XNOR B XΝOR A B Y=A B A B Y=A B Y 6

7 Συνδυαστικά Λογικά Κυκλώµατα Τα Συνδυαστικά Λογικά Κυκλώματα υλοποιούν στατικές λογικές συναρτήσεις δηλαδή σχέσεις εισόδων - εξόδων του τύπου x ( ) {,1} m {,1} z= f x z x f(x) όπου το z εξαρτάται μόνο από το x με μια στατική (δηλ. μη χρονικά εξαρτώμενη) σχέση εισόδου / εξόδου. Υπάρχουν 2 προβλήματα που σχετίζονται με τα συνδυαστικά λογικά κυκλώματα: ανάλυση: Δεδομένου ενός ψηφιακού κυκλώματος να κατανοηθεί η λειτουργία του (δηλ. η μαθηματική λογική συνάρτησή του και ο πίνακας αληθείας του). σύνθεση: Δεδομένων των προδιαγραφών λειτουργίας ενός λογικού κυκλώματος να βρεθεί η μαθηματική λογική συνάρτησή του και το κύκλωμα που την υλοποιεί). z n 7

8 Παραδείγµατα Ανάλυσης A B C Δεδομένων των παρακάτω λογικών κυκλωμάτων να βρεθεί οι αντίστοιχες μαθηματικές λογικές συναρτήσεις που υλοποιούν και οι πίνακας αληθείας. B AB A B C B AB AB + C AB + C Οι παραπάνω Πίνακες Αληθείας αποτελούνται από 2 n=3 = 8 σειρές, όπου n=3 ο αριθμός των εισόδων, και μας δείχνουν την έξοδο για κάθε περίπτωση εισόδου. P Q R P+ Q P Q R P Q P+ Q R + ( ) ( P+ Q) R 8

9 Control Systems Laboratory Πίνακες Αληθείας Λογική συνάρτηση είναι μία συνάρτηση που σχηματίζεται από δυαδικές μεταβλητές και λογικές πράξεις π.χ f ( x, y, z) = x y + x z + y z g( x, y, z) = x y + x z Μπορούμε να κατασκευάσουμε τους αντίστοιχους πίνακες αληθείας οι οποίοι να περιέχουν όλους τους λογικούς συνδυασμούς (πεδίο ορισμού) αυτών των μεταβλητών και να δούμε το αντίστοιχο πεδίο τιμών (range). Από τον πίνακα αληθείας μπορεί να δει κανείς ότι για όλους τους συνδυασμούς των μεταβλητών, δηλ. σε όλο το πεδίο ορισμού x y+ x z+ y z= x y+ x z Είναι προφανές ότι ο πίνακας αληθείας είναι ένα εργαλείο απόδειξης ιδιοτήτων των λογικών συναρτήσεων. x y z x y x z y z f( x, y, z ) gxyz (,, )

10 Control Systems Laboratory Ιδιότητες Λογικών Πράξεων Σχεδίαση Λογικών Κυκλωµάτων Ιδιότητες + Ιδιότητες Ιδιότητες ΝΟΤ ( ) ( ) x y z = x ( y z) = ( x y) z x = x x y = y x x + x = 1 x x = x x x = x 1 = x x + x y = x + y x = x + y + z = x + y + z = x + y + z x + y = y + x x + x = x x + 1 = 1 x + = x Άλλες Ιδιότητες x ( y + z) = x y + x z x + x y = x x + y z = ( x + y) ( x + z) Νόμοι De Morgan x 1 x 2 x 3 x n = x 1 + x 2 + x 3 +!+ x n x 1 + x 2 + x x n = x 1 x 2 x 3 x n Αλγόριθμος Σχεδίασης : Κατασκευή πίνακα αληθείας με βάση της σχετικές προδιαγραφές Εύρεση εκείνων των γραμμών του πίνακα αληθείας όπου η έξοδος είναι αληθής (δηλ. ισούται με «1»). Για κάθε τέτοια γραμμή, βρίσκουμε τον συνδυασμό εισόδων με την πράξη AND που κάνει τη «σύζευξή» τους αληθή (σύζευξη = AND). Κάνουμε «διάζευξη» σε όλες αυτές τις συζεύξεις (διάζευξη = OR). 1

11 Παραδείγµατα Σύνθεσης Δεδομένων των λειτουργικών προδιαγραφών που συνοψίζονται σε ένα πίνακα αληθείας να ευρεθούν οι σχετικές μαθηματικές λογικές συναρτήσεις και τα αντίστοιχα λογικά κυκλώματα που τις υλοποιούν Να ευρεθεί το ψηφιακό κύκλωµα που υλοποιεί τον παρακάτω πίνακα αληθείας Να ευρεθεί το ψηφιακό κύκλωµα που η έξοδός του z είναι αληθής µόνο όταν οι 2 είσοδοί του (x,y) είναι ίσες Α Β x ( A, B 1) = = x= A B z= x y+ x y x y x y ( x=, y= ) ( x= 1, y= 1) x y z x = A B 11

12 Σχεδίαση Λογικών Κυκλωµάτων: Παράδειγµα Control Systems Laboratory Να ευρεθεί η λογική συνάρτηση g( x, y, z) και το αντίστοιχο λογικό κύκλωμα που η g( x, y, z) είναι αληθής (1) όταν η πλειοψηφία των τριών εισόδων είναι αληθής και ψευδής () σε κάθε άλλη περίπτωση. xyz gxyz (,, ) = xyz+ xyz+ xyz+ xyz gxyz (,, ) = xyz+ xyz+ xyz+ xyz= = xyz + xyz + xy( z + z) = = xyz + xyz + xy xyz xyz xyz x y x y z g( x, y, z) Αλγόριθμος Σχεδίασης : Κατασκευή πίνακα αληθείας με βάση της σχετικές προδιαγραφές Εύρεση εκείνων των γραμμών του πίνακα αληθείας όπου η έξοδος είναι αληθής (δηλ. ισούται με «1»). Για κάθε τέτοια γραμμή, βρίσκουμε τον συνδυασμό εισόδων με την πράξη AND που κάνει τη «σύζευξή» τους αληθή (σύζευξη = AND). Κάνουμε «διάζευξη» σε όλες αυτές τις συζεύξεις (διάζευξη = OR). 12 z xyz xyz xy gxyz (,, ) = xyz+ xyz+ xy

13 Πρόβληµα Σύνθεσης Στο σχήμα απεικονίζεται μιά διάταξη «συναγερμού» αυτοκινήτου που χρησιμοποιείται για την ανίχνευση ορισμένων ανεπιθύμητων καταστάσεων. Οι 3 διακόπτες χρησιμοποιούνται για την ανίχνευση της κατάστασης της θύρας του οδηγού, την κατάσταση λειτουργίας του κινήτήρα και τα φώτα. Να σχεδιασθεί το λογικό κύκλωμα που με είσοδο αυτά τα 3 σήματα ενεργοποιεί κατάλληλα τον συναγερμό όταν συμβαίνει κάποια από τις παρακάτω ανεπιθύμητες καταστάσεις : Tα φώτα είναι ανοικτά (ΟΝ) ενώ κινητήρας είναι ανενεργός (OFF) +5 V Open Closed +5 V ON OFF +5 V ON OFF Κινητήρας Θύρα Οδηγού Φώτα D I L Λογικό Κύκλωµα Προ Συναγε Η θύρα οδηγού είναι ανοικτή (OPEN) ενώ ο κινητήρας είναι ενεργός (ΟΝ). z = LI + D I 13

14 Control Systems Laboratory Απλοποίηση Λογικών Παραστάσεων µέσω Πινάκων Karnaugh Συστηματικός και γραφικός τρόπος απλοποίησης λογικών παραστάσεων και κυκλωμάτων. Πρακτικά εφαρμόσιμος για παραστάσεις μέχρι και 4, το πολύ, μεταβλητών. Για μεγαλύτερο αριθμό μεταβλητών υπάρχουν ειδικά προγράμματα απλοποίησης που στηρίζονται (και) σε μεθοδολογίες τεχνητής νοημοσύνης. Για μια λογική συνάρτηση, οι πίνακες Karnaugh παριστάνουν τη σχέση μεταξύ λογικών εισόδων και εξόδου, κάτι που κάνουν άλλωστε τόσον οι πίνακες αληθείας όσο και οι λογικές εξισώσεις. Αρχικά θα δειχθεί το πώς οι πίνακες Karnaugh προκύπτουν από τους πίνακες αληθείας και με βάση αυτό θα γίνει η όλη ανάπτυξη της μεθοδολογίας απλοποίησης, ενώ μετά θα δειχθεί το πως προκύπτουν και από τις λογικές εξισώσεις. 14

15 Κατασκευή Πινάκων Karnaugh Control Systems Laboratory { x =ΑΒ+ΑΒ} X = ABC+ ABC + ABC + ABC X = ABCD+ ABCD + ABCD + ABCD 15

16 Control Systems Laboratory Οµαδοποίση των Κελιών: Κατά Ζεύγη X = ABC + ABC = AB X = ABC + ABC = BC X = ABC + ABC = BC X = ABCD+ ABCD + ABCD + ABCD = ABC + ABD «Η ομαδοποίηση ζευγών γειτνιαζόντων κελιών οδηγεί σε εξαφάνιση της μεταβλητής που εμφανίζεται σε κάθε ζεύγος τόσο σε κανονική όσο και σε συμπληρωματική μορφή». 16

17 Control Systems Laboratory Οµαδοποίση των Κελιών: Κατά Τετράδες X = C X = BD X = AB X Η ομαδοποίηση τετράδων γειτνιαζόντων κελιών οδηγεί σε εξαφάνιση εκείνων των δύο μεταβλητών που εμφανίζονται σε κάθε τετράδα τόσο σε κανονική όσο και σε συμπληρωματική μορφή. = AD X = BD 17

18 Control Systems Laboratory Οµαδοποίση των Κελιών: Κατά Οκτάδες X = C Η ομαδοποίηση οκτάδων γειτνιαζόντων κελιών οδηγεί σε εξαφάνιση εκείνων των τριών μεταβλητών που εμφανίζονται σε κάθε οκτάδα τόσο σε κανονική όσο και σε συμπληρωματική μορφή. X = B X = B X = D 18

19 Απλοποίηση µέσω Πίνακα Karnaugh Η απλοποίηση θα γίνει με βάση τα προηγούμενα και 2 βασικές παρατηρήσεις: σε ένα πίνακα Karnaugh πρέπει να αναζητούμε όσο το δυνατόν μεγαλύτερες ομάδες έτσι ώστε να έχουμε την μεγαλύτερη δυνατή απλοποίηση, και δεδομένου ότι για κάθε λογική μεταβλητή z ισχύει z = z + z, στην αναζήτησή μας για όσο το δυνατόν μεγαλύτερες ομάδες κελιών, ένα κελί μπορεί να ανήκει σε 2 ή περισσότερες ομάδες. Η διαδικασία απλοποίησης είναι η παρακάτω: Βήμα 1: Κατασκευή του πίνακα Karnaugh. Βήμα 2: Ανεύρεση & περικύκλωση απομονωμένων κελιών με περιεχόμενο «1» (δηλ. αυτών που δεν γειτνιάζουν με άλλα) Βήμα 3: Ανεύρεση & περικύκλωση αυτοτελών ζευγών κελιών με περιεχόμενο «1», δηλαδή ζευγών που περιέχουν ένα τουλάχιστον κελί που γειτνιάζει μόνο με το άλλο. Βήμα 4: Ανεύρεση & περικύκλωση οκτάδων κελιών με περιεχόμενο «1», ακόμα και αν κάποια κελιά τους ανήκουν σε προηγουμένως ανευρεθέντα αυτοτελή ζεύγη. Βήμα 5: Ανεύρεση & περικύκλωση τετράδων κελιών με περιεχόμενο «1» που περιέχουν ένα ή περισσότερα κελιά με περιεχόμενο «1» τα οποία δεν έχουν ήδη περικυκλωθεί προηγουμένως. Πρέπει να γίνει προσπάθεια εύρεσης του ελάχιστου αριθμού τέτοιων ομάδων. Βήμα 6: Ανεύρεση & περικύκλωση ζευγών κελιών με περιεχόμενο «1» για να περιληφθούν τα κελιά με περιεχόμενο «1» τα οποία δεν έχουν ήδη περικυκλωθεί προηγουμένως. Πρέπει να γίνει προσπάθεια εύρεσης του ελάχιστου αριθμού τέτοιων ομάδων. Βήμα 7: Διάζευξη (OR) όλων των όρων που προκύπτουν από τις παραπάνω ομάδες. Control Systems Laboratory 19

20 Control Systems Laboratory Απλοποίηση µέσω Πίνακα Karnaugh: Παράδειγµα - 1 Στον παρακάτω πίνακα έχει ήδη γίνει το «βήμα- 1». Επομένως: Βήμα 2: Το κελί 4 είναι το μοναδικό που δεν γειτνιάζει με άλλα. Βήμα 3: Στο ζεύγος (11,15) το κελί 15 γειτνιάζει μόνο με το 11. Είναι και το μοναδικό ζεύγος τέτοιου τύπου. Βήμα 4: Δεν υπάρχουν οκτάδες. Βήμα 5: Στην τετράδα (6,7,1,11) το κελί 11 είναι ήδη κομμάτι του ζεύγους (11,15) Βήμα 6: Δεν υπάρχουν άλλα ζεύγη Βήμα 7: X =! ABC ## "## D$ +! ACD +! BD loop 4 loop 11, 15 C D C D C D C D A B A B A B A B loop 6, 7, 1, 11 Βήμα 1: Κατασκευή του πίνακα Karnaugh. Βήμα 2: Ανεύρεση & περικύκλωση απομονωμένων κελιών με περιεχόμενο «1» (δηλ. αυτών που δεν γειτνιάζουν με άλλα) Βήμα 3: Ανεύρεση & περικύκλωση αυτοτελών ζευγών κελιών με περιεχόμενο «1», δηλαδή ζευγών που περιέχουν ένα τουλάχιστον κελί που γειτνιάζει μόνο με το άλλο. Βήμα 4: Ανεύρεση & περικύκλωση οκτάδων κελιών με περιεχόμενο «1», ακόμα και αν κάποια κελιά τους ανήκουν σε προηγουμένως ανευρεθέντα αυτοτελή ζεύγη. Βήμα 5: Ανεύρεση & περικύκλωση τετράδων κελιών με περιεχόμενο «1» που περιέχουν ένα ή περισσότερα κελιά με περιεχόμενο «1» τα οποία δεν έχουν ήδη περικυκλωθεί προηγουμένως. Πρέπει να γίνει προσπάθεια εύρεσης του ελάχιστου αριθμού τέτοιων ομάδων. Βήμα 6: Ανεύρεση & περικύκλωση ζευγών κελιών με περιεχόμενο «1» για να περιληφθούν τα κελιά με περιεχόμενο «1» τα οποία δεν έχουν ήδη περικυκλωθεί προηγουμένως. Πρέπει να γίνει προσπάθεια εύρεσης του ελάχιστου αριθμού τέτοιων ομάδων. Βήμα 7: Διάζευξη (OR) όλων των όρων που προκύπτουν από τις παραπάνω ομάδες. 2

21 Control Systems Laboratory Απλοποίηση µέσω Πίνακα Karnaugh: Παράδειγµα - 2 Στον παρακάτω πίνακα έχει ήδη γίνει το «βήμα- 1». Επομένως: Βήμα 2: Δεν υπάρχουν απομονωμένα κελιά. Βήμα 3: Στο ζεύγος (3,7) το κελί 3 γειτνιάζει μόνο με το 7. Είναι και το μοναδικό ζεύγος τέτοιου τύπου. Βήμα 4: Δεν υπάρχουν οκτάδες. Βήμα 5: Στην τετράδα (5,6,7,8) το κελί 7 είναι ήδη κομμάτι του ζεύγους (3,7). Στην τετράδα (5,6,9,1) τα κελιά 5,6 είναι ήδη κομμάτια της τετράδας (5,6,7,8) Βήμα 6: Δεν υπάρχουν άλλα ζεύγη Βήμα 7: X =! AB +! BC +! ACD loop 5, 6, 7, 8 loop 5 6, 9, 1 C D C D C D C D A B A B A B A B loop 3, Βήμα 1: Κατασκευή του πίνακα Karnaugh. Βήμα 2: Ανεύρεση & περικύκλωση απομονωμένων κελιών με περιεχόμενο «1» (δηλ. αυτών που δεν γειτνιάζουν με άλλα) Βήμα 3: Ανεύρεση & περικύκλωση αυτοτελών ζευγών κελιών με περιεχόμενο «1», δηλαδή ζευγών που περιέχουν ένα τουλάχιστον κελί που γειτνιάζει μόνο με το άλλο. Βήμα 4: Ανεύρεση & περικύκλωση οκτάδων κελιών με περιεχόμενο «1», ακόμα και αν κάποια κελιά τους ανήκουν σε προηγουμένως ανευρεθέντα αυτοτελή ζεύγη. Βήμα 5: Ανεύρεση & περικύκλωση τετράδων κελιών με περιεχόμενο «1» που περιέχουν ένα ή περισσότερα κελιά με περιεχόμενο «1» τα οποία δεν έχουν ήδη περικυκλωθεί προηγουμένως. Πρέπει να γίνει προσπάθεια εύρεσης του ελάχιστου αριθμού τέτοιων ομάδων. Βήμα 6: Ανεύρεση & περικύκλωση ζευγών κελιών με περιεχόμενο «1» για να περιληφθούν τα κελιά με περιεχόμενο «1» τα οποία δεν έχουν ήδη περικυκλωθεί προηγουμένως. Πρέπει να γίνει προσπάθεια εύρεσης του ελάχιστου αριθμού τέτοιων ομάδων. Βήμα 7: Διάζευξη (OR) όλων των όρων που προκύπτουν από τις παραπάνω ομάδες. 21

22 Control Systems Laboratory Απλοποίηση µέσω Πίνακα Karnaugh: Παράδειγµα - 3 Στον παρακάτω πίνακα έχει ήδη γίνει το «βήμα- 1». Επομένως: Βήμα 2: Δεν υπάρχουν απομονωμένα κελιά. Βήμα 3: Τα αυτοτελή ζεύγη είναι τα (2,6), (7,8), (9,1) και (11,15). Αυτά περιέχουν ένα τουλάχιστον κελί που γειτνιάζει μόνο με το άλλο. Βήμα 4: Δεν υπάρχουν οκτάδες. Βήμα 5: Δεν υπάρχουν τετράδες (Στην τετράδα (6,7,1,11) όλα τα κελιά είναι ήδη τμήματα προηγουμένων ομάδων) Βήμα 6: Δεν υπάρχουν άλλα ζεύγη Βήμα 7: X =! ABC +! ACD +! ABC +! ACD 9, 1 2, 6 7, 8 C D C D C D C D A B A B A B A B , 15 Βήμα 1: Κατασκευή του πίνακα Karnaugh. Βήμα 2: Ανεύρεση & περικύκλωση απομονωμένων κελιών με περιεχόμενο «1» (δηλ. αυτών που δεν γειτνιάζουν με άλλα) Βήμα 3: Ανεύρεση & περικύκλωση αυτοτελών ζευγών κελιών με περιεχόμενο «1», δηλαδή ζευγών που περιέχουν ένα τουλάχιστον κελί που γειτνιάζει μόνο με το άλλο. Βήμα 4: Ανεύρεση & περικύκλωση οκτάδων κελιών με περιεχόμενο «1», ακόμα και αν κάποια κελιά τους ανήκουν σε προηγουμένως ανευρεθέντα αυτοτελή ζεύγη. Βήμα 5: Ανεύρεση & περικύκλωση τετράδων κελιών με περιεχόμενο «1» που περιέχουν ένα ή περισσότερα κελιά με περιεχόμενο «1» τα οποία δεν έχουν ήδη περικυκλωθεί προηγουμένως. Πρέπει να γίνει προσπάθεια εύρεσης του ελάχιστου αριθμού τέτοιων ομάδων. Βήμα 6: Ανεύρεση & περικύκλωση ζευγών κελιών με περιεχόμενο «1» για να περιληφθούν τα κελιά με περιεχόμενο «1» τα οποία δεν έχουν ήδη περικυκλωθεί προηγουμένως. Πρέπει να γίνει προσπάθεια εύρεσης του ελάχιστου αριθμού τέτοιων ομάδων. Βήμα 7: Διάζευξη (OR) όλων των όρων που προκύπτουν από τις παραπάνω ομάδες. 22

23 Control Systems Laboratory Απλοποίηση µέσω Πίνακα Karnaugh: Παράδειγµα - 4 Στον παρακάτω πίνακα έχει ήδη γίνει το «βήμα- 1». Επομένως: Βήμα 2: Δεν υπάρχουν απομονωμένα κελιά. Βήμα 3: Δεν υπάρχουν αυτοτελή ζεύγη. Βήμα 4: Δεν υπάρχουν οκτάδες. Βήμα 5: Δεν υπάρχουν τετράδες Βήμα 6: Τα ζεύγη φαίνονται στο σχήμα Βήμα 7: X = ACD + ABC + ABC + ACD C D C D C D C D A B A B A B A B Βήμα 1: Κατασκευή του πίνακα Karnaugh. Βήμα 2: Ανεύρεση & περικύκλωση απομονωμένων κελιών με περιεχόμενο «1» (δηλ. αυτών που δεν γειτνιάζουν με άλλα) Βήμα 3: Ανεύρεση & περικύκλωση αυτοτελών ζευγών κελιών με περιεχόμενο «1», δηλαδή ζευγών που περιέχουν ένα τουλάχιστον κελί που γειτνιάζει μόνο με το άλλο. Βήμα 4: Ανεύρεση & περικύκλωση οκτάδων κελιών με περιεχόμενο «1», ακόμα και αν κάποια κελιά τους ανήκουν σε προηγουμένως ανευρεθέντα αυτοτελή ζεύγη. Βήμα 5: Ανεύρεση & περικύκλωση τετράδων κελιών με περιεχόμενο «1» που περιέχουν ένα ή περισσότερα κελιά με περιεχόμενο «1» τα οποία δεν έχουν ήδη περικυκλωθεί προηγουμένως. Πρέπει να γίνει προσπάθεια εύρεσης του ελάχιστου αριθμού τέτοιων ομάδων. Βήμα 6: Ανεύρεση & περικύκλωση ζευγών κελιών με περιεχόμενο «1» για να περιληφθούν τα κελιά με περιεχόμενο «1» τα οποία δεν έχουν ήδη περικυκλωθεί προηγουμένως. Πρέπει να γίνει προσπάθεια εύρεσης του ελάχιστου αριθμού τέτοιων ομάδων. Βήμα 7: Διάζευξη (OR) όλων των όρων που προκύπτουν από τις παραπάνω ομάδες. 23

24 Control Systems Laboratory Απλοποίηση µέσω Πίνακα Karnaugh: Παράδειγµα - 5 Για το ίδιο πίνακα όμως Βήμα 2: Δεν υπάρχουν απομονωμένα κελιά. Βήμα 3: Δεν υπάρχουν αυτοτελή ζεύγη. Βήμα 4: Δεν υπάρχουν οκτάδες. Βήμα 5: Δεν υπάρχουν τετράδες Βήμα 6: Τα ζεύγη φαίνονται στο σχήμα Βήμα 7: X = ABD + BCD + BCD + ABD C D C D C D C D A B A B A B A B Δηλαδή, υπάρχουν δύο περιπτώσεις ισοδυνάμων λύσεων δεδομένου ότι και οι δύο είναι της ίδιας πολυπλοκότητας. Βήμα 1: Κατασκευή του πίνακα Karnaugh. Βήμα 2: Ανεύρεση & περικύκλωση απομονωμένων κελιών με περιεχόμενο «1» (δηλ. αυτών που δεν γειτνιάζουν με άλλα) Βήμα 3: Ανεύρεση & περικύκλωση αυτοτελών ζευγών κελιών με περιεχόμενο «1», δηλαδή ζευγών που περιέχουν ένα τουλάχιστον κελί που γειτνιάζει μόνο με το άλλο. Βήμα 4: Ανεύρεση & περικύκλωση οκτάδων κελιών με περιεχόμενο «1», ακόμα και αν κάποια κελιά τους ανήκουν σε προηγουμένως ανευρεθέντα αυτοτελή ζεύγη. Βήμα 5: Ανεύρεση & περικύκλωση τετράδων κελιών με περιεχόμενο «1» που περιέχουν ένα ή περισσότερα κελιά με περιεχόμενο «1» τα οποία δεν έχουν ήδη περικυκλωθεί προηγουμένως. Πρέπει να γίνει προσπάθεια εύρεσης του ελάχιστου αριθμού τέτοιων ομάδων. Βήμα 6: Ανεύρεση & περικύκλωση ζευγών κελιών με περιεχόμενο «1» για να περιληφθούν τα κελιά με περιεχόμενο «1» τα οποία δεν έχουν ήδη περικυκλωθεί προηγουμένως. Πρέπει να γίνει προσπάθεια εύρεσης του ελάχιστου αριθμού τέτοιων ομάδων. Βήμα 7: Διάζευξη (OR) όλων των όρων που προκύπτουν από τις παραπάνω ομάδες. 24

25 Control Systems Laboratory Απλοποίηση Λογικών Εκφράσεων µέσω Πινάκων Karnaugh Οι πίνακες Karnaugh μπορούν να χρησιμοποιηθούν και για απλοποίηση λογικών εκφράσεων μέσω μιας απλής μεθοδολογίας: 1. Αναπτύσσεται η λογική έκφραση έτσι ώστε να πάρουμε την μορφή «αθροίσματος απλών γινομένων» (όπου το άθροισμα αντιστοιχεί σε διαδοχικά OR ενώ το γινόμενο σε διαδοχικά AND). 2. Κατασκευάζουμε τον πίνακα Karnaugh που αντιστοιχεί στον αριθμό μεταβλητών που εμπλέκονται στην λογική έκφραση. 3. Σε κάθε γινόμενο ευρίσκεται το αντίστοιχο κελί του πίνακα Karnaugh και τοποθετείται «1». 4. Στα κελιά που απομένουν τοποθετείται. 5. Προχωρούμε στην απλοποίηση σύμφωνα με την διαδικασία της προηγούμενης παραγράφου. 25

26 Control Systems Laboratory Απλοποίηση Λογικών Εκφράσεων µέσω Πινάκων Karnaugh: Παράδειγµα ( ) Να απλοποιηθεί η έκφραση: y= C ABD+ D + ABC+ D 1. Αρχικά αναπτύσσουμε την μορφή «αθροίσματος απλών γινομένων» και παίρνουμε y= ABCD+ CD+ ABC+ D. 2. Στην ανωτέρω έκφραση εμπλέκονται 4 μεταβλητές οπότε θα έχουμε έναν 4 4 πίνακα Karnaugh. 3. Σε κάθε όρο της μορφής «αθροίσματος απλών γινομένων» τα κελιά που αντιστοιχούν και γίνονται «1» είναι: 1 4. Τα υπόλοιπα κελιά (3,7,11) παίρνουν την τιμή. 5. Εφαρμόζοντας τα βήματα 2-7 της προηγούμενης παραγράφου C D C D C D C D A B A B A B A B y= C+ AB+ D ABCD CD 2, 6,1,14 ABC 15,16 D 1, 5, 9,13, 4,8,12,16 26

27 Control Systems Laboratory Συνθήκες Τύπου «Αδιάφορο» Κατά την σχεδίαση ψηφιακών κυκλωμάτων που σχετίζονται με πρακτικές εφαρμογές είναι δυνατό ορισμένοι συνδυασμοί εισόδων, κύρια επειδή δεν συμβαίνουν ποτέ, να μην επιθυμούμε να αντιστοιχούν σε κάποια συγκεκριμένη επιθυμητή έξοδο, είναι η έξοδος δηλαδή «αδιάφορη» ( don t care ). Για παράδειγμα, στον παρακάτω πίνακα αληθείας οι συνδυασμοί εισόδων (Α=, Β=C=1) και (Α=1, Β=C=) έχουν μη συγκεκριμένη επιθυμητή έξοδο, δηλαδή x. Στην κατασκευή του πίνακα Karnaugh που αντιστοιχεί είναι προφανές ότι τα αντίστοιχα κελιά θα πάρουν την τιμή x. Κατά συνέπεια είναι λογικό να θεωρήσουμε τα διάφορα x να παίρνουν, κατά περίπτωση, τέτοιες τιμές ώστε να συντελούν στην δημιουργία μεγαλυτέρων ομάδων πράγμα που θα οδηγήσει σε απλούστερα κυκλώματα. Δεδομένου ότι δεν υπάρχει συστηματικός τρόπος για να αποφασίσουμε την τιμή των x θα πρέπει να στηριχθούμε σε εποπτεία. 27

28 Control Systems Laboratory Τυπικά Συνδυαστικά Κυκλώµατα Τα συνδυαστικά κυκλώματα είναι μία κατηγορία ψηφιακών κυκλωμάτων των οποίων οι έξοδοι, κάθε στιγμή, είναι συναρτήσεις των εισόδων τους και μόνον, εκείνη την στιγμή. x(t) Combinatorial Digital Circuit y t ( ) ( ) = f x( t) y(t) 28

29 Τυπικά Συνδυαστικά Κυκλώµατα: Διακόπτες Ελέγχου (Control Switches) 1 a1 b1 5 1 a1 b1 5 n Γραµµές Εισόδου 2 a2 3 a3 b2 b3 6 7 n Γραµµές Εξόδου Είσοδος 2 3 a2 a3 b2 b3 6 7 Έξοδος 4 a4 GND Είσοδος Ελέγχου: 1: διέλευση δεδοµένων εισόδου πρός την έξοδο : αποκοπή δεδοµένων εισόδουπρός την έξοδο b4 8 Αν στην έξοδο έχουμε όλο δεν γνωρίζουμε αν είναι αυτά δεδομένα ή απλά δεν διέρχονται δεδομένα δια του διακόπτη. 4 a4 GND Είσοδος Ελέγχου b4 8 Βιομηχανικά Ηλεκτρονικά - Κ.Ι.Κυριακόπουλος 29

30 Τυπικά Συνδυαστικά Κυκλώµατα: Μάσκες (Masks) Παρόμοια δράση με αυτή του διακόπτη αλλά επεκτείνεται το δικαίωμα επιλογής σε κάθε γραμμή της εισόδου. Παραμένει το μειονέκτημα του ότι αν σε μία γραμμή εξόδου έχουμε δεν γνωρίζουμε αν είναι αυτά δεδομένα ή απλά δεν διέρχονται δεδομένα δια του αντίστοιχου διακόπτη της εν λόγω γραμμής. Είσοδος 1 a1 2 a2 3 a3 b1 b2 b Έξοδος 4 a4 b4 8 GND Είσοδοι Ελέγχου (µάσκα επιλογής) Βιομηχανικά Ηλεκτρονικά - Κ.Ι.Κυριακόπουλος 3

31 Control Systems Laboratory Τυπικά Συνδυαστικά Κυκλώµατα Πολυπλέκτες (Mulmplexers MUX) Συνδυαστικά κυκλώματα με τα οποία είναι δυνατή κάθε φορά, μέσω n γραμμών επιλογής, η διέλευση μίας εισόδου από τις 2 n γραμμές εισόδου. Στο σχήμα έχουμε ένας πολυπλέκτη με 3 γραμμές επιλογής (A,B,C), 8 γραμμές εισόδου ( D, D 1,, D 7 ) και την γραμμή εξόδου Ζ και την αντίστροφή της, π.χ. για A=1, B=, C=1 τότε = 11 = 5 οπότε διέρχεται η 5η γραμμή δηλ. Χρησιμοποιούνται σε βιομηχανικά ABC ηλεκτρονικά κυκλώματα και ιδιαίτερα σε Σ.Α.Ε. που απαιτείται δειγματοληψία για μετρήσεις. Τα συστήματα συλλογής δεδομένων (Data Acquisi on Systems - DAQ) χρησιμοποιούν MUX στην είσοδό τους για εισαγωγή μετρήσεων (π.χ. πίεση, θερμοκρασία) σε έναν μικροϋπολογιστή ελέγχου. Z 31 = D 5

32 Τυπικά Συνδυαστικά Κυκλώµατα: Πολυπλέκτες (Multiplexers) Μέσω των n γραμμών ελέγχου είναι δυνατή η επιλογή μίας από τις 2 n γραμμές εισόδου έτσι ώστε να διέλθει στην έξοδο D (επίσης διατίθεται και η D ). Multiplexer Multiplexer 2 n Γραµµές Δεδοµένων Εισόδου S 1 S 8 n D D 4=2 2 Γραµµές Δεδοµένων Εισόδου S 1 S 2 S 3 S 4 S 1 S 8 n D D D C 1 C 2 C 3 n ENB C 1 C 1 C 2 C 2 C 3 n ENB n Είσοδοι Ελέγχου 2 Είσοδοι Ελέγχου 32

33 A B C A B C=A (B C)= =(A B) C Πολυπλέκτης 4 εισόδων S S 1 S 2 S 3 S 1 S 8 n 1 Multiplexer 1 G G 1 G 2 G 3 S D D S D S A B C D A+B+C+D= =A+[B+(C+D)] 1 C 1 1 C 2 C 3 n ENB C 1 C 33

34 Πολυπλέκτης 4 εισόδων S S 1 S 1 S 2 S 3 S 8 n Multiplexer 1 1 S 2 G G G 1 G 2 D D D S 2 S 2 C 1 1 C 1 C C 2 C 3 n ENB 34

35 Control Systems Laboratory Τυπικά Συνδυαστικά Κυκλώµατα Μία εφαρμογή ελέγχου φαίνεται στο σχήμα όπου αισθητήρες μετρούν στο δοχείο: πίεση, θερμοκρασία, ύψος υγρού και εισροή υγρού. Ακολουθείται μία πολύ απλή στρατηγική ελέγχου σύμφωνα με την οποία: όταν 3 από τις 4 μεταβλητές εξόδου ξεπεράσουν κάποια όρια (έλθουν στην κατάσταση 1 ) τότε ενεργοποιείται ο συναγερμός (Ζ=1) άλλως είναι ανενεργός (Ζ=). Αυτος είναι ένας τρόπος υλοποίησης των ανωτέρω προδιαγραφών εναλλακτικά, με χρήση έτοιμου εμπορικά διαθέσιμου κυκλώματος. 35

36 Control Systems Laboratory Τυπικά Συνδυαστικά Κυκλώµατα Αποπολυπλέκτες (Demulmplexers DEMUX) Kάνουν ακριβώς το αντίθετο σε σχέση με τους πολυπλέκτες: δηλ. κάνουν δυνατή την σε κάθε στιγμή, μέσω γραμμών επιλογής, διέλευση μίας και μοναδικής εισόδου σε μία επιλεχθείσα γραμμή εξόδου. Στο σχήμα έχουμε έναν αποπολυπλέκτη με n=3 γραμμές επιλογής(a,b,c), 2 n =8 γραμμές εξόδου ( O,O 1,,O ) 7 π.χ. για A=1, B=, C=1 ABC 2 = 11 2 = 5 1 οπότε διέρχεται η είσοδος προς την 5 η γραμμή εξόδου δηλ. O 5 = Input 36

37 Τυπικά Συνδυαστικά Κυκλώµατα: Αποκωδικοποιητές (Decoders) Σε κάθε συνδυασμό εισόδου ενεργοποιείται μίας από τις 2 n γραμμές εξόδου. Decoder Decoder n Γραµµές Δεδοµένων Εισόδου S S 1 D D 1 2 n Γραµµές Δεδοµένων Εξόδου S S 1 D D 1 S n-2 S n-1 D 2 n-2 D 2n-1 D 2 D 3 Βιοµηχανικά Ηλεκτρονικά - Κ.Ι.Κυριακόπουλος 37

38 Τυπικά Συνδυαστικά Κυκλώµατα: Αποκωδικοποιητές (Decoders) Σε κάθε συνδυασμό εισόδου ενεργοποιείται μίας από τις 2 n γραμμές εξόδου. Decoder S S 1 S =1 2 =2 1 1 S G G 1 D D 1 D 2 =1 1 1 G 2 G 3 D 2 D 3 1 Βιοµηχανικά Ηλεκτρονικά - Κ.Ι.Κυριακόπουλος 38

39 Control Systems Laboratory Τυπικά Συνδυαστικά Κυκλώµατα Αποκωδικοποιητές (Decoders) Είναι συνδυαστικά κυκλώματα που επιτρέπουν την μετατροπή ενός δυαδικού αριθμού σε κάποιο άλλο τύπο (format). Έτσι, αν έχουν n εισόδους μπορούν να έχουν μέχρι 2 n γραμμές εξόδου και σε κάθε είσοδο να δημιουργείται κάποιο ζητούμενο πρότυπο (pa ern) εξόδου. Κλασσικό παράδειγμα είναι το ψηφιακό κύκλωμα που οδηγεί τον SSD. Ένα τέτοιο κύκλωμα φαίνεται στο σχήμα. Αν θελήσουμε να αναλύσουμε αυτό το κύκλωμα, μπορούμε να κατασκευάσουμε τον πίνακα αληθείας που αντιστοιχεί στις απαιτούμενες ενεργοποιήσεις των φωτοδιόδων. Χρησιμοποιώντας την μεθοδολογία της προηγουμένης παραγράφου μπορεί κανείς να βρει τις λογικές συναρτήσεις 39

40 Τυπικά Συνδυαστικά Κυκλώµατα: Κωδικοποιητές (Encoders) Σε κάθε ενεργοποίηση μίας από τις 2 n γραμμές εισόδου αντιστοιχίζεται ένας συνδυασμός εξόδων. Χρησιμεύει στην κωδικοποίηση των χαρακτήρων του πληκτρολογίου κατά τον κώδικα ASCIΙ. 2 n Γραµµές Δεδοµένων Εισόδου Encoder n Γραµµές Εξόδου Βιοµηχανικά Ηλεκτρονικά - Κ.Ι.Κυριακόπουλος 4

41 Control Systems Laboratory Τυπικά Συνδυαστικά Κυκλώµατα Αθροιστής (Adder) Ο αθροιστής είναι ένα πολύ σημαντικό κύκλωμα επειδή μέσω αυτού πραγματοποιούνται όχι μόνο η πρόσθεση αλλά και οι άλλες πράξεις. Το σχήμα δείχνει το IC 7483 που είναι ένας αθροιστής τεσσάρων bit. 41

42 Τυπικά Συνδυαστικά Κυκλώµατα: Αθροιστές Βασικός μηχανισμός άθροισης. Πράξη μεταξύ 2 bits Α, Β : + Α 1 bit ( ή 1) B 1 bit ( ή 1) Half - Adder C S Κρατούμενο Άθροισμα ΗΑ Α Β C S _ 1 1 A B S =AB + AB = A B 1 1 A B Λογικό κύκλωμα που υλοποιεί την C = AB πρόσθεση 2- bit και αποκαλείται Ημιαθροιστής (Half Adder - HA) Α Β τ τ τ S C Βιοµηχανικά Ηλεκτρονικά - Κ.Ι.Κυριακόπουλος 42

43 Τυπικά Συνδυαστικά Κυκλώµατα: Αθροιστές (συνεχ.) Απλή 2- μπιτη πρόσθεση : α 2 α 1 b 2 b 1 + Η πρώτη πρόσθεση που εκτελείται είναι αυτή της δεξιάς στήλης: α 1 b 1 + k 1 d 1 Το κρατούμενο k 1 θα πρέπει να προστεθεί στην αμέσως πιο αριστερά στήλη, δηλαδή: k 1 α 2 α 1 b 2 b 1 + d 1 Συμπέρασμα: απαιτείται η πρόσθεση των 3 bit, (k 1, a 2, b 2 ). Φανερή η ανάγκη κατασκευής λογικού κυκλώματος που θα προσθέτει 3 bit (π.χ. Α,Β,Γ). Υλοποίηση: Α+Β, με ΗΑ- 1: Α Β + c 1 s 1 Μετά, μέσω ΗΑ- 2: s 1. Γ + c 2 s 2 Για το c 1 +c 2 δεν είναι αναγκαίος ένας ΗΑ αλλά απλά μια πύλη OR Το όλο λογικό κύκλωμα λέγεται αθροιστής (Full Adder - FA). Γ Β Α τ HA S 1 C 1 τ FA HA S 2 C 2 τ S C 2τ 3τ Βιοµηχανικά Ηλεκτρονικά - Κ.Ι.Κυριακόπουλος 43

44 Τυπικά Συνδυαστικά Κυκλώµατα: Αθροιστές (συνεχ.) α 1 α 2 Πρόσθεση 2- μπιτων αριθμών α 2 α 1 b 2 b 1 + d 3 d 2 d 1 b 2 b 1 HA FA 1 S τ +2τ 3τ +2τ 5τ C τ 4τ FA 2 7τ... FA (n-1) (2n-1) τ (3n-2) τ +3τ +3τ FA 1 c 2 d 3 s 2 d 2 HA c 1 s 1 Για την πρόσθεση αριθμών n bit, χρησιμοποιούμε ένα ΗΑ και (n- 1) FA. d 1 Ο συνολικός χρόνος που χρειάζεται για την πράξη είναι ο χρόνος του υπολοίπου (3n- 2)τ. Επειδή ο χρόνος αυξάνει γραμμικά με το n υπάρχουν άλλοι τύποι αθροιστών που προβλέπουν τα κρατούμενα και οδηγούν σε ταχύτερους υπολογισμούς. Βιοµηχανικά Ηλεκτρονικά - Κ.Ι.Κυριακόπουλος 44

45 Ακολουθιακά Κυκλώµατα Control Systems Laboratory Υπενθυμίζουμε ότι τα συνδυαστικά κυκλώματα είναι μία κατηγορία ψηφιακών κυκλωμάτων των οποίων οι έξοδοι, κάθε στιγμή, είναι συναρτήσεις των εισόδων τους και μόνον, εκείνη την στιγμή. Σε μια άλλη κατηγορία ψηφιακών κυκλωμάτων η έξοδος εξαρτάται όχι μόνο από την τρέχουσα τιμή εισόδου, αλλά και από την προηγούμενη κατάστασή της, δηλαδή ( ) = f x t y t y t ( ) ( ) = f x( t) ( ( ), y( t 1) ) Combinatorial Digital Circuit Αυτά τα κυκλώματα λέγονται ακολουθιακά (sequenmal) και όπως φαίνεται από την παραπάνω σχέση εμπεριέχουν κάποια ιδιότητα μνήμης. x(t) x(t) Sequen al Digital Circuit y(t) y(t) 45

46 Flip-Flop Control Systems Laboratory Το flip- flop είναι ένα βασικό στοιχείο της οικογένειας των ακολουθιακών κυκλωμάτων και κατά συνέπεια είναι εφοδιασμένο με την ικανότητα της μνήμης. Εχει την δυνατότητα αποθήκευσης μιας κατάστασης (1 ή ), ενός bit δηλαδή. Υπάρχουν πολλές κατηγορίες flip- flop, αλλά εμείς θα εξετάσουμε τρία πολύ απλά αλλά και πολύ συχνά χρησιμοποιημένα είδη flip- flop, τα : RS flip- flop, D flip- flop, και JK flip- flop. 46

47 Control Systems Laboratory Flip-Flop: RS Έχει δύο εισόδους, την είσοδο θέσης S (set) και την είσοδο επαναφοράς R (reset), και δύο εξόδους, τις Q και Q, που σε κανονική λειτουργία θα πρέπει να είναι συμπληρωματικές Q' = Q. Tα RS flip- flop μπορούν να υλοποιηθούν είτε με πύλες NOR είτε με NAND. Είναι εύκολο να πιστοποιήσουμε ότι και για τις δύο υλοποιήσεις : Θέση : όταν S=1, R= τότε Q=1, Q' = Q= Επαναφορά : όταν S=, R=1 τότε Q=, Q' = Q =1, και Αποθήκευση : όταν S=, R= τότε Q(t+1)=Q(t), Q (t+1)=q (t)= Q (t) Ο συνδυασμός εισόδων S=1, R=1 δεν είναι επιθυμητός μιας και οδηγεί σε ταυτοποίηση των εξόδων. Αυτή η συμπεριφορά καταγράφεται στον παρακάτω πίνακα αληθείας. S R S R Q' Q 1 Q S R SET CLR Q Q Q = Q Q' S R Q(t+1) Q(t)

48 Πρόβληµα (Θέµα 2/21) Στο παρακάτω σχήμα, οι έξοδοι Q των δύο (2) RS Flip- Flop αντιστοιχούν στα bit b 1 και b 2 του 2- μπιτου αριθμού b 2 b 1. Να σχεδιασθεί και συνδεθεί με τα Flip- Flop το κύκλωμα που δίνει το c 2 (b 2 b 1 ). b 2 b 1 b 2 b S R Q Q b 2 b 2 d 2 d 3 d 2 d 1 S Q b 1 d 3 R Q b 1 d

49 Flip-Flop: D Control Systems Laboratory Είναι ένας τύπος συγχρονιζόμενου flip- flop, δηλαδή ενός flip- flop όπου οι έξοδοί του δεν αλλάζουν μόνο με αλλαγή της εισόδου D αλλά χρειάζεται ένας ωρολογιακός παλμός (clocking pulse). To D flip- flop φαίνεται στο σχήμα μαζί με τον πίνακα αληθείας του. Προφανώς η πύλη ΝΟΤ χρησιμοποιήθηκε για να εξασφαλίσει ότι οι είσοδοι του RS flip- flop θα είναι πάντοτε συμπληρωματικές. T D Q(t+1) Q(t) 1 Q(t) D clock T D T SET Q Q = Q CLR Q Q'

50 Flip-Flop: JK Control Systems Laboratory Είναι από τους πιο ευρέως χρησιμοποιούμενους τύπους flip- flop. Ανήκει στην κατηγορία των συγχρονιζομένων flip- flop και το βασικό χαρακτηριστικό του είναι ότι έχει ελεγχόμενη συμπεριφορά σε όλους τους συνδυασμούς των εισόδων JK. Η συμπεριφορά του είναι παρόμοια με αυτή του RS με το πρόσθετο επιθυμητό χαρακτηριστικό ότι η είσοδος J=1, K=1 έχει σαν αποτέλεσμα απλή αντιστροφή των εξόδων. Υπάρχουν και εξελιγμένοι τύποι αυτού του flip- flop στους οποίους οι έξοδοι επηρεάζονται όχι όταν η τιμή του ρολογιού είναι 1, αλλά όταν έχουμε τις μεταβολές του ρολογιού: θετικά διεγειρόμενο JK flip- flop (posi ve edge- triggered JK flip- flop) J clock T T J K K SET Q Q Q = Q CLR Q Q' J K Q(t+1) Q(t) Q (t) αρνητικά διεγειρόμενο JK flip- flop (nega ve edge- triggered JK flip- flop) 5 T J K SET CLR Q Q Q = Q

51 Control Systems Laboratory Καταχωρητές (Registers) Ο καταχωρητής είναι μία ψηφιακή διάταξη αποθήκευσης bit. Το σχήμα δείχνει ένα τυπικό καταχωρητή αποθήκευσης με (posi ve edge- triggered) D flip- flop, που αποθηκεύει μία 4- μπιτη λέξη. Όταν η είσοδος περιέχει μία λέξη, πχ. 111, τότε όταν το ρολόι δώσει παλμό (è 1è, ) αυτή η λέξη εμφανίζεται και στις εξόδους Q, και παραμένει εκεί άθικτη μέχρι την επόμενη θετική διέργεση από το ρολόι. Στο καταχωρητή ολίσθησης (shi register) το πρότυπο των bit που είναι αποθηκευμένα ολισθαίνουν δεξιά. Χρησιμοποιείται σε μια σειρά εφαρμογών όπως αλλαγή δεδομένων από ένα format σε άλλο, έλεγχο αλληλουχίας, κυκλώματα χρονισμού κ.λ.π. Αν υποθέσουμε ότι θέλουμε να εισάγουμε σε αυτό τον καταχωρητή την λέξη 111, δηλαδή στο τέλος να έχουμε τότε σε κάθε αρνητική διέγερση του παλμού ρολογιού εισάγονται ένα- ένα τα bits που βρίσκονται εκείνη τη στιγμή στην είσοδο, δηλαδή εισάγουμε την σειρά 1,1,,1 και σταδιακά οι έξοδοι των flip- flop γίνονται... Η εισαγωγή των δεδομένων γίνεται σειριακά ενώ αυτές διατίθενται παράλληλα. J K Q(t+1) Q(t) Q (t) I n p u t P a t t e r n Clock Παλµός Ρολογιού 51 D D D D SET CLR SET CLR SET CLR SET CLR Q Q Q Q Q Q Q Q O u t p u t P a t t e r n Q1 Q 2 Q 3 Q4

52 Καταχωρητές (Registers) Control Systems Laboratory Μια μικρή παραλλαγή του καταχωρητή ολίσθησης είναι ο δακτυλιοειδής απαριθμητής (ring counter). Όπως φαίνεται στο σχήμα, η έξοδος του τελευταίου flip- flop συνδέεται άμεσα με το πρώτο και έτσι η λέξη των bit επανέρχεται κυκλικά, όπως φαίνεται και στον πίνακα. Παλµοί Ρολογιού Q1 Q Q

53 J K Q(t+1) Q(t) Q (t) Απαριθµητές (Counters) Control Systems Laboratory Παλµοί Ρολογιού Q 1 Q 2 Q 3 Οι απαριθμητές είναι ακολουθιακά κυκλώματα που χρησιμοποιούνται για να μετρήσουν τον αριθμό δυαδικών παλμών που εφαρμόζονται σε αυτόν. Q 4 Clock Pulses Q4 Q3 Q2 Q1 Χρησιμοποιούνται σε βιομηχανικές εφαρμογές καταγραφής αριθμού αντικειμένων σε μεταφορική ταινία, μέτρησης μήκους παραγομένου υλικού, μέτρησης στροφών 1 1 στρεφόμενης μηχανής κλπ Οι ψηφιακοί απαριθμητές κατασκευάζονται με flip- flop (συνήθως JK), και είναι είτε 4 1 σειριακοί είτε παράλληλοι. Ο απλούστερος τύπος απαριθμητή είναι ο σειριακός (series) ή ασύγχρονος (asynchronous) απαριθμητής που χρησιμοποιεί την έξοδο του ενός flip- flop σαν είσοδο ρολογιού στο επόμενο Διακρίνουμε τους απαριθμητές σε αυξανόμενους (up- counters) ή ελαττούμενους 8 1 (down- counters). Οι πρώτοι αυξάνουν την αποθηκευμένη αριθμητική τους τιμή σε κάθε παλμό, εν αντιθέσει με τους δεύτερους. Είναι προφανές ότι για τον 4bit ασύγχρονο αυξανόμενο απαριθμητή του σχήματος αντιστοιχούν 16 καταστάσεις Δεδομένου ότι όλοι οι είσοδοι των flip- flop είναι 1, η έξοδός τους θα εναλλάσσεται κάθε φορά που έχουμε αρνητική μετάβαση σε ένα παλμό ρολογιού (λόγω των αρνητικά διεγειρόμενων JK flip- flop)

54 Control Systems Laboratory Δεκαδικοί Απαριθµητές (BCD Counters) Χρήσιμοι στις βιομηχανικές εφαρμογές μιας και είναι κατάλληλοι για οπτική απεικόνιση. Στο σχήμα φαίνεται ο ασύγχρονος BCD απαριθμητής τύπου Η διάταξη αυτή παρέχεται εμπορικά με την μορφή του IC 749. Στο σχήμα φαίνεται ένα κύκλωμα απαρίθμησης που χρησιμοποιείται σε καταμέτρηση αντικειμένων σε μεταφορική ταινία. Ο διακόπτης LS1 παρέχει δρα σαν διεγέρτης σε μία σειρά τεσσάρων IC 749 στα οποία αντιστοιχεί ένας αποκωδικοποιητής που οδηγεί ένα ψηφιοδείκτη 7 τμημάτων (SSD). Προφανώς ο κύκλος μέτρησης αυτού του συστήματος είναι έως Στο σχήμα φαίνεται η υλοποίηση ενός αυξανόμενου/ελαττούμενου απαριθμητή (δυνατότητα τόσο αυξήσεως όσο και ελαττώσεως ανάλογα με το σήμα διέγερσης). Όταν το σήμα ελέγχου μετρήσεως (count control) είναι 1 τότε έχουμε αύξηση, ενώ όταν είναι, έχουμε ελάττωση. Οι είσοδοι JK είναι πάντοτε 1 και γι αυτό δεν φαίνονται στο σχήμα. 54

55 Πολυδονητές Control Systems Laboratory Tα flip- flop μπορούν να οδηγηθούν σε δύο ευσταθείς καταστάσεις (SET- RESET) και γι αυτό αποκαλούνται και δισταθείς πολυδονητές (bistable mulmvibrators). Με βάση αυτή τη λογική, υπάρχουν κυκλώματα: με μία μόνο ευσταθή κατάσταση που αποκαλούνται μονοσταθείς πολυδονητές (monostable mulmvibrators) που δεν έχουν ευσταθείς καταστάσεις, όπου δηλαδή ταλαντώνονται μεταξύ δύο ασταθών καταστάσεων και αποκαλούνται ασταθείς πολυδονητές (astable mulmvibrators). Οι δύο τελευταίες κλάσεις κυκλωμάτων χρησιμοποιούνται σε κυκλώματα χρονισμού. Τα κυκλώματα χρονισμού έχουν όλο και μεγαλύτερη απήχηση σε βιομηχανικές εφαρμογές λόγω της μεγάλης αξιοπιστίας τους και χαμηλού κόστους των. 55

56 Χρονιστές Control Systems Laboratory Πολλά κυκλώματα χρονισμού στηρίζονται στο κλασσικό, γενικής χρήσεως και ευρέως χρησιμοποιούμενο ολοκληρωμένο κύκλωμα (IC), το 555 Η τάση τροφοδοσίας V CC = 5Vδιαιρείται μέσω του διαιρέτη τάσης των 3 αντιστάσεων 5kΩ (στο οποίο οφείλει και το όνομά του, ) στις τάσεις αναφοράς των δύο συγκριτών, για τον 1 και V CC 3 για τον 2. Όταν ο ακροδέκτης trigger πέσει κάτω από τότε η έξοδος του TE 2 αλλάζει κατάσταση (άνω κορεσμός) που οδηγεί την έξοδο, μέσω του flip- flop και του αναστροφέα, στην κατάσταση high. Όταν ο ακροδέκτης threshold ανέβει πάνω από 2 V CC 3 τότε η έξοδος τουte 1 αλλάζει κατάσταση (άνω κορεσμός) που οδηγεί την έξοδο, μέσω του flip- flop και του αναστροφέα, στην κατάσταση low. 2 3 V CC V CC 3 S R Q(t+1) Q(t)

57 Control Systems Laboratory Αυτό το φαινόµενο σε ορισµένους πολυδονητές (τύπου retriggerable) δεν συµβαίνει, δηλαδή µια διέγερση που λαµβάνει την χρονική στιγµή t i σηµαίνει ότι η έξοδος θα είναι ΟΝ µέχρι την στιγµή t i +t p ακόµα και όταν η έξοδος είναι ΟΝ την στιγµή t i. Μονοσταθείς Πολυδονητές Είναι κυκλώματα που ανταποκρίνονται σε κάποια διέγερση (trigger) εισόδου Τ, θέτοντας «άμεσα» την έξοδό τους σε κατάσταση διέγερσης (ΟΝ) επί ένα χρονικό διάστημα ενώ μετά επιστρέφουν στην ευσταθή κατάστασή τους (OFF). Σαν παράδειγμα: Τ t p (ms) Η έξοδος: ανταποκρίνεται επί χρόνο t p στην διέγερση που λαμβάνει χώρα τις στιγμές 1 & 5, ενώ δεν ανταποκρίνεται στην διέγερση που λαμβάνει χώρα τη στιγμή 6 δεδομένου ότι εκείνη την στιγμή είναι ήδη σε διέγερση. Ο μονοσταθής πολυδονητής του σχήματος βασίζεται στον 555 και χρησιμοποιείται σε ηλεκτρονικές διατάξεις που απαιτούν μικρό t p Υπάρχουν και άλλα IC πολυδονητών που χρησιμοποιούνται ανάλογα με τις απαιτήσεις μας (π.χ. το SN74121) και στα οποία η τιμή t p δίδεται από συναρτήσεις τιμών εξωτερικά συνδεδεμένων αντιστάσεων και πυκνωτών. Σε αυτόν του σχήματος ισχύει: t p = 1.1 R A C Βιομηχανικά Ηλεκτρονικά - Κ.Ι.Κυριακόπουλος t p 57

58 Control Systems Laboratory Ασταθείς Πολυδονητές Είναι κυκλώματα που παρέχουν μια επαναλαμβανόμενη κυματομορφή του τύπου t1 t2 T = t1+ t2 Το σχήμα δείχνει έναν 555 με δίκτυο RC που παράγει μία τέτοια κυματομορφή που έχει συχνότητα και χαρακτηριστικά 1 2 f t =.693 R C = 1 T B όπου RA + RB 6.6 ΜΩ =.693 ( A + B) 12 C 5 pf ( 1pF = 1 F) t R R C RA 1kΩ Προφανώς αν RB >> RA τότε t1 t2 οπότε μπορεί το κύκλωμα να χρησιμοποιηθεί σαν ρολόι (clock) σε κυκλώματα που απαιτούν χρονισμό. Επειδή υπάρχει τυποποίηση των τιμών των αντιστάσεων σε συγκεκριμένες τιμές, οταν απαιτείται συγκεκριμένη (μη τυποποιημένη) τιμή, αυτή μπορεί να επιτευχθεί ικανοποιητικά με διασύνδεσ διαθέσιμων αντιστάσεων. /22/16 Βιομηχανικά Ηλεκτρονικά - Κ.Ι.Κυριακόπουλος 58

59 Control Systems Laboratory Άλλοι Τύποι Ρολογιών Οι πύλες τύπου ΝΟΤ είναι δυνατόν, όταν η διεγείρουσα είσοδός τους είναι σχετικά αργή, να παρουσιάσουν ανεπιθύμητη αστάθεια (ταλαντώσεις) στην έξοδό τους πριν την μετάβαση στην τελική τους κατάσταση πράγμα που δεν συνάδει με την αναμενόμενη ψηφιακή «συμπεριφορά» τους που τις θέλει να μεταβαίνουν σχεδόν ακαριαία και χωρίς ταλαντώσεις από την μία κατάσταση στην άλλη. Ένας αναστροφέας SchmiR- Trigger (Schmi - Trigger Inverter) είναι ένα IC τύπου ΝΟΤ το οποίο έχει το χαρακτηριστικό ότι έχει ευσταθή απόκριση ανεξάρτητα από το πόσο αργή είναι η διεγείρουσα είσοδός του. Αυτή η ευσταθής συμπεριφορά τον κάνει κατάλληλο για χρήση σε κυκλώματα ρολογιού τύπου Schmi^- Trigger Ταλαντωτή (Schmi - Trigger Oscillator) όπως στο παρακάτω σχήμα όπου ανάλογα με το τύπο IC που χρησιμοποιούμε για τον αναστροφέα Schmi - Trigger έχουμε και τις ανάλογες συναρτήσεις που δίνουν την συχνότητα της κυματομορφής εξόδου. Σε εφαρμογές όπου απαιτείται πολύ ακριβής χρονισμός (π.χ. μυ κλπ) τα παραπάνω κυκλώματα δεν είναι δυνατόν να χρησιμοποιηθούν δεδομένων των μη ακριβώς γνωστών τιμών των στοιχείων R,C ένεκα θερμοκρασίας και γήρανσης των. Σε αυτές τις περιπτώσεις χρησιμοποιούνται ειδικά IC κρυσταλλικών ταλαντωτών (crystal oscillators) που στηρίζονται σε κρυστάλλους χαλαζία των οποίων το μέγεθος και σχήμα καθορίζει με ακρίβεια την συχνότητα ταλάντωσής τους (1 khz 8 MHz) ανεξάρτητα από θερμοκρασία και γήρανση. Τύπος IC του Συχνότητα Περιορισµοί +5 V αναστροφέα Schmitt-Trigger /(R C) R 5 Ω 74LS14.8/(R C) R 2 kω 74HC14 1.2/(R C) R 1 MΩ R C 1 pf 59 V out Αναστροφέας Schmitt-Trigger 4 V V

Flip-Flop: D Control Systems Laboratory

Flip-Flop: D Control Systems Laboratory Flip-Flop: Control Systems Laboratory Είναι ένας τύπος συγχρονιζόμενου flip- flop, δηλαδή ενός flip- flop όπου οι έξοδοί του δεν αλλάζουν μόνο με αλλαγή των εισόδων R, S αλλά χρειάζεται ένας ωρολογιακός

Διαβάστε περισσότερα

C D C D C D C D A B

C D C D C D C D A B Απλοποίηση µέσω Πίνακα Karnaugh: Παράδειγµα - 2 Στον παρακάτω πίνακα έχει ήδη γίνει το «βήμα- 1». Επομένως: Βήμα 2: Δεν υπάρχουν απομονωμένα κελιά. Βήμα 3: Στο ζεύγος (3,7) το κελί 3 γειτνιάζει μόνο με

Διαβάστε περισσότερα

επανενεργοποιηθεί Βιομηχανικά Ηλεκτρονικά - Κ.Ι.Κυριακόπουλος Control Systems Laboratory

επανενεργοποιηθεί Βιομηχανικά Ηλεκτρονικά - Κ.Ι.Κυριακόπουλος Control Systems Laboratory Μετατροπέας Αναλογικού Σήµατος σε Ψηφιακό Ο δειγματολήπτης (S/H) παίρνει δείγματα του στιγμιαίου εύρους ενός σήματος και διατηρεί την τάση που αντιστοιχεί σταθερή, τροφοδοτώντας έναν κβαντιστή, μέχρι την

Διαβάστε περισσότερα

Κεφαλαιο 4 Ψηφιακή Λογική & Συστήματα

Κεφαλαιο 4 Ψηφιακή Λογική & Συστήματα Κεφαλαιο 4 Ψηφιακή Λογική & Συστήματα 1. Εισαγωγή - Γενικά 2. Συστήματα Αρίθμησης & Κώδικες 3. Μετατροπή & Δειγματοληψία Σημάτων 4. Λογικές Πύλες 5. Πίνακες Αληθείας - Ιδιότητες των Πυλών - Σχεδίαση Ψηφιακών

Διαβάστε περισσότερα

Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές

Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές στους Ηλεκτρονικούς Υπολογιστές http://courseware.mech.tua.gr/ml232/ 3 ο Μάθημα Λεωνίδας Αλεξόπουλος Λέκτορας ΕΜΠ E-mail: leo@mail.tua.gr URL: http://users.tua.gr/leo Λογικές Πράξεις Λογικές Συναρτήσεις

Διαβάστε περισσότερα

Ταλαντωτές. LC: σε ταλαντωτές συχνοτήτων άνω του 1 ΜΗz (σε τηλεπικοινωνιακές διατάξεις). RC: για συχνότητες μέχρι και 1 ΜΗz.

Ταλαντωτές. LC: σε ταλαντωτές συχνοτήτων άνω του 1 ΜΗz (σε τηλεπικοινωνιακές διατάξεις). RC: για συχνότητες μέχρι και 1 ΜΗz. Ταλαντωτές Παράγουν κάποιο σήμα εξόδου χωρίς να έχουν κατ ανάγκη σήμα εισόδου. Παρέχουν σήματα συχνοτήτων, χρονισμού και ερεθισμού όπως ημιτονοειδή, τετραγωνικά, τριγωνικά ή «πριονοειδή» κύματα. Υπάρχουν

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ ΠΕΡΙΕΧΟΜΕΝΑ Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ 1.1 Εισαγωγή...11 1.2 Τα κύρια αριθμητικά Συστήματα...12 1.3 Μετατροπή αριθμών μεταξύ των αριθμητικών συστημάτων...13 1.3.1 Μετατροπή ακέραιων

Διαβάστε περισσότερα

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ 1) Να μετατρέψετε τον δεκαδικό αριθμό (60,25) 10, στον αντίστοιχο δυαδικό 11111,11 111001,01 111100,01 100111,1 111100,01 2)

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ Κ. Δεμέστιχας Εργαστήριο Πληροφορικής Γεωπονικό Πανεπιστήμιο Αθηνών Επικοινωνία μέσω e-mail: cdemest@aua.gr, cdemest@cn.ntua.gr 1 5. ΑΛΓΕΒΡΑ BOOLE ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΜΕΡΟΣ Β 2 Επαναληπτική

Διαβάστε περισσότερα

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες Πρώτο Κεφάλαιο Εισαγωγή στα Ψηφιακά Συστήματα 1.1 Αναλογικά και Ψηφιακά Σήματα και Συστήματα... 1 1.2 Βασικά Ψηφιακά Κυκλώματα... 3 1.3 Ολοκληρωμένα κυκλώματα... 4 1.4 Τυπωμένα κυκλώματα... 7 1.5 Εργαλεία

Διαβάστε περισσότερα

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Θεµατική Ενότητα ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ Ακαδηµαϊκό Έτος 2006 2007 Γραπτή Εργασία #2 Ηµεροµηνία Παράδοσης 28-0 - 2007 ΠΛΗ 2: Ψηφιακά Συστήµατα ΠΡΟΤΕΙΝΟΜΕΝΕΣ ΛΥΣΕΙΣ Άσκηση : [5 µονάδες] Έχετε στη

Διαβάστε περισσότερα

ΠΛΗ10 Κεφάλαιο 2. ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών

ΠΛΗ10 Κεφάλαιο 2. ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: 2 2.3 : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών Στόχοι Μαθήματος: Να γνωρίσετε τις βασικές αρχές αριθμητικής των Η/Υ. Ποια είναι τα κυκλώματα

Διαβάστε περισσότερα

Ψηφιακά Συστήματα. 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων

Ψηφιακά Συστήματα. 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων Ψηφιακά Συστήματα 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων Βιβλιογραφία 1. Φανουράκης Κ., Πάτσης Γ., Τσακιρίδης Ο., Θεωρία και Ασκήσεις Ψηφιακών Ηλεκτρονικών, ΜΑΡΙΑ ΠΑΡΙΚΟΥ & ΣΙΑ ΕΠΕ, 2016. [59382199] 2. Floyd

Διαβάστε περισσότερα

e-book ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΕΙΣ

e-book ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΕΙΣ e-book ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΕΙΣ 1. Να μετατρέψετε τον δεκαδικό 16.25 σε δυαδικό. 2. Να μετατρέψετε τον δεκαδικό 18.75 σε δυαδικό και τον δεκαδικό 268 σε δεκαεξαδικό. 3. Να βρεθεί η βάση εκείνου του αριθμητικού

Διαβάστε περισσότερα

Συνδυαστικά Λογικά Κυκλώματα

Συνδυαστικά Λογικά Κυκλώματα Συνδυαστικά Λογικά Κυκλώματα Ένα συνδυαστικό λογικό κύκλωμα συντίθεται από λογικές πύλες, δέχεται εισόδους και παράγει μία ή περισσότερες εξόδους. Στα συνδυαστικά λογικά κυκλώματα οι έξοδοι σε κάθε χρονική

Διαβάστε περισσότερα

7.1 Θεωρητική εισαγωγή

7.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 7 ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΜΑΝ ΑΛΩΤΕΣ FLIP FLOP Σκοπός: Η κατανόηση της λειτουργίας των βασικών ακολουθιακών κυκλωµάτων. Θα µελετηθούν συγκεκριµένα: ο µανδαλωτής (latch)

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016 ΤΕΧΝΟΛΟΓΙΑ (ΙΙ) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΠΡΑΚΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Τεχνολογία και

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ 1 ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΩΝ ΚΑΙ ΚΩ ΙΚΕΣ 1

ΠΕΡΙΕΧΟΜΕΝΑ 1 ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΩΝ ΚΑΙ ΚΩ ΙΚΕΣ 1 ΠΕΡΙΕΧΟΜΕΝΑ 1 ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΩΝ ΚΑΙ ΚΩ ΙΚΕΣ 1 1-1 Σχηµατισµός Μηνύµατος 1 1-2 Βάση Αρίθµησης 2 1-3 Παράσταση Αριθµών στο εκαδικό Σύστηµα 2 Μετατροπή υαδικού σε εκαδικό 3 Μετατροπή εκαδικού σε υαδικό 4

Διαβάστε περισσότερα

9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ

9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ ΕΡΓΑΣΤΗΡΙΑΚΕΣ ΑΣΚΗΣΕΙΣ 61 9. OIΚΟΥΜΕΝΙΚΕΣ ΠΥΛΕΣ ΠΟΛΛΑΠΛΩΝ ΕΙΣΟ ΩΝ I. Βασική Θεωρία Οι πύλες NAND και NOR ονομάζονται οικουμενικές πύλες (universal gates) γιατί κάθε συνδυαστικό κύκλωμα μπορεί να υλοποιηθεί

Διαβάστε περισσότερα

Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές

Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές 12 ο Μάθημα Λεωνίδας Αλεξόπουλος Λέκτορας ΕΜΠ E-mail: leo@mail.ntua.gr URL: http://users.ntua.gr/leo 1 GROUP I A Λ ΤΡΙΤΗ PC-Lab GROUP IΙ Μ Ω ΠΑΡΑΣΚΕΥΗ Central Κέντρο

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2014

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2014 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2014 ΤΕΧΝΟΛΟΓΙΑ (ΙΙ) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Ψηφιακά Ηλεκτρονικά

Διαβάστε περισσότερα

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα Κεφάλαιο 6 Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα 6.1 Εισαγωγή Η εκτέλεση διαδοχικών λειτουργιών απαιτεί τη δημιουργία κυκλωμάτων που μπορούν να αποθηκεύουν πληροφορίες, στα ενδιάμεσα στάδια των

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007 Μάθημα : Ψηφιακά Ηλεκτρονικά Τεχνολογία ΙΙ Τεχνικών Σχολών, Θεωρητικής Κατεύθυνσης

Διαβάστε περισσότερα

5.1 Θεωρητική εισαγωγή

5.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 5 ΚΩ ΙΚΟΠΟΙΗΣΗ BCD Σκοπός: Η κατανόηση της µετατροπής ενός τύπου δυαδικής πληροφορίας σε άλλον (κωδικοποίηση/αποκωδικοποίηση) µε τη µελέτη της κωδικοποίησης BCD

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016 ΤΕΧΝΟΛΟΓΙΑ (ΙΙ) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Ψηφιακά Ηλεκτρονικά

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΣΥΝΔΥΑΣΤΙΚΗ ΛΟΓΙΚΗ 2017, Δρ. Ηρακλής Σπηλιώτης Συνδυαστικά και ακολουθιακά κυκλώματα Τα λογικά κυκλώματα χωρίζονται σε συνδυαστικά (combinatorial) και ακολουθιακά (sequential).

Διαβάστε περισσότερα

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops K24 Ψηφιακά Ηλεκτρονικά 9: TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ ΤΕΧΝΟΛΟΓΙΚΟ Περιεχόμενα 1 2 3 Γενικά Ύστερα από τη μελέτη συνδυαστικών ψηφιακών κυκλωμάτων, θα μελετήσουμε

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 3

ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 3 ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 3 ΑΠΛΟΠΟΙΗΣΗ και ΥΛΟΠΟΙΗΣΗ ΛΟΓΙΚΩΝ ΣΥΝΑΡΤΗΣΕΩΝ Σκοπός: Η κατανόηση της σχέσης µιας λογικής συνάρτησης µε το αντίστοιχο κύκλωµα. Η απλοποίηση λογικών συναρτήσεων

Διαβάστε περισσότερα

σύνθεση και απλοποίησή τους θεωρήµατα της άλγεβρας Boole, αξιώµατα του Huntington, κλπ.

σύνθεση και απλοποίησή τους θεωρήµατα της άλγεβρας Boole, αξιώµατα του Huntington, κλπ. Εισαγωγή Εργαστήριο 2 ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ Σκοπός του εργαστηρίου είναι να κατανοήσουµε τον τρόπο µε τον οποίο εκφράζεται η ψηφιακή λογική υλοποιώντας ασκήσεις απλά και σύνθετα λογικά κυκλώµατα (χρήση του

Διαβάστε περισσότερα

3. Απλοποίηση Συναρτήσεων Boole

3. Απλοποίηση Συναρτήσεων Boole 3. Απλοποίηση Συναρτήσεων Boole 3. Μέθοδος του χάρτη Η πολυπλοκότητα ψηφιακών πυλών που υλοποιούν μια συνάρτηση Boole σχετίζεται άμεσα με την πολύπλοκότητα της αλγεβρικής της έκφρασης. Η αλγεβρική αναπαράσταση

Διαβάστε περισσότερα

Εισαγωγή στην πληροφορική

Εισαγωγή στην πληροφορική Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Εισαγωγή στην πληροφορική Ενότητα 4: Ψηφιακή Λογική, Άλγεβρα Boole, Πίνακες Αλήθειας (Μέρος B) Αγγελίδης Παντελής Τμήμα Μηχανικών Πληροφορικής και Τηλεπικοινωνιών

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Συνδυαστική Λογική. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Συνδυαστική Λογική. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Συνδυαστική Λογική Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Ψηφιακά Κυκλώματα Τα ψηφιακά κυκλώματα διακρίνονται σε συνδυαστικά (combinational)

Διαβάστε περισσότερα

Γενικά Στοιχεία Ηλεκτρονικού Υπολογιστή

Γενικά Στοιχεία Ηλεκτρονικού Υπολογιστή Γενικά Στοιχεία Ηλεκτρονικού Υπολογιστή 1. Ηλεκτρονικός Υπολογιστής Ο Ηλεκτρονικός Υπολογιστής είναι μια συσκευή, μεγάλη ή μικρή, που επεξεργάζεται δεδομένα και εκτελεί την εργασία του σύμφωνα με τα παρακάτω

Διαβάστε περισσότερα

K15 Ψηφιακή Λογική Σχεδίαση 7-8: Ανάλυση και σύνθεση συνδυαστικών λογικών κυκλωμάτων

K15 Ψηφιακή Λογική Σχεδίαση 7-8: Ανάλυση και σύνθεση συνδυαστικών λογικών κυκλωμάτων K15 Ψηφιακή Λογική Σχεδίαση 7-8: Ανάλυση και σύνθεση συνδυαστικών λογικών κυκλωμάτων Γιάννης Λιαπέρδος TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ Η έννοια του συνδυαστικού

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 Μάθημα : Ψηφιακά Ηλεκτρονικά Τεχνολογία ΙΙ, Θεωρητικής Κατεύθυνσης Ημερομηνία

Διαβάστε περισσότερα

Κεφάλαιο 4. Λογική Σχεδίαση

Κεφάλαιο 4. Λογική Σχεδίαση Κεφάλαιο 4 Λογική Σχεδίαση 4.1 Εισαγωγή Λογικές συναρτήσεις ονομάζουμε εκείνες για τις οποίες μπορούμε να αποφασίσουμε αν είναι αληθείς ή όχι. Χειριζόμαστε τις λογικές προτάσεις στην συγγραφή λογισμικού

Διαβάστε περισσότερα

Α. ΣΚΟΔΡΑΣ ΠΛΗ21 ΟΣΣ#2. 14 Δεκ 2008 ΠΑΤΡΑ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ 2008 Α. ΣΚΟΔΡΑΣ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ

Α. ΣΚΟΔΡΑΣ ΠΛΗ21 ΟΣΣ#2. 14 Δεκ 2008 ΠΑΤΡΑ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ 2008 Α. ΣΚΟΔΡΑΣ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ ΠΛΗ21 ΟΣΣ#2 14 Δεκ 2008 ΠΑΤΡΑ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ 7-segment display 7-segment display 7-segment display Αποκωδικοποιητής των 7 στοιχείων (τμημάτων) (7-segment decoder) Κύκλωμα αποκωδικοποίησης του στοιχείου

Διαβάστε περισσότερα

Γ2.1 Στοιχεία Αρχιτεκτονικής. Γ Λυκείου Κατεύθυνσης

Γ2.1 Στοιχεία Αρχιτεκτονικής. Γ Λυκείου Κατεύθυνσης Γ2.1 Στοιχεία Αρχιτεκτονικής Γ Λυκείου Κατεύθυνσης Ορισμός άλγεβρας Boole Η άλγεβρα Boole ορίζεται, ως μία αλγεβρική δομή A, όπου: (α) Το Α είναι ένα σύνολο στοιχείων που περιέχει δύο τουλάχιστον στοιχεία

Διαβάστε περισσότερα

4.1 Θεωρητική εισαγωγή

4.1 Θεωρητική εισαγωγή ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 4 ΥΑ ΙΚΟΣ ΑΘΡΟΙΣΤΗΣ-ΑΦΑΙΡΕΤΗΣ Σκοπός: Να µελετηθούν αριθµητικά κυκλώµατα δυαδικής πρόσθεσης και αφαίρεσης. Να σχεδιαστούν τα κυκλώµατα από τους πίνακες αληθείας

Διαβάστε περισσότερα

7. Ψηφιακά Ηλεκτρονικά

7. Ψηφιακά Ηλεκτρονικά 1 7. Ψηφιακά Ηλεκτρονικά 7.1 Εισαγωγή Στα προηγούμενα μελετήσαμε τη λειτουργία του τρανζίστορ στην ενεργό περιοχή, χαρακτηριστικό της οποίας είναι ότι τα σήματα εισόδου και εξόδου μπορούν να λάβουν συνεχείς

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2007 Μάθημα : Ψηφιακά Ηλεκτρονικά Τεχνολογία ΙΙ Τεχνικών Σχολών, Θεωρητικής Κατεύθυνσης

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9. Tα Flip-Flop

ΑΣΚΗΣΗ 9. Tα Flip-Flop ΑΣΚΗΣΗ 9 Tα Flip-Flop 9.1. ΣΚΟΠΟΣ Η κατανόηση της λειτουργίας των στοιχείων μνήμης των ψηφιακών κυκλωμάτων. Τα δομικά στοιχεία μνήμης είναι οι μανδαλωτές (latches) και τα Flip-Flop. 9.2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ

Διαβάστε περισσότερα

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f.

6.1 Καταχωρητές. Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f. 6. Καταχωρητές Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f. Καταχωρητής 4 ψηφίων Καταχωρητής με παράλληλη φόρτωση Η εισαγωγή

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2009 ΤΕΧΝΟΛΟΓΙΑ (ΙΙ) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΘΕΩΡΗΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Ψηφιακά Ηλεκτρονικά

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ. ΜΑΘΗΜΑ 2 ο. ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ

ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ. ΜΑΘΗΜΑ 2 ο. ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ ΜΑΘΗΜΑ 2 ο ΑΛΓΕΒΡΑ Boole ΛΟΓΙΚΑ ΚΥΚΛΩΜΑΤΑ 2009-10 ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ 1 Άλγεβρα Βοοle η θεωρητική βάση των λογικών κυκλωμάτων Η άλγεβρα Βοοle ορίζεται επάνω στο σύνολο

Διαβάστε περισσότερα

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017 Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2017 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το κατωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ 1) Οι απαριθμητές ή μετρητές (counters) είναι κυκλώματα που

Διαβάστε περισσότερα

f(x, y, z) = y z + xz

f(x, y, z) = y z + xz Λύσεις θεμάτων Εξεταστικής Περιόδου Ιανουαρίου Φεβρουαρίου 27 ΘΕΜΑ Ο (2, μονάδες) Δίνεται η λογική συνάρτηση : f (, y, z ) = ( + y )(y + z ) + y z. Να συμπληρωθεί ο πίνακας αλήθειας της συνάρτησης. (,

Διαβάστε περισσότερα

"My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch

My Binary Logic Ένας προσομοιωτής λογικών πυλών στο Scratch "My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch Καραγιάννη Ελένη 1, Καραγιαννάκη Μαρία-Ελένη 2, Βασιλειάδης Αθανάσιος 3, Κωστουλίδης Αναστάσιος-Συμεών 4, Μουτεβελίδης Ιωάννης-Παναγιώτης 5,

Διαβάστε περισσότερα

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης Θέμα 1ο (3 μονάδες)

Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης Θέμα 1ο (3 μονάδες) Ηλεκτρολόγοι Μηχανικοί ΕΜΠ Λογική Σχεδίαση Ψηφιακών Συστημάτων Διαγώνισμα κανονικής εξέτασης 2016 Θέμα 1ο (3 μονάδες) Υλοποιήστε το ακoλουθιακό κύκλωμα που περιγράφεται από το ανωτέρω διάγραμμα καταστάσεων,

Διαβάστε περισσότερα

ΜΕΡΟΣ 1 ο : Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα

ΜΕΡΟΣ 1 ο : Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα ΜΕΡΟΣ 1 ο : Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα 1. Για a=1, b=1 και c=0, υπολογίστε τις τιμές των λογικών παραστάσεων ab c, a+b +c, a+b c και ab +c Δώστε τα σύνολα τιμών των δυαδικών

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ 3/02/2019 ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ ΘΕΜΑ 1 ο 1. Να γράψετε στο τετράδιό σας το γράμμα καθεμιάς από τις παρακάτω προτάσεις και δίπλα τη λέξη ΣΩΣΤΟ, αν είναι σωστή ή τη λέξη ΛΑΘΟΣ, αν είναι

Διαβάστε περισσότερα

Ψηφιακή Λογική και Σχεδίαση

Ψηφιακή Λογική και Σχεδίαση Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 26-7 Ψηφιακή Λογική και Σχεδίαση (σχεδίαση συνδυαστικών κυκλωμάτων) http://mixstef.github.io/courses/comparch/ Μ.Στεφανιδάκης Το τρανζίστορ

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2016 ΤΕΧΝΟΛΟΓΙΑ (ΙΙ) ΤΕΧΝΙΚΩΝ ΣΧΟΛΩΝ ΠΡΑΚΤΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ Μάθημα : Τεχνολογία και

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 10 ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ

ΑΣΚΗΣΗ 10 ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ ΑΣΚΗΣΗ ΣΧΕΔΙΑΣΗ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ.. ΣΚΟΠΟΣ Η σχεδίαση ακολουθιακών κυκλωμάτων..2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ.2.. ΑΛΓΟΡΙΘΜΟΣ ΣΧΕΔΙΑΣΗΣ ΑΚΟΛΟΥΘΙΑΚΩΝ ΚΥΚΛΩΜΑΤΩΝ Τα ψηφιακά κυκλώματα με μνήμη ονομάζονται ακολουθιακά.

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ Γ ΕΠΑΛ 14 / 04 / 2019

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ Γ ΕΠΑΛ 14 / 04 / 2019 Γ ΕΠΑΛ 14 / 04 / 2019 ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΘΕΜΑ 1 ο 1. Να γράψετε στο τετράδιό σας το γράμμα καθεμιάς από τις παρακάτω προτάσεις και δίπλα τη λέξη ΣΩΣΤΟ, αν είναι σωστή ή τη λέξη ΛΑΘΟΣ, αν είναι λανθασμένη.

Διαβάστε περισσότερα

ΠΕΡΙΕΧΟΜΕΝΑ ΠΕΡΙΕΧΟΜΕΝΑ.3 ΑΣΥΓΧΡΟΝΟΣ ΔYΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.5 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.7 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ ΜΕ LATCH.

ΠΕΡΙΕΧΟΜΕΝΑ ΠΕΡΙΕΧΟΜΕΝΑ.3 ΑΣΥΓΧΡΟΝΟΣ ΔYΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.5 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ.7 ΑΣΥΓΧΡΟΝΟΣ ΔΕΚΑΔΙΚΟΣ ΑΠΑΡΙΘΜΗΤΗΣ ΜΕ LATCH. ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΗΛΕΚΤΡΟΝΙΚΗΣ & ΥΠΟΛΟΓΙΣΤΩΝ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ & ΣΥΣΤΗΜΑΤΑ ΣΗΜΕΙΩΣΕΙΣ ΑΠΑΡΙΘΜΗΤΕΣ Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ

Διαβάστε περισσότερα

ΑΚΑΔΗΜΙΑ ΕΜΠΟΡΙΚΟΥ ΝΑΥΤΙΚΟΥ ΜΑΚΕΔΟΝΙΑΣ ΣΧΟΛΗ ΜΗΧΑΝΙΚΩΝ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΘΕΜΑ : TEΣT ΑΞΙΟΛΟΓΗΣΗΣ ΓΝΩΣΕΩΝ ΣΤΑ ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ

ΑΚΑΔΗΜΙΑ ΕΜΠΟΡΙΚΟΥ ΝΑΥΤΙΚΟΥ ΜΑΚΕΔΟΝΙΑΣ ΣΧΟΛΗ ΜΗΧΑΝΙΚΩΝ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΘΕΜΑ : TEΣT ΑΞΙΟΛΟΓΗΣΗΣ ΓΝΩΣΕΩΝ ΣΤΑ ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ ΑΚΑΔΗΜΙΑ ΕΜΠΟΡΙΚΟΥ ΝΑΥΤΙΚΟΥ ΜΑΚΕΔΟΝΙΑΣ ΣΧΟΛΗ ΜΗΧΑΝΙΚΩΝ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΘΕΜΑ : TEΣT ΑΞΙΟΛΟΓΗΣΗΣ ΓΝΩΣΕΩΝ ΣΤΑ ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ ΣΠΟΥΔΑΣΤΗΣ : Λιασένκο Ρομάν ΕΠΙΒΛΕΠΟΥΣΑ ΚΑΘΗΓΗΤΡΙΑ : Τόλιου Κατερίνα NEA

Διαβάστε περισσότερα

Δυαδικό Σύστημα Αρίθμησης

Δυαδικό Σύστημα Αρίθμησης Δυαδικό Σύστημα Αρίθμησης Το δυαδικό σύστημα αρίθμησης χρησιμοποιεί δύο ψηφία. Το 0 και το 1. Τα ψηφία ενός αριθμού στο δυαδικό σύστημα αρίθμησης αντιστοιχίζονται σε δυνάμεις του 2. Μονάδες, δυάδες, τετράδες,

Διαβάστε περισσότερα

Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα

Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα Δυαδικές συναρτήσεις Άλγεβρα Boole Λογικά διαγράμματα 1. Για a=1, b=1 και c=0, υπολογίστε τις τιμές των λογικών παραστάσεων ab c, a+b +c, a+b c και ab +c Δώστε τα σύνολα τιμών των δυαδικών μεταβλητών a,

Διαβάστε περισσότερα

15 ΤΕΛΟΣ 1ΗΣ ΑΠΟ 5 ΣΕΛΙ ΕΣ

15 ΤΕΛΟΣ 1ΗΣ ΑΠΟ 5 ΣΕΛΙ ΕΣ ΑΡΧΗ 1ΗΣ ΣΕΛΙ ΑΣ ΠΑΝΕΛΛΑ ΙΚΕΣ ΕΞΕΤΑΣΕΙΣ ΗMEΡΗΣΙΩΝ ΚΑΙ ΕΣΠΕΡΙΝΩΝ ΕΠΑΓΓΕΛΜΑΤΙΚΩΝ ΛΥΚΕΙΩΝ (ΟΜΑ Α A ) ΚΑΙ ΜΑΘΗΜΑΤΩΝ ΕΙ ΙΚΟΤΗΤΑΣ ΕΠΑΓΓΕΛΜΑΤΙΚΩΝ ΛΥΚΕΙΩΝ (ΟΜΑ Α Β ) ΤΕΤΑΡΤΗ 18 ΙΟΥΝΙΟΥ 2014 ΕΞΕΤΑΖΟΜΕΝΟ ΜΑΘΗΜΑ:

Διαβάστε περισσότερα

Υπολογιστικά Συστήματα Λογική Σχεδίαση Διδάσκοντες: Δρ. Ευγενία Αδαμοπούλου, Δρ. Κώστας Δεμέστιχας

Υπολογιστικά Συστήματα Λογική Σχεδίαση Διδάσκοντες: Δρ. Ευγενία Αδαμοπούλου, Δρ. Κώστας Δεμέστιχας Υπολογιστικά Συστήματα Λογική Σχεδίαση Διδάσκοντες: Δρ. Ευγενία Αδαμοπούλου, Δρ. Κώστας Δεμέστιχας ΔΠΜΣ «Τεχνο-Οικονομικά Συστήματα» Τεχνολογία Πληροφορίας και Τηλεπικοινωνιών Ιστοσελίδα Μαθήματος 2 http://people.cn.ntua.gr/jenny/index.php/courses

Διαβάστε περισσότερα

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ

Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ ΠΑΤΡΑ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ & ΤΕΧΝΟΛΟΓΙΑΣ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΗΛΕΚΤΡΟΝΙΚΗΣ & ΥΠΟΛΟΓΙΣΤΩΝ ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ & ΣΥΣΤΗΜΑΤΑ ΣΗΜΕΙΩΣΕΙΣ ΑΠΑΡΙΘΜΗΤΕΣ Κ. ΕΥΣΤΑΘΙΟΥ, Γ. ΠΑΠΑΔΟΠΟΥΛΟΣ

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ ΣΧΟΛΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ 1) Οι σύγχρονοι μετρητές υλοποιούνται με Flip-Flop τύπου T

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 12: Σύνοψη Θεμάτων Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών http://arch.icte.uowm.gr/mdasyg

Διαβάστε περισσότερα

Εισαγωγή στην Πληροφορική & τον Προγραμματισμό

Εισαγωγή στην Πληροφορική & τον Προγραμματισμό ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Εισαγωγή στην Πληροφορική & τον Προγραμματισμό Ενότητα 3 η : Κωδικοποίηση & Παράσταση Δεδομένων Ι. Ψαρομήλιγκος Χ. Κυτάγιας Τμήμα

Διαβάστε περισσότερα

Συνδυαστικά Κυκλώματα

Συνδυαστικά Κυκλώματα 3 Συνδυαστικά Κυκλώματα 3.1. ΣΥΝΔΥΑΣΤΙΚΗ Λ ΟΓΙΚΗ Συνδυαστικά κυκλώματα ονομάζονται τα ψηφιακά κυκλώματα των οποίων οι τιμές της εξόδου ή των εξόδων τους διαμορφώνονται αποκλειστικά, οποιαδήποτε στιγμή,

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 9 ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ (COUNTERS)

ΑΣΚΗΣΗ 9 ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ (COUNTERS) ΑΣΚΗΣΗ 9 ΑΣΥΓΧΡΟΝΟΙ ΜΕΤΡΗΤΕΣ (COUNTERS) Αντικείμενο της άσκησης: H σχεδίαση και η χρήση ασύγχρονων απαριθμητών γεγονότων. Με τον όρο απαριθμητές ή μετρητές εννοούμε ένα ακολουθιακό κύκλωμα με FF, οι καταστάσεις

Διαβάστε περισσότερα

Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL

Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL 3.1 Εισαγωγή στα FLIP FLOP 3.1.1 Θεωρητικό Υπόβαθρο Τα σύγχρονα ακολουθιακά κυκλώματα με τα οποία θα ασχοληθούμε στο εργαστήριο των Ψηφιακών συστημάτων

Διαβάστε περισσότερα

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία ΗΜΥ 100 Εισαγωγή στην Τεχνολογία Στέλιος Τιμοθέου ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΑ ΘΕΜΑΤΑ ΜΑΣ ΣΗΜΕΡΑ Συστήματα αρίθμησης Δυαδικό αριθμητικό

Διαβάστε περισσότερα

Κεφάλαιο 5 Διασύνδεση Αναλογικών & Ψηφιακών Συστηµάτων

Κεφάλαιο 5 Διασύνδεση Αναλογικών & Ψηφιακών Συστηµάτων Κεφάλαιο 5 Διασύνδεση Αναλογικών & Ψηφιακών Συστηµάτων Αναλογικές & Ψηφιακές Διατάξεις Control Systems Laboratory Τα διάφορα μεγέθη των φυσικών διεργασιών τα μετράμε με αισθητήρες που ουσιαστικά παρέχουν

Διαβάστε περισσότερα

ΑΠΟ ΤΑ ΘΕΜΑΤΑ ΤΩΝ ΠΑΝΕΛΛΗΝΙΩΝ ΕΞΕΤΑΣΕΩΝ ΚΕΦΑΛΑΙΟ 7-8 (ΚΑΤΑΧΩΡΗΤΕΣ & ΑΠΑΡΙΘΜΗΤΕΣ)

ΑΠΟ ΤΑ ΘΕΜΑΤΑ ΤΩΝ ΠΑΝΕΛΛΗΝΙΩΝ ΕΞΕΤΑΣΕΩΝ ΚΕΦΑΛΑΙΟ 7-8 (ΚΑΤΑΧΩΡΗΤΕΣ & ΑΠΑΡΙΘΜΗΤΕΣ) ΑΠΟ ΤΑ ΘΕΜΑΤΑ ΤΩΝ ΠΑΝΕΛΛΗΝΙΩΝ ΕΞΕΤΑΣΕΩΝ 2009 205 ΚΕΦΑΛΑΙΟ 7-8 (ΚΑΤΑΧΩΡΗΤΕΣ & ΑΠΑΡΙΘΜΗΤΕΣ) ΑΠΟ ΘΕΜΑ Α Ερωτήσεις. Γιατί στους ασύγχρονους απαριθμητές τα flip-flops δεν αλλάζουν ταυτόχρονα κατάσταση; 2. Να

Διαβάστε περισσότερα

Υπολογιστικά Συστήματα Λογική Σχεδίαση Διδάσκοντες: Δρ. Ευγενία Αδαμοπούλου, Δρ. Κώστας Δεμέστιχας

Υπολογιστικά Συστήματα Λογική Σχεδίαση Διδάσκοντες: Δρ. Ευγενία Αδαμοπούλου, Δρ. Κώστας Δεμέστιχας Υπολογιστικά Συστήματα Λογική Σχεδίαση Διδάσκοντες: Δρ. Ευγενία Αδαμοπούλου, Δρ. Κώστας Δεμέστιχας ΔΠΜΣ «Τεχνο- Οικονομικά Συστήματα» Τεχνολογία Πληροφορίας και Τηλεπικοινωνιών Ιστοσελίδα Μαθήματος 2 http://people.cn.ntua.gr/jenny/index.php/courses

Διαβάστε περισσότερα

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A].

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A]. Κανονική μορφή συνάρτησης λογικής 5. Η κανονική μορφή μιας λογικής συνάρτησης (ΛΣ) ως άθροισμα ελαχιστόρων, από τον πίνακα αληθείας προκύπτει ως εξής: ) Παράγουμε ένα [A] όρων από την κάθε σειρά για την

Διαβάστε περισσότερα

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ

ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ ΑΣΠΑΙΤΕ ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ & ΗΛΕΚΤΡΟΝΙΚΗΣ ΕΡΓΑΣΤΗΡΙΟ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ & μ-υπολογιστων ΤΙΤΛΟΣ ΕΡΓΑΣΤΗΡΙΑΚΗΣ ΑΣΚΗΣΗΣ ΣΕΙΡΙΑΚΗ ΠΡΟΣΘΕΣΗ Θεωρητικό Μέρος Οι σειριακές λειτουργίες είναι πιο

Διαβάστε περισσότερα

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Ακολουθιακή Λογική. Επιμέλεια Διαφανειών: Δ.

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Ακολουθιακή Λογική. Επιμέλεια Διαφανειών: Δ. Πανεπιστήμιο Πατρών Τμήμα Φυσικής Ψηφιακά Ηλεκτρονικά Ακολουθιακή Λογική Επιμέλεια Διαφανειών: Δ. Μπακάλης Πάτρα, Φεβρουάριος 2009 Εισαγωγή Είσοδοι Συνδυαστικό Κύκλωμα Έξοδοι Στοιχεία Μνήμης Κατάσταση

Διαβάστε περισσότερα

Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 2013 Διάρκεια εξέτασης : 160 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών:

Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 2013 Διάρκεια εξέτασης : 160 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών: Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 23 Διάρκεια εξέτασης : 6 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών: Θέμα (,5 μονάδες) Στις εισόδους του ακόλουθου κυκλώματος c b a εφαρμόζονται οι κάτωθι κυματομορφές.

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 7 FLIP - FLOP

ΑΣΚΗΣΗ 7 FLIP - FLOP ΑΣΚΗΣΗ 7 FLIP - FLOP Αντικείμενο της άσκησης: Η κατανόηση της δομής και λειτουργίας των Flip Flop. Flip - Flop Τα Flip Flop είναι δισταθή λογικά κυκλώματα με χαρακτηριστικά μνήμης και είναι τα πλέον βασικά

Διαβάστε περισσότερα

Πράξεις με δυαδικούς αριθμούς

Πράξεις με δυαδικούς αριθμούς Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Εισαγωγή στην Επιστήμη των Υπολογιστών 25-6 Πράξεις με δυαδικούς αριθμούς (λογικές πράξεις) http://di.ionio.gr/~mistral/tp/csintro/ Μ.Στεφανιδάκης Εκτέλεση πράξεων

Διαβάστε περισσότερα

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ Τµήµα Ηλεκτρολόγων Μηχανικών Εργαστήριο Ενσύρµατης Τηλεπικοινωνίας ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ ιδάσκων: Καθηγητής Ν. Φακωτάκης Τµήµα Ηλεκτρολόγων Μηχανικών Εργαστήριο Ενσύρµατης Τηλεπικοινωνίας ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ

Διαβάστε περισσότερα

Αριθμητικά Συστήματα

Αριθμητικά Συστήματα Αριθμητικά Συστήματα Οργάνωση Δεδομένων (1/2) Bits: Η μικρότερη αριθμητική μονάδα ενός υπολογιστικού συστήματος, η οποία δείχνει δύο καταστάσεις, 0 ή 1 (αληθές η ψευδές). Nibbles: Μονάδα 4 bit που παριστά

Διαβάστε περισσότερα

Ελίνα Μακρή

Ελίνα Μακρή Ελίνα Μακρή elmak@unipi.gr Μετατροπή Αριθμητικών Συστημάτων Πράξεις στα Αριθμητικά Συστήματα Σχεδίαση Ψηφιακών Κυκλωμάτων με Logism Άλγεβρα Boole Λογικές Πύλες (AND, OR, NOT, NAND, XOR) Flip Flops (D,

Διαβάστε περισσότερα

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006

ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΔΙΕΥΘΥΝΣΗ ΑΝΩΤΕΡΗΣ ΚΑΙ ΑΝΩΤΑΤΗΣ ΕΚΠΑΙΔΕΥΣΗΣ ΥΠΗΡΕΣΙΑ ΕΞΕΤΑΣΕΩΝ ΠΑΓΚΥΠΡΙΕΣ ΕΞΕΤΑΣΕΙΣ 2006 Μάθημα : Τεχνολογία Αναλογικών και Ψηφιακών Ηλεκτρονικών Τεχνολογία ΙΙ, Πρακτικής

Διαβάστε περισσότερα

Ασύγχρονοι Απαριθμητές. Διάλεξη 7

Ασύγχρονοι Απαριθμητές. Διάλεξη 7 Ασύγχρονοι Απαριθμητές Διάλεξη 7 Δομή της διάλεξης Εισαγωγή στους Απαριθμητές Ασύγχρονος Δυαδικός Απαριθμητής Ασύγχρονος Δεκαδικός Απαριθμητής Ασύγχρονος Δεκαδικός Απαριθμητής με Latch Ασκήσεις 2 Ασύγχρονοι

Διαβάστε περισσότερα

K15 Ψηφιακή Λογική Σχεδίαση 6: Λογικές πύλες και λογικά κυκλώματα

K15 Ψηφιακή Λογική Σχεδίαση 6: Λογικές πύλες και λογικά κυκλώματα K15 Ψηφιακή Λογική Σχεδίαση 6: Λογικές πύλες και λογικά κυκλώματα Γιάννης Λιαπέρδος TEI Πελοποννήσου Σχολή Τεχνολογικών Εφαρμογών Τμήμα Μηχανικών Πληροφορικής ΤΕ Λογικές πύλες Περιεχόμενα 1 Λογικές πύλες

Διαβάστε περισσότερα

a -j a 5 a 4 a 3 a 2 a 1 a 0, a -1 a -2 a -3

a -j a 5 a 4 a 3 a 2 a 1 a 0, a -1 a -2 a -3 ΑΣΚΗΣΗ 5 ΑΘΡΟΙΣΤΕΣ - ΑΦΑΙΡΕΤΕΣ 5.1. ΣΚΟΠΟΣ Η πραγματοποίηση της αριθμητικής πρόσθεσης και αφαίρεσης με λογικά κυκλώματα. 5.2. ΘΕΩΡΗΤΙΚΟ ΜΕΡΟΣ ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΗΣΗΣ: Κάθε σύστημα αρίθμησης χαρακτηρίζεται

Διαβάστε περισσότερα

9 ο Μαθητικό Συνέδριο Πληροφορικής Κεντρικής Μακεδονίας. "My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch

9 ο Μαθητικό Συνέδριο Πληροφορικής Κεντρικής Μακεδονίας. My Binary Logic Ένας προσομοιωτής λογικών πυλών στο Scratch 9 ο Μαθητικό Συνέδριο Πληροφορικής Κεντρικής Μακεδονίας Θεσσαλονίκη, 25-28 Απριλίου 2017, ΝΟΗΣΙΣ "My Binary Logic" Ένας προσομοιωτής λογικών πυλών στο Scratch Κωνσταντίνος Παρασκευόπουλος Καθηγητής Πληροφορικής

Διαβάστε περισσότερα

Η συχνότητα f των παλµών 0 και 1 στην έξοδο Q n είναι. f Qn = 1/(T cl x 2 n+1 )

Η συχνότητα f των παλµών 0 και 1 στην έξοδο Q n είναι. f Qn = 1/(T cl x 2 n+1 ) ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ - ΕΡΓΑΣΤΗΡΙΑΚΗ ΑΣΚΗΣΗ 9 ΥΑ ΙΚΟΙ ΑΠΑΡΙΘΜΗΤΕΣ Σκοπός: Η µελέτη της λειτουργίας των απαριθµητών. Υλοποίηση ασύγχρονου απαριθµητή 4-bit µε χρήση JK Flip-Flop. Κατανόηση της αλλαγής του υπολοίπου

Διαβάστε περισσότερα

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία ΗΜΥ 00 Εισαγωγή στην Τεχνολογία Στέλιος Τιμοθέου ΤΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΟΛΥΤΕΧΝΙΚΗ ΣΧΟΛΗ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΑ ΘΕΜΑΤΑ ΜΑΣ ΣΗΜΕΡΑ Δυαδική λογική Πύλες AND, OR, NOT, NAND,

Διαβάστε περισσότερα

i Το τρανζίστορ αυτό είναι τύπου NMOS. Υπάρχει και το συμπληρωματικό PMOS. ; Τι συμβαίνει στο τρανζίστορ PMOS; Το τρανζίστορ MOS(FET)

i Το τρανζίστορ αυτό είναι τύπου NMOS. Υπάρχει και το συμπληρωματικό PMOS. ; Τι συμβαίνει στο τρανζίστορ PMOS; Το τρανζίστορ MOS(FET) Ιόνιο Πανεπιστήμιο Τμήμα Πληροφορικής Αρχιτεκτονική Υπολογιστών 25-6 Το τρανζίστορ MOS(FET) πύλη (gate) Ψηφιακή και Σχεδίαση πηγή (source) καταβόθρα (drai) (σχεδίαση συνδυαστικών κυκλωμάτων) http://di.ioio.gr/~mistral/tp/comparch/

Διαβάστε περισσότερα

ΒΑΣΙΚΕΣ ΑΡΧΕΣ ΨΗΦΙΑΚΗΣ ΤΕΧΝΟΛΟΓΙΑΣ. Κεφάλαιο 3

ΒΑΣΙΚΕΣ ΑΡΧΕΣ ΨΗΦΙΑΚΗΣ ΤΕΧΝΟΛΟΓΙΑΣ. Κεφάλαιο 3 ΒΑΣΙΚΕΣ ΑΡΧΕΣ ΨΗΦΙΑΚΗΣ ΤΕΧΝΟΛΟΓΙΑΣ Κεφάλαιο 3 Δυαδική λογική Με τον όρο λογική πρόταση ή απλά πρόταση καλούμε κάθε φράση η οποία μπορεί να χαρακτηριστεί αληθής ή ψευδής με βάση το νόημα της. π.χ. Σήμερα

Διαβάστε περισσότερα

Επανάληψη Βασικών Στοιχείων Ψηφιακής Λογικής

Επανάληψη Βασικών Στοιχείων Ψηφιακής Λογικής Επανάληψη Βασικών Στοιχείων Ψηφιακής Λογικής Αριθµοί Διαφόρων Βάσεων Δυαδικά Συστήµατα 2 Υπολογιστική Ακρίβεια Ο αριθµός των δυαδικών ψηφίων αναπαράστασης αριθµών καθορίζει την ακρίβεια των αριθµών σε

Διαβάστε περισσότερα

ε. Ένα κύκλωμα το οποίο παράγει τετραγωνικούς παλμούς και απαιτείται εξωτερική διέγερση ονομάζεται ασταθής πολυδονητής Λ

ε. Ένα κύκλωμα το οποίο παράγει τετραγωνικούς παλμούς και απαιτείται εξωτερική διέγερση ονομάζεται ασταθής πολυδονητής Λ ΑΡΧΗ 1ΗΣ ΣΕΛΙΔΑΣ Γ ΤΑΞΗ ΕΠΑΛ (ΟΜΑΔΑ Α ) & ΜΑΘΗΜΑΤΩΝ ΕΙΔΙΚΟΤΗΤΑΣ ΕΠΑΛ (ΟΜΑΔΑ Β ) ΣΑΒΒΑΤΟ 16/04/2016 - ΕΞΕΤΑΖΟΜΕΝΟ ΜΑΘΗΜΑ: ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ (ΣΥΣΤΗΜΑΤΑ ΨΗΦΙΑΚΩΝ ΗΛΕΚΤΡΟΝΙΚΩΝ) ΣΥΝΟΛΟ ΣΕΛΙΔΩΝ: ΠΕΝΤΕ (5) ΕΝΔΕΙΚΤΙΚΕΣ

Διαβάστε περισσότερα

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 9: Ελαχιστοποίηση και Κωδικοποίηση Καταστάσεων, Σχεδίαση με D flip-flop, Σχεδίαση με JK flip-flop, Σχεδίαση με T flip-flop Δρ. Μηνάς

Διαβάστε περισσότερα

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή 6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή Εισαγωγή Η σχεδίαση ενός ψηφιακού συστήµατος ως ακολουθιακή µηχανή είναι εξαιρετικά δύσκολη Τµηµατοποίηση σε υποσυστήµατα µε δοµικές µονάδες:

Διαβάστε περισσότερα

Ενότητα 7 ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΕΣ - ΚΩΔΙΚΟΠΟΙΗΤΕΣ ΑΠΟΠΛΕΚΤΕΣ - ΠΟΛΥΠΛΕΚΤΕΣ

Ενότητα 7 ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΕΣ - ΚΩΔΙΚΟΠΟΙΗΤΕΣ ΑΠΟΠΛΕΚΤΕΣ - ΠΟΛΥΠΛΕΚΤΕΣ Ενότητα 7 ΑΠΟΚΩΔΙΚΟΠΟΙΗΤΕΣ - ΚΩΔΙΚΟΠΟΙΗΤΕΣ ΑΠΟΠΛΕΚΤΕΣ - ΠΟΛΥΠΛΕΚΤΕΣ Γενικές Γραμμές Δυαδικοί Αριθμοί έναντι Δυαδικών Κωδίκων Δυαδικοί Αποκωδικοποιητές Υλοποίηση Συνδυαστικής Λογικής με Δυαδικό Αποκωδικοποιητή

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 10 ΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ

ΑΣΚΗΣΗ 10 ΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ ΑΣΚΗΣΗ ΣΥΓΧΡΟΝΟΙ ΑΠΑΡΙΘΜΗΤΕΣ Στόχος της άσκησης: Η διαδικασία σχεδίασης σύγχρονων ακολουθιακών κυκλωμάτων. Χαρακτηριστικό παράδειγμα σύγχρονων ακολουθιακών κυκλωμάτων είναι οι σύγχρονοι μετρητές. Τις αδυναμίες

Διαβάστε περισσότερα

βαθµίδων µε D FLIP-FLOP. Μονάδες 5

βαθµίδων µε D FLIP-FLOP. Μονάδες 5 Κεφάλαιιο: 6 ο Τίίτλος Κεφαλαίίου:: Μανταλωτές & Flip Flop (Ιούνιος 2004 ΤΕΕ Ηµερήσιο) Να σχεδιάσετε καταχωρητή δεξιάς ολίσθησης τεσσάρων βαθµίδων µε D FLIP-FLOP. Μονάδες 5 (Ιούνιος 2005 ΤΕΕ Ηµερήσιο)

Διαβάστε περισσότερα

Εισαγωγή στην Πληροφορική ΓΕΝΙΚΟ ΤΜΗΜΑ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ TEI ΧΑΛΚΙ ΑΣ

Εισαγωγή στην Πληροφορική ΓΕΝΙΚΟ ΤΜΗΜΑ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ TEI ΧΑΛΚΙ ΑΣ Εισαγωγή στην Πληροφορική 1 Περιεχόµενα - Κωδικοποιήσεις - Αριθµητικά Συστήµατα 2 Ηλεκτρονικός Υπολογιστής Είπαµε ότι είναι, µία Ηλεκτρονική Μηχανή, που δουλεύει κάτω από τον έλεγχο εντολών αποθηκευµένων

Διαβάστε περισσότερα