Αρχιτεκτονική Υπολογιστών

Σχετικά έγγραφα
Αρχιτεκτονική Υπολογιστών

Αρχιτεκτονική Υπολογιστών

ΠΕΡΙΕΧΟΜΕΝΑ. Πρόλογος...9 ΚΕΦ. 1. ΑΡΙΘΜΗΤΙΚΑ ΣΥΣΤΗΜΑΤΑ - ΚΩΔΙΚΕΣ

Περιεχόμενα. Πρώτο Κεφάλαιο. Εισαγωγή στα Ψηφιακά Συστήματα. Δεύτερο Κεφάλαιο. Αριθμητικά Συστήματα Κώδικες

ΠΛΗ10 Κεφάλαιο 2. ΠΛH10 Εισαγωγή στην Πληροφορική: Τόμος Α Κεφάλαιο: : Αριθμητική περιοχή της ALU 2.5: Κυκλώματα Υπολογιστών

! Εάν ο αριθμός διαθέτει περισσότερα bits, χρησιμοποιούμε μεγαλύτερες δυνάμεις του 2. ! Προσοχή στη θέση του περισσότερο σημαντικού bit!

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

Πράξεις με δυαδικούς αριθμούς

100 ΕΡΩΤΗΣΕΙΣ ΜΕ ΤΙΣ ΑΝΤΙΣΤΟΙΧΕΣ ΑΠΑΝΤΗΣΕΙΣ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΨΗΦΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

Ψηφιακά Συστήματα. 6. Σχεδίαση Συνδυαστικών Κυκλωμάτων

ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΠΛΗΡΟΦΟΡΙΚΗ

Ψηφιακή Σχεδίαση Ενότητα 11:

ΑΣΚΗΣΗ 9. Tα Flip-Flop

5 η Θεµατική Ενότητα : Μνήµη & Προγραµµατιζόµενη Λογική. Επιµέλεια διαφανειών: Χρ. Καβουσιανός

Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level)

Η κανονική μορφή της συνάρτησης που υλοποιείται με τον προηγούμενο πίνακα αληθείας σε μορφή ελαχιστόρων είναι η Q = [A].

Υπάρχουν δύο τύποι μνήμης, η μνήμη τυχαίας προσπέλασης (Random Access Memory RAM) και η μνήμη ανάγνωσης-μόνο (Read-Only Memory ROM).

Ψηφιακή Λογική και Σχεδίαση

ΣΧΕΔΙΑΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

Μνήμη και Προγραμματίσιμη Λογική

Εισαγωγή στους Η/Υ. Γιώργος Δημητρίου. Μάθημα 7 και 8: Αναπαραστάσεις. Πανεπιστήμιο Θεσσαλίας - Τμήμα Πληροφορικής

Επίπεδο Ψηφιακής Λογικής (The Digital Logic Level)

ΗΜΥ 210: Σχεδιασμό Ψηφιακών Συστημάτων, Χειμερινό Εξάμηνο 2008

Ελίνα Μακρή

Αρχιτεκτονική Yπολογιστών Ενδεκτικές ασκήσεις-απαντήσεις

ΘΕΜΑΤΑ & ΕΝΔΕΙΚΤΙΚΕΣ ΛΥΣΕΙΣ

Ψηφιακά Κυκλώματα (1 ο μέρος) ΜΥΥ-106 Εισαγωγή στους Η/Υ και στην Πληροφορική

ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΥΠΟΛΟΓΙΣΤΩΝ. Κεφάλαιο 3

ΗΜΥ 100 Εισαγωγή στην Τεχνολογία

PLD. Εισαγωγή. 5 η Θεµατική Ενότητα : Συνδυαστικά. PLAs. PLDs FPGAs

ΠΡΟΓΡΑΜΜΑ ΣΠΟΥ ΩΝ ΠΛΗΡΟΦΟΡΙΚΗΣ

Ελίνα Μακρή

5. Σύγχρονα Ακολουθιακά Κυκλώματα

K24 Ψηφιακά Ηλεκτρονικά 6: Πολυπλέκτες/Αποπολυπλέκτες

Περιεχόµενα. Πρόλογος Εισαγωγή 21

Ψηφιακή Λογική Σχεδίαση

Υπολογιστικά Συστήματα Λογική Σχεδίαση Διδάσκοντες: Δρ. Ευγενία Αδαμοπούλου, Δρ. Κώστας Δεμέστιχας

Λογική Σχεδίαση Ι - Εξεταστική Φεβρουαρίου 2013 Διάρκεια εξέτασης : 160 Ονοματεπώνυμο : Α. Μ. Έτος σπουδών:

4.1 Θεωρητική εισαγωγή

7.1 Θεωρητική εισαγωγή

Υπολογιστικά Συστήματα Λογική Σχεδίαση Διδάσκοντες: Δρ. Ευγενία Αδαμοπούλου, Δρ. Κώστας Δεμέστιχας

Άσκηση 3 Ένα νέο είδος flip flop έχει τον ακόλουθο πίνακα αληθείας : I 1 I 0 Q (t+1) Q (t) 1 0 ~Q (t) Κατασκευάστε τον πίνακα

ΠΛΗΡΟΦΟΡΙΚΗ I Ενότητα 6

Α. ΣΚΟΔΡΑΣ ΠΛΗ21 ΟΣΣ#2. 14 Δεκ 2008 ΠΑΤΡΑ ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ 2008 Α. ΣΚΟΔΡΑΣ ΧΡΟΝΟΔΙΑΓΡΑΜΜΑ ΜΕΛΕΤΗΣ

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Μονάδες Μνήμης και Διατάξεις Προγραμματιζόμενης Λογικής

Ενότητα 8 Η ΠΥΛΗ XOR ΚΑΙ ΟΙ ΕΦΑΡΜΟΓΕΣ ΤΗΣ ΚΩΔΙΚΟΠΟΙΗΣΗ

i Το τρανζίστορ αυτό είναι τύπου NMOS. Υπάρχει και το συμπληρωματικό PMOS. ; Τι συμβαίνει στο τρανζίστορ PMOS; Το τρανζίστορ MOS(FET)

7. Ψηφιακά Ηλεκτρονικά

Πίνακας Περιεχομένων ΚΕΦΑΛΑΙΟ I ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΩΝ

ΠΛΗΡΟΦΟΡΙΚΗ Ι JAVA Τμήμα θεωρίας με Α.Μ. σε 8 & 9 11/10/07

K24 Ψηφιακά Ηλεκτρονικά 9: Flip-Flops

Σχεδιασμός Ψηφιακών Συστημάτων

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Ακολουθιακά Κυκλώματα: Μανδαλωτές και Flip-Flops 1

ΜΑΘΗΜΑΤΑ ΨΗΦΙΑΚΩΝ ΗΛΕΚΤΡΟΝΙΚΩΝ. ΓΙΑΝΝΗΣ ΛΙΑΠΕΡΔΟΣ Επίκουρος Καθηγητής ΤΕΙ Πελοποννήσου

Μία μέθοδος προσομοίωσης ψηφιακών κυκλωμάτων Εξελικτικής Υπολογιστικής

Υπολογιστές και Πληροφορία 1

Γενικά Στοιχεία Ηλεκτρονικού Υπολογιστή

ΠΕΡΙΕΧΟΜΕΝΑ 1 ΣΥΣΤΗΜΑΤΑ ΑΡΙΘΜΩΝ ΚΑΙ ΚΩ ΙΚΕΣ 1

Πανεπιστήμιο Δυτικής Μακεδονίας. Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών. Ψηφιακή Σχεδίαση

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ. ΚΕΦΑΛΑΙΟ 4ο ΜΝΗΜΕΣ. (c) Αμπατζόγλου Γιάννης, Ηλεκτρονικός Μηχανικός, καθηγητής ΠΕ17

Κεφάλαιο 4. Λογική Σχεδίαση

Επανάληψη Βασικών Στοιχείων Ψηφιακής Λογικής

Πρόγραμμα Επικαιροποίησης Γνώσεων Αποφοίτων. Διδάσκοντες

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ

Κεφάλαιο 3 ο Ακολουθιακά Κυκλώματα με ολοκληρωμένα ΤΤL

ΑΡΧΙΤΕΚΤΟΝΙΚΗ HARDWARE ΥΠΟΛΟΓΙΣΤΙΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Συνδυαστική Λογική. Επιμέλεια Διαφανειών: Δ.

f(x, y, z) = y z + xz

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Ακολουθιακή Λογική. Επιμέλεια Διαφανειών: Δ.

7. ΣΧΕΔΙΑΣΗ ΣΥΝΔΥΑΣΤΙΚΩΝ ΚΥΚΛΩΜΑΤΩΝ

Εισαγωγή στην πληροφορική

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1

Ψηφιακή Σχεδίαση Εργαστηριο 1. Τμήμα: Μηχανικών Πληροφορικής κ Τηλεπικοινωνιών Διδάσκων: Δρ. Σωτήριος Κοντογιαννης Μάθημα 2 ου εξαμήνου

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ

Εισαγωγή στους Ηλεκτρονικούς Υπολογιστές. 5 ο Μάθημα. Λεωνίδας Αλεξόπουλος Λέκτορας ΕΜΠ. url:

e-book ΛΟΓΙΚΗ ΣΧΕΔΙΑΣΗ ΑΣΚΗΣΕΙΣ

Κεφάλαιο 6. Σύγχρονα και ασύγχρονα ακολουθιακά κυκλώματα

ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙ ΕΥΤΙΚΟ Ι ΡΥΜΑ (Τ.Ε.Ι.) ΚΡΗΤΗΣ Τµήµα Εφαρµοσµένης Πληροφορικής & Πολυµέσων. Ψηφιακή Σχεδίαση. Κεφάλαιο 5: Σύγχρονη Ακολουθιακή

Ψηφιακά Συστήματα. 7. Κυκλώματα Μνήμης

Κεφάλαιο 2. Οργάνωση και διαχείριση της Πληροφορίας στον. Υπολογιστή

σύνθεση και απλοποίησή τους θεωρήµατα της άλγεβρας Boole, αξιώµατα του Huntington, κλπ.

ΑΚΑΔΗΜΙΑ ΕΜΠΟΡΙΚΟΥ ΝΑΥΤΙΚΟΥ ΜΑΚΕΔΟΝΙΑΣ ΣΧΟΛΗ ΜΗΧΑΝΙΚΩΝ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ ΘΕΜΑ : TEΣT ΑΞΙΟΛΟΓΗΣΗΣ ΓΝΩΣΕΩΝ ΣΤΑ ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ

Εισαγωγή στην Επιστήμη των Υπολογιστών

Συνδυαστικά Κυκλώματα

Κεφάλαιο 3 Αρχιτεκτονική Ηλεκτρονικού Τμήματος (hardware) των Υπολογιστικών Συστημάτων ΕΡΩΤΗΣΕΙΣ ΑΣΚΗΣΕΙΣ

1 η Θεµατική Ενότητα : Δυαδικά Συστήµατα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Μηχανές Πεπερασμένων Καταστάσεων

Φόρμα Σχεδιασμού Διάλεξης (ημ/α:15/10/07, έκδοση:0.1 ) 1. Κωδικός Μαθήματος : 2. Α/Α Διάλεξης : 1 1. Τίτλος : 1. Εισαγωγή στην Αρχιτεκτονική Η/Υ

a -j a 5 a 4 a 3 a 2 a 1 a 0, a -1 a -2 a -3

Ενότητα ΑΡΧΕΣ ΑΚΟΛΟΥΘΙΑΚΗΣ ΛΟΓΙΚΗΣ LATCHES & FLIP-FLOPS

Συνδυαστικά Λογικά Κυκλώματα

6 η Θεµατική Ενότητα : Σχεδίαση Συστηµάτων σε Επίπεδο Καταχωρητή

ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ ΚΑΡΑΓΚΙΑΟΥΡΗΣ ΝΙΚΟΛΑΟΣ

Εισαγωγή στην επιστήμη των υπολογιστών

Τμήμα Χρηματοοικονομικής & Ελεγκτικής ΤΕΙ Ηπείρου Παράρτημα Πρέβεζας. Πληροφορική Ι. Αναπαράσταση αριθμών στο δυαδικό σύστημα. Δρ.

Εισαγωγή στην Πληροφορική & τον Προγραμματισμό

ΨΗΦΙΑΚΗ ΛΟΓΙΚΗ ΣΧΕ ΙΑΣΗ

Πανεπιστήμιο Πατρών Τμήμα Φυσικής Εργαστήριο Ηλεκτρονικής. Ψηφιακά Ηλεκτρονικά. Αριθμητικά Συστήματα. Επιμέλεια Διαφανειών: Δ.

ΠΕΡΙΕΧΟΜΕΝΑ ΚΕΦΑΛΑΙΟ I: ΕΙΣΑΓΩΓΗ ΣΤΟΥΣ ΥΠΟΛΟΓΙΣΤΕΣ

Εισαγωγή στην Επιστήμη των Υπολογιστών

Transcript:

Αρχιτεκτονική Υπολογιστών Ψηφιακή Λογική Βασικές Πηγές: Αρχιτεκτονική Υπολογιστών: μια Δομημένη Προσέγγιση, Α. Tanenbaum, Vrije Universiteit, Amsterdam. Περιβάλλον Προσομοίωσης Hades, University of Hamburg http://tams-www.informatik.uni-hamburg.de/applets/hades/ Computer Systems: A Programmer's Perspective, Bryant, O' Hallaron, Carnegie Mellon University. Σύνθεση: Κ.Γ. Μαργαρίτης, Πανεπιστήμιο Μακεδονίας, Τμήμα Εφαρμοσμένης Πληροφορικής.

Αρχιτεκτονική Υπολογιστών Ψηφιακή Λογική Αναπαράσταση Πληροφορίας Συνδυαστικά Κυκλώματα Ακολουθιακά Κυκλώματα Διασύνδεση Κυκλωμάτων

Θεσιακά Συστήματα Αρίθμησης Ο αριθμός 2 στο δυαδικό, οκταδικό, δεκαδικό και δεκαεξαδικό.

Θεσιακά Συστήματα Αρίθμησης Ο αριθμός 2 στο δυαδικό, οκταδικό, δεκαδικό και δεκαεξαδικό.

Μονάδες Μέτρησης () Μονάδες μέτρησης (δεκαδικό σύστημα).

Μονάδες Μέτρησης (2) 2 = 2 = 2 22 = 4 23 = 8 24 = 6 25 = 32 26 = 64 27 = 28 28 = 256 29 = 52 2 = 24 Kilo 22 = 24*24 Mega 23 = 24*24*24 Giga 24 = 24*24*24*24 Tera 25 = 24*24*24*24*24 Peta

Μετατροπές ()

Μετατροπές (2) Δεκαεξαδικό Δυαδικό - Οκταδικό.

Μετατροπές (3) Μετατροπή του δεκαδικού 492 σε δυαδικό με συνεχείς διαιρέσεις.

Μετατροπές (4) Μετατροπή του δυαδικού σε δεκαδικό με συνεχείς πολλαπλασιαμούς.

ASCII () ASCII: χαρακτήρες 3.

ASCII (2) ASCII: χαρακτήρες 32 27.

UTF-8 Universal Character Set Transformation Format Ελληνικά και Κοπτικά U+37.. U+3FF (code points) Δυαδική μορφή.. Πχ U+39 Α Α (Ελληνικό κεφαλαίο)

Κώδικες σφαλμάτων () (a) Κωδικοποίηση 4 bits (b) Άρτια ισοτιμία ανά τρία bits (c) Ανίχνευση και Διόρθωση Σφάλματος

Κώδικες σφαλμάτων (2) Εφαρμογή κώδικα Hamming στη λέξη μνήμης με προσθήκη 5 bits ελέγχου για 6 bits δεδομένων, στις θέσεις, 2, 4, 8 και 6 για έλεγχο των bits παρά, 2 παρά 2, 4 παρά 4, 8 παρά 8. Η επαλήθευση γίνεται με εφαρμογή της ίδιας συνάρτησης (εδώ άρτιας)ισοτιμίας. Η θέση απλού σφάλματα ανιχνεύεται από την τομή των αποτελεσμάτων των ελέγχων.

Κώδικες σφαλμάτων (3) Παραλαβή και Επαλήθευση ()' = (), (3), (5), (7), (9), (), (3), (5), (7), (9), (2) (2)' = (2 3), (6 7), ( ), (4 5), (8 9) (4)' = (4 5 6 7), (2 3 4 5), (2 2....) (8)' = (8 9 2 3 4 5) (6)' = (6 7 8 9 2 2..) Λάθος στη θέση = δεκαδικό. = = = = =

Αρνητικοί Δυαδικοί Αριθμοί ()

Αρνητικοί Δυαδικοί Αριθμοί (2)

Αρνητικοί Δυαδικοί Αριθμοί (3) 2w +2w Two s complement 2w Unsigned 2w 2w Unsigned 2w +2w 2w Two s complement

Δυαδική Αριθμητική () Πρόσθεση στο συμπλήρωμα του και του 2.

Δυαδική Αριθμητική (2) x+y +2w Case 4 Positive overflow x +t y +2w +2w 2w 2w Case 3 Case 2 Case 2w Negative overflow Θετική Υπερχείλιση : Θετικός+Θετικός και πρόσημο Αρνητικό Αρνητική Υπερχείλιση: Αρνητικός-Αρνητικός και πρόσημο Θετικό

Κινητή Υποδιαστολή () Διαχωρισμός του αριθμού των ψηφίων από το εύρος αναπαράστασης. Χρήση εκθετικής αναπαράστασης: n = f e f κλάσμα ή mantissa e εκθέτης (προσημασμένος ακέραιος) Παραδείγματα 3.4 =.34 = 3.4. =. 5 =. 6 94 =.94 4 =.94 3

Κινητή Υποδιαστολή (2) Έστω 3 δεκαδικά για το κλάσμα, 2 για τον εκθέτη καθώς και 2 πρόσημα (+/-).δδδ Χ (+/-)δδ Το διάστημα των πραγματικών αριθμών χωρίζεται σε 7 περιοχές: Πολύ 'μεγάλοι' αρνητικοί <.999 99. Αρνητικοί μεταξύ.999 99 και. 99. Πολύ 'μικροί' αρνητικοί >. 99. Μηδέν. Πολύ 'μικροί' θετικοί. 99. Θετικοί μεταξύ. 99 και.999 99. Πολύ 'μεγάλοι' θετικοί >.999 99.

Κινητή Υποδιαστολή (3) Οι διακριτοί πραγματικοί αριθμοί που μπορούν να αναπαρασταθούν είναι 2 Χ 9 Χ 99 = 3582 συν το. Έχουμε σφάλμα στρογγυλοποίησης (rounding error) λόγω του πεπερασμένου αριθμού ψηφίων στο κλασματικό μέρος. Η κατανομή των αριθμών που μπορούν να αναπαρασταθούν δεν είναι ομοιόμορφη (τελείες στο σχήμα) αλλά το σχετικό σφάλμα που εισάγεται με τη στογγυλοποίηση είναι περίπου σταθερό.

Κινητή Υποδιαστολή (4) Προσεγγιστικά άνω και κάτω όρια αναπαράστασης αριθμών κινητής υποδιαστολής σε δεκαδική μορφή.

IEEE Floating-point Standard 754 () Αναπαράσταση και Κανονικοποίηση του δεκαδικού αριθμού 432 ή (στο δυαδικό σύστημα).

IEEE Floating-point Standard 754 (2) (a) Απλή Ακρίβεια. (b) Διπλή Ακρίβεια.

IEEE Floating-point Standard 754 (3) Χαρακτηριστικοί αριθμοί.

IEEE Floating-point Standard 754 (4) Χαρακτηριστικοί αριθμοί.

Αρχιτεκτονική Υπολογιστών Ψηφιακή Λογική Αναπαράσταση Πληροφορίας Συνδυαστικά Κυκλώματα Ακολουθιακά Κυκλώματα Διασύνδεση Κυκλωμάτων

Λογικές Πύλες () (a) Πύλη NOT Η τάση του ρεύματος μπορεί λάβει μόνο δύο τιμές (και απομόνωση): + Vcc (πχ 5 Volt) = λογικό (high) Γείωση (πχ Volt) = λογικό (low) Αν Vin ~ τότε Vout = Vcc ~ An Vin ~ τότε Vout = Γείωση ~

Λογικές Πύλες (2) (b) Πύλη NAND: Αν V = KΑΙ V2 = τότε Vout =. Αλλιώς Vout = (c) Πύλη NOR: Αν V = Ή V2 = τότε Vout =. Αλλιώς Vout =

Λογικές Πύλες (3) Τα σχεδιαστικά σύμβολα ορισμένων βασικών λογικών πυλών και οι αντίστοιχοι πίνακες αληθείας.

Λογικά (Συνδυαστικά) Κυκλώματα () (a) Πίνακας αληθείας για μια συνάρτηση πλειοψηφίας τριών μεταβλητών. (b) Ένα αντίστοιχο κύκλωμα για τον πίνακα (a).

Λογικά (Συνδυαστικά) Κυκλώματα (2) M= A' A' A' A' A A A A B' B' B B B' B' B B C' C C' C C' C C' C + + + + + + + M = (NOT (NOT (NOT (NOT ( ( ( ( A)AND(NOT A)AND(NOT A)AND( A)AND( A)AND(NOT A)AND(NOT A)AND( A)AND( B)AND(NOT B)AND( B)AND(NOT B)AND( B)AND(NOT B)AND( B)AND(NOT B)AND( C) C) C) C) C) C) C) C) OR OR OR OR OR OR OR Οι όροι του πίνακας αληθείας για μια συνάρτηση πλειοψηφίας τριών μεταβλητών. Υλοποιούνται οι όροι που δίνουν μονάδα στην έξοδο.

Λογικά (Συνδυαστικά) Κυκλώματα (3) Από την συνάρτηση Boole στο λογικό κύκλωμα.. Ξεκινούμε από μια συνάρτηση άλγεβρας Boole ή από ένα πίνακα αληθείας (ή σχετική λεκτική περιγραφή). 2. Αναπτύσσουμε τον πίνακα αληθείας ώστε να είναι πλήρης, δηλαδή να περιλαμβάνει όλους τους όρους στη πλήρη μορφή τους. 3. Σχεδιάζουμε δύο γραμμές εισόδου για κάθε μεταβλητή εισόδου ( και τοποθετούμε αντιστροφείς). 4. Για κάθε γραμμή του πίνακα αληθείας με έξοδο (ή για κάθε όρο της συνάρτησης) σχεδιάζουμε μια πύλη AND. 5. Συνδέουμε τις εισόδους της κάθε πύλης AND με τις αντίστοιχες γραμμές μεταβλητών εισόδου, ανάλογα με τα ή στο πίνακα αληθείας (ή ΝΟΤ στη συνάρτηση). 6. Σχεδιάζουμε μια πύλη OR στην έξοδο. Συνδέουμε στις εισόδους τις, τις εξόδους των πυλών AND. Η έξοδος της πύλης OR είναι το αποτέλεσμα της συνάρτησης.

Ταυτότητες άλγεβρας Boole

Απλοποίηση κυκλωμάτων () * Ελάχιστος αριθμός πυλών (πίνακες Karnaugh) * Πύλες με μόνο δύο εισόδους * Χρήση ενός τύπου πύλης (ισοδυναμία κυκλωμάτων) Πx Α Β C Χ Χ = A B' C + A B C' + A B C = A (B' C + B C' + B C) = A (B' C + B (C' + C)) = A (B' C + B) = A (B' + B) (C + B) Distributive Law = A (B + C) =AB+AC

Απλοποίηση κυκλωμάτων () * Ελάχιστος αριθμός πυλών (πίνακες Karnaugh) * Πύλες με μόνο δύο εισόδους * Χρήση ενός τύπου πύλης (ισοδυναμία κυκλωμάτων) Πx Α Β C Χ Χ = A B' C + A B C' + A B C = A (B' C + B C' + B C) = A (B' C + B (C' + C)) = A (B' C + B) = A (B' + B) (C + B) Distributive Law = A (B + C) =AB+AC

Απλοποίηση κυκλωμάτων (2) Δύο ισοδύναμες συναρτήσεις (a) AB + AC, (b) A(B + C).

Ισοδυναμία κυκλωμάτων () Κατασκευή πυλών (a) NOT, (b) AND, και (c) OR με χρήση μόνο πυλών NAND ή NOR.

Ισοδυναμία κυκλωμάτων (2) Ισοδύναμα κυκλώματα για πύλες: (a) NAND, (b) NOR, (c) AND, (d) OR Μπορούμε να καταλήξουμε στην υλοποίηση κυκλωμάτων με χρήση ΜΟΝΟ ενός τύπου πύλης, NAND ή ΝΟR.

Aποκλειστικό Ή (XOR) (a) Ο πίνακας αληθείας (b-d) Τρία πιθανά κυκλώματα Α XOR B = A'B + AB' = (A'B)'' + (AB')'' = ((A'B)(AB'))' ΝΟΤ(A XOR B) = (A'B + AB')' = (A'B)' (AB')' = (A+B')(A'+B) = Α'Β' + ΑΒ

Πολλαπλές Έξοδοι () Ο πίνακας αληθείας έχει πολλαπλές στήλες στην έξοδο. Για την κάθε έξοδο υλοποιούμε ξεχωριστό λογικό κύκλωμα (bit-slice) και εφαρμόζουμε τις σχετικές απλοποιήσεις. Αν είναι εφικτό μπορούμε κατόπιν να 'ενοποιήσουμε' τμήματα κυκλωμάτων που έχουν την ίδια συμπεριφορά (2η απλοποίηση). Παράδειγμα: Από Πρόσημο-Μέγεθος σε Συμπλήρωμα του 2 (αυστηρό) abc xyz x = ab'c+abc'+abc = ab(c'+c)+ab'c= a(b+b'c)=a(b+b')(b+c)=a(b+c) y = a'bc'+a'bc+ab'c+abc'= a'b+a(b'c+bc') z = a'b'c+a'bc+ab'c+abc a'c+ac=c

Πολλαπλές Έξοδοι (2) Ο πίνακας αληθείας έχει πολλαπλές στήλες στην έξοδο. Για την κάθε έξοδο υλοποιούμε ξεχωριστό λογικό κύκλωμα (bit-slice) και εφαρμόζουμε τις σχετικές απλοποιήσεις. Αν είναι εφικτό μπορούμε κατόπιν να 'ενοποιήσουμε' τμήματα κυκλωμάτων που έχουν την ίδια συμπεριφορά (2η απλοποίηση). Παράδειγμα: Από Πρόσημο-Μέγεθος σε Συμπλήρωμα του 2 (χαλαρό) abc xyz x = ab'c'+ab'c+abc'+abc = ab'(c'+c)+ab(c'+c)= a(b'+b)=a y = a'bc'+a'bc+ab'c+abc'= a'b+a(b'c+bc') z = a'b'c+a'bc+ab'c+abc a'c(b'+b) + ac(b'+b)=c(a'+a)=c

Βασικά Κυκλώματα Συνδυαστικά Κυκλώματα: Είσοδος ->Πράξη -> Έξοδος Αριθμητικές, Λογικές Πράξεις (και συγκρίσεις, μετατοπίσεις) (Απο-) Πολυπλέκτες, (Από-) Κωδικοποιητές Ακολουθιακά Κυκλώματα: Είσοδος + Κατάσταση -> Πράξη -> Έξοδος + Νέα Κατάσταση Ρολόγια, Μανδαλωτές, Flip-Flops, Μετρητές Καταχωρητές, Μετρητές, Μνήμες

Αποκωδικοποιητές Αποκωδικοποιητής 3-σε-8. D ABC 765432 --------------------- πχ. επιλογή διεύθυνσης στη μνήμη

Κωδικοποιητές Kωδικοποιητής 8-σε-3. I Y 765432 2 -------------------- πχ. επιλογή πλήκτρου σε πληκτρολόγιο

Από-πολυπλέκτες Από-πολυπλέκτης 8 εξόδων. a x 2 765432 -------------------- d d d d d d d d πχ. επιλογή εξόδου

Πολυπλέκτες Πολυπλέκτης 8 εισόδων. πύλη ΑΒC 765432 F ---------------------------------- D D D2 D3 D4 D5 D6 D7 πχ. επιλογή εισόδου

Συγκριτές () Συγκριτής 4 bits AB A=B NOT(A XOR B) ------------------------------- Kάθε bit XOR (bit-slice) αντιμετωπίζεται ξεχωριστά αφού ο υπολογισμός μπορεί να γίνειi παράλληλα. H σύνθεση γίνεται με NOR.

Συγκριτές (2) Παραδείγματα A B A XOR B NOR A B A XOR B NOR Εφαρμογή: Έλεγχος Μηδενικού Αποτελέσματος (Z)

Ολισθητές (Shift / Rotate) () Λογικός Ολισθητής -bit αριστερά/δεξιά. Διάταξη 8 bit-slice απο-πολυπλεκτών 2-προς- (οι ακραίοι απο-πολυπλέκτες μπορούν να ολοκληρωθούν με ψευδοσυνδέσεις). Κάθε έξοδος συνδέεται με 2 εισόδους.

Ολισθητές (Shift / Rotate) (2) D D D2 D3 D4 D5 D6 C D D D2 D3 D4 D5 D6 OR D, D, C OR D D,D2 D7 D2, D3, D4, D5, D6 D2 D3 D4 D5 D6 D7,D3,D4,D5,D6,D7

Ολισθητές (Shift / Rotate) (3) Λογικός Ολισθητής -bit αριστερά/δεξιά. Παράδειγμα ()

Ολισθητές (Shift / Rotate) (4) Λογικός Ολισθητής -bit αριστερά/δεξιά. Παράδειγμα (2)

Αθροιστές () (a) (b) (a) Πίνακας αληθείας και (b) Κύκλωμα ημι-αθροιστή. Sum = A'B+AB' = Α ΧΟR B Carry = AB

Αθροιστές (2) (a) Πίνακας αληθείας και (b) Απλοποιημένο κύκλωμα πλήρους αθροιστή. Sum = A'B'Cin+A'BCin'+AB'Cin'+ABCin Cout = A'BCin+AB'Cin+ABCin'+ABCin

Αθροιστές (3) Sum = A'B'Cin + A'BCin' + AB'Cin' + ABCin = (A'B' + AB) Cin + (A'B + AB') Cin' Εστω Χ = (Α'Β + ΑΒ'). Τότε Χ' = (Α'Β + ΑΒ')' = (Α'Β)' (ΑΒ')' = (Α'' + Β') (Α' + Β'') = (Α + Β') (Α' + Β) = Α'Β' + ΑΒ Αρα Sum = X' Cin + X Cin' Cout = A'BCin + AB'Cin + ABCin' + ABCin = (A'B + AB') Cin + AB

Αθροιστές (4) - Υπερχείλιση Συμπλήρωμα του 2 στα 4 bits: έγκυρη αναπαράσταση από -8 () έως 7 (). Εστω -7 7 + -7 + +7 + ----------------------------------------------------------------------------4 (2) 4 (-2) Msbit A Msbit B Msbit Sum V ----------------------------------------------------

Αριθμητικές Λογικές Μονάδες () Μια -bit ALU.

Αριθμητικές Λογικές Μονάδες (2) Πράξη Δεδομένα 2 γραμμές, Είσοδος ΑποΠολυΠλεκτης Πράξη Ή Απλή Διακλάδωση ΠολυΠλέκτης Πράξη 2 ' Εξοδος... Πράξη n- ' Ελεγχος Δεδομένων Επιλογή Λειτουργίας Log n γραμμές

Αριθμητικές Λογικές Μονάδες (3) Είσοδοι Δεδομένων Έξοδοι Δεδομένων Α, Β, Carry in Output, Carry out Έλεγχος Δεδομένων Eπιλογή Λειτουργίας ΕΝΑ, ΕΝΒ (enable), INVA (invert A, NOT A) F, F (Function Select) A AND B A OR B NOT B A + B + Carry in (πρόσθεση) Πιθανές πράξεις Α (OR ), ΝΟΤ Α (OR ), ( OR) B, NOT B, A AND B, (NOT A) AND B, A OR B, (NOT A) OR B, A + B, A +, B +, A + B + (ΝΟT A) + B +...

Αριθμητικές Λογικές Μονάδες (4) Παράδειγμα συνδυασμού εισόδων για τη πράξη Α + Β + Α Β Carry in ΕΝΑ ΕΝΒ ΙΝVA F F ή ή Output Carry Out A+B+ ή ή ή ((ΝΟΤ Α) + ) + B = B - A ή

Αριθμητικές Λογικές Μονάδες (5) Οκτώ -bit ALUs συνεδεδεμένες σε μια 8-bit ALU. Δεν φαίνονται τα σήματα INVΑ και ΕΝΑ, ΕΝΑΒ. Πώς μπορούμε να κάνουμε αφαίρεση στα 8 bits στο Συμπλήρωμα του 2;

Έλεγχος Αριθμητικής Λογικής Μονάδας Συνδυασμοί σημάτων ελέγχου της ALU και οι πράξεις που αντιστοιχούν σε αυτούς.

Αρχιτεκτονική Υπολογιστών Ψηφιακή Λογική Αναπαράσταση Πληροφορίας Συνδυαστικά Κυκλώματα Ακολουθιακά Κυκλώματα Διασύνδεση Κυκλωμάτων

Ρολόγια (a) Ρολόι. (b) Διάγραμμα χρονισμού. (c) Ασύμμετρο διάγραμμα χρονισμού και χωρισμός κύκλου ρολογιού σε τμήματα. Έτσι μπορούμε να ορίσουμε χρονικές στιγμές μέσα στο κύκλο για την έναρξη γεγονότων.

Μανδαλωτές (Latches) () Το απλούστερο κύκλωμα με μνήμη bit. Σε αντίθεση με τα συνδυαστικά κυκλώματα η νέα έξοδος δεν καθορίζεται μόνο από την είσοδο αλλά και από τη τρέχουσα έξοδο. Τέτοια κυκλώματα, τα οποία έχουν την έννοια της 'τρέχουσας κατάστασης', δηλαδή του χρόνου, λέγονται ακολουθιακά. (a) Μανδαλωτής NOR στη κατάσταση και (b) στη κατάσταση. (c) Πίνακας αληθείας πύλης NOR.

Μανδαλωτές (2) S R Λειτουργία ----------------------------------- Διατήρηση (a) ή (b) Q = (a) Reset Q = (b) Set Αστάθεια Μπορούμε, με τη βοήθεια των Set Reset είτε να καθορίσουμε τη τιμή του bit στη μνήμη, είτε να το διατηρήσουμε στη παρούσα τιμή του. Η επιλογή οδηγεί σε ασταθή ταλάντωση που μπορεί να ισορροπήσει σε μια από τις δύο σταθερές καταστάσεις.

Χρονισμένος μανδαλωτής Η όποια αλλαγή θα συμβεί μόνο όταν υπάρξει παλμός, οχι απαραίτητα ωρολογιακός (απλά enable). Ετσι το κύκλωμα μπορεί να απομονωθεί από ανεπιθύμητες εξωτερικές επιδράσεις.

Μανδαλωτής D (3) Αποκλείονται οι επιλογές R = S = και R = S =. Όμως λόγω του enable η κατάσταση R = S = μπορεί να επιτευχθεί έμμεσα αφού απομονώνει το κύκλωμα. D Ck Λειτουργία X Έξοδος και διατήρηση κατάστασης τρέχουσας (Q) Έξοδος τρέχουσας κατάστασης (Q), αποθήκευση νέας κατάστασης Q= Έξοδος τρέχουσας κατάστασης (Q), αποθήκευση νέας κατάστασης Q=

Latches και Flip-Flops (a) D latch με enable στη τιμή (b) D latch με enable στη τιμή (c) D flip-flop με ακμοπυροδότηση (edge -trigger) ανόδου ( -> ) (d) D flip-flop με ακμοπυροδότηση (edge -trigger) καθόδου ( -> )

Λογικά (Ακολουθιακά) Κυκλώματα () Από τον πίνακα καταστάσεων στο ακολουθιακό κύκλωμα. Θεωρούμε οτι έχουμε ένα σύγχρονο ακολουθιακό κύκλωμα (με κοινό χρονισμό ck) που αποτελείται από N D-latches ή flip-flops, όπου το κάθε ένα διατηρεί 'μνήμη' (κατάσταση) του ενός bit.. Ξεκινούμε από ένα πίνακα καταστάσεων, με δύο ομάδες στηλών: (α) τη τρέχουσα κατάσταση και (β) την επόμενη κατάσταση. Έχουμε έτσι το πολύ 2^Ν διακριτά ζεύγη καταστάσεων (τρέχουσα -> επόμενη). 2. Η παρούσα κατάσταση αποτελεί και την έξοδο του κυκλώματος κατά τη μετάβαση στην επόμενη κατάσταση. 3. Καθορίζουμε τις εισόδους που απαιτούνται έτσι ώστε, ο συνδυασμός εισόδου και εξόδου (τρέχουσας κατάστασης) να οδηγεί στην επόμενη κατάσταση. 4. Σχεδιάζουμε το συνδυαστικό κύκλωμα που απαιτείται σε κάθε είσοδο. Χρησιμοποιούμε ως πίνακα αληθείας τις στήλες εξόδων και εισόδων. Οι τρέχουσες έξοδοι πρέπει να προκαλούν τις επόμενες εισόδους.

Λογικά (Ακολουθιακά) Κυκλώματα (2) Σύγχρονος Δυαδικός Μετρητής (Counter) 3 bits. Το κύκλωμα έχει 3 D ff's c,b,a που κρατούν τα bits 2^2,2^,2^. Καταστάσεις Έξοδος Q Είσοδος D Τρέχουσα Επόμενη (τρέχουσα κατάσταση) (επόμενη κατάσταση) cba cba cba cba Η έξοδος καθορίζεται από τη τρέχουσα κατάσταση. Η επόμενη κατάσταση καθορίζεται από την είσοδο, και μέσω ανάδρασης (feedback )από τη τρέχουσα κατάσταση.

Λογικά (Ακολουθιακά) Κυκλώματα (3) Υπολογισμός συνδυαστικών κυκλωμάτων εισόδων: D(a) = c'b'a' + c'ba' + cb'a' + cba' = (c'b' + c'b + cb' + cb) a' = a' = XOR a D(b) = c'b'a + c'ba' + cb'a + cba' = (c' + c) (b'a + ba') = a XOR b D(c) = c'ba + cb'a' + cb'a + cba' = c'ba + cb' + a'c = c'ba + (a'+b')c = = c'ba + cb'a' = (ab) XOR c Αν είχαμε μετρητή X bits τότε.. D(x) = (Bit AND Bit AND.. Bit(x-)) XOR Bitx

Λογικά (Ακολουθιακά) Κυκλώματα (4) a b c... Σύγχρονος δυαδικός μετρητής 4 bits

Μηχανές Πεπερασμένων Καταστάσεων Finite State Machines () Πεπερασμένο σύνολο Ν διακριτών καταστάσεων, όπου κάθε κατάσταση ορίζεται από ένα άνυσμα x bits. Πεπερασμένο σύνολο Μ διακριτών εισόδων, όπου κάθε είσοδος ορίζεται από ένα διάνυσμα y bits. Παρόμοια πεπερασμένο σύνολο Κ διακριτών εξόδων, όπου κάθε είσοδος ορίζεται από ένα διάνυσμα z bits. Πίνακας ή Γράφος Μετάβασης που συνδέει Τρέχουσα Κατάσταση και Είσοδο με Επόμενη Κατάσταση (και Έξοδο). Μια Μηχανή Πεπερασμένων Καταστάσεων μπορεί να υλοποιηθεί ως ένα Ακολουθιακό Κύκλωμα, αποταλούμενο από δύο βασικά τμήματα: (α) το τμήμα που αποθηκεύει τη κατάσταση (δηλαδή τη Μνήμη) (β) το Συνδυαστικό Κύκλωμα που υλοποιεί τη λογική σύνδεση εισόδου και τρέχουσας κατάστασης (εξόδου( για τη παραγωγή της επόμενης κατάστασης.

Μηχανές Πεπερασμένων Καταστάσεων Finite State Machines (2) Μηχανή Πεπερασμένων Καταστάσεων Mealy. Αν η Έξοδος εηξαρτάται μόνο από τη Παρούσα Κατάτασταση τότε έχουμε Μηχανή Πεπερασμένων Καταστάσεων Moore.

Μηχανές Πεπερασμένων Καταστάσεων Finite State Machines (3) Τρέχουσα Κατάσταση Είσοδος Έξοδος Επόμενη Κατάσταση Locked Locked Unlocked Unlocked Release None None Release Unlocked Locked Unlocked Locked Coin Push Coin Push Παράδειγμα: Μηχανή ελέγχου εισόδου.

Μηχανές Πεπερασμένων Καταστάσεων Finite State Machines (4) Πεπερασμένο Αυτόματο (Μηχανή Πεπερασμένων Καταστάσεων) Έλεγχος αριθμού μηδενικών σε δυαδικό αριθμό Απροσδιόριστου αριθμού bits. Σειριακή ανάγνωση (bit προς bit) S = αρτιος αριθμός μηδενικών S2 = περιττός αριθμός μηδενικών.

Καταχωρητές Σύνδεση καταχωρητή 8 bit με διαύλους εισόδου και εξόδου. Το CK ('χρονισμός') ελέγχει την είσοδο (write: Ck=->) ενώ το ΟΕ ('απομονωτής') ελέγχει την έξοδο (read: OE=). Μπορούν να είναι Τα 2 σήματα ταυτόχρονα στη τιμή ;

Μνήμη ()

Mνήμη (2) Eίσοδος Δεδομένων m bits m bits Γραμμή Διεύθυνση logn bits ΑποΚωδικοποιητής Γραμμή Γραμμή 2 ΠολυΠλέκτης ' Εξοδος Δεδομένων... m bits Γραμμή n- ' Ελεγχος RD, CS, OE Επιλογή Εγγραφής και Επιλογή Γραμμής Εγγραφής Επιλογή Γραμμής Ανάγνωσης και Έλεγχος Διαύλου

Read X Y Z Z Z X Y

Write Z X Y X Y Z

Λειτουργία μνήμης () Είσοδος δεδομένων Έξοδος δεδομένων Ι, Ι, Ι2 Ο, Ο, Ο2 Είσοδος διεύθυνσης Α, Α προσπέλαση σε 4 λέξεις(αποκωδικοποίηση) Σήματα ελέγχου CS Chip Select OE Output Enable RD Read 3 bits / λέξη 3 bits / λέξη οργάνωση με επι-μέρους chips επιτρέπει έξοδο εγγραφή δεδομένων Λειτουργία ανάγνωσης. Διεύθυνση από address bus σε Α, Α 2. Σήματα ελέγχου CS =, OE =, RD = 3. Είσοδος δεδομένων Ι, Ι, Ι2 αδιάφορη, οι πύλες εγγραφής δίνουν. Επιλέγεται μια από τις 4 λέξεις με βάση την αποκωδικοποίηση των A, A. Οι αμετάβλητες καταστάσεις των αντίστοιχων Dff's καταλήγουν στις πύλες OR και μέσω των απομονωτών στις γραμμές O, O, O2.

Λειτουργία μνήμης (2) Λειτουργία εγγραφής. Διεύθυνση από address bus σε Α, Α 2. Σήματα ελέγχου CS =, OE =, RD = 3. Είσοδος δεδομένων σε Ι, Ι, Ι2. Επιλέγεται μια από τις 4 λέξεις με βάση την αποκωδικοποίηση των A, A. Οι αντίστοιχες πύλες εγγραφής δίνουν και τα συγκεκριμένα Dff's αλλάζουν κατάσταση με βάση την αντίστοιχη είσοδο δεδομένων. Οι παλιές καταστάσεις των Dff's καταλήγουν στις πύλες OR αλλά λόγω των απομονωτών δεν φθάνουν στις γραμμές O, O, O2. (a) απομονωτής (b), (c) λειτουργία (d) αντιστρέφων απομονωτής

Μνήμη ROM

Τεχνολογίες μνήμης () S=Static D= Dynamic SD=Synchronous Dynamic DDR=Double Data Rate P=Progmammable E=Erasable EE= Electrically Erasable Flash=Block Erasable

Τεχνολογίες Μνήμης (2) Dynamic RAM Μόνο ενα transistor και ένας πυκνωτής ανά bit. Πολύ πυκνή αλλα Πτητική (Vοlatile) ακόμη και όταν είναι σε λειτουργία. Απαιτείται τακτική Eπανεγγραφή (Refresh) γιατί ο πυκνωτής εκφορτίζεται.

Προγραμματιζόμενες Λογικές Διατάξεις (PLAs) PLA με 2 εισόδους και 6 εξόδους. Τα μικρά τετράγωνα είναι συνδέσεις που μπορεί να διακοπούν. ΑΝD Plane OR Plane 2^2 >> 5 Περιορισμένος αριθμός όρων, περίπου 8 ή 9 ανά έξοδο

Προγραμματιζόμενη Λογική. EPROM σαν PLA: Σε μια ROM μπορούνε να έχουμε 2 εισόδους και 2^2 εξόδους των 6 bits. Μπορούμε να χρησιμοποιήσουμε τη ROM για να κωδικοποιήσουμε ένα οποιοδήποτε πλήρες λογικό κύκλωμα 2 εισόδων και 6 εξόδων. 2. EPROM σαν hardwired πρόγραμμα: Με τη βοήθεια ενός ρολογιού ή/και ενός μετρητή η ROM μπορεί να χρησιμοποιηθεί για να 'στέλνει' μια ακολουθία από 'εντολές' των 8-bits που εκτελούνται συνέχεια (πχ ROM BIOS). 3. ΕPROM με D'ffς και κατάλληλες συνδέσεις μπορούν να υλοποιήσουν οποιοδήποτε ακολουθιακό κύκλωμα, όπου η EPROM υλοποιεί το συνδυαστικό κύκλωμα και τα D'ffs τη μνήμη. 4. EPROM με D'ffs συνθέτουν τα Λογικα δομικά στοιχεία (Logic blocks) των FPGA's (Field Programmable Gate Arrays). Μέσω της EPROM μπορούμε να υλοποιήσουμε οποιαδήποτε λογική συνάρτηση 4 εισόδων εξόδου θέλουμε.

Field Programmable Gate Arrays Routing Block EPROM Logic Block

Αρχιτεκτονική Υπολογιστών Ψηφιακή Λογική Αναπαράσταση Πληροφορίας Συνδυαστικά Κυκλώματα Ακολουθιακά Κυκλώματα Διασύνδεση Κυκλωμάτων

Σχηματικό Διάγραμμα Απλού Υπολογιστή Μητρική Πλακέτα Ολοκληρωμένα Κυκλώματα Σύνδεση Κυκλωμάτων με Διαύλους

Ολοκληρωμένα Κυκλώματα () Ένα πολύ απλό ολοκληρωμένο κύκλωμα με 4 πύλες NAND.

Ολοκληρωμένα Κυκλώματα (2) Καταχωρητής 8-bit.

Ολοκληρωμένα Κυκλώματα (3) SSI (Small Scale Integration) MSI (Medium Scale Integration) LSI (Large Scale Integration) VLSI (Very Large Scale Integration) - πύλες - πύλες. πύλες >. πύλες Σήμερα έχουμε IC's με εκατομμύρια πύλες (ή transistors) Μερικά προβλήματα: Καθυστέρηση πύλης (διάδοση και μεταγωγή) ~ nanosecond Αριθμός ακροδεκτών (υψηλή αναλογία πυλών/ακροδεκτών) Πυκνότητα (υπερθέρμανση, παρεμβολή) Θα τα δούμε σύντομα στον Παραλληλισμό

Νόμος του Moore O νόμος του Moore προβλέπει ετήσια αύξηση 6% στα transistors που χωρούν σε ένα ολοκληρωμένο κύκλωμα. Το συγκεκριμένο διάγραμμα αναφέρεται σε ολοκληρωμένα κυκλώματα μνήμης.

Ολοκληρωμένα Κυκλώματα (4) Tύποι πακεταρίσματος ολοκληρωμένων κυκλωμάτων: (a) dual-inline package DIP (b) pin grid array PGA (c) land grid array LGA (συνήθως με μοχλό).

Ολοκληρωμένα κυκλώματα CPU Συνδεσμολογία τυπικού ολοκηρωμένου κυκλώματος CPU. Τα βέλη δηλώνουν είσοδο ή έξοδο.οι μικρές διαγώνιες γραμμές σημαίνουν πολλαπλές γραμμές.

Ολοκληρωμένα Κυλώματα Μνήμης () Εναλλακτικοί τρόποι οργάνωσης μνήμης 52 Mbits.

Ολοκληρωμένα Κυλώματα Μνήμης (2) Λογική Οργάνωση Διευθύνσεων Τρείς οπτικές μιας μνήμης των 96 bit.

Ολοκληρωμένα Κυλώματα Μνήμης (3) Πλακέτα ενός DIMM συνολικής χωρητικότητας 4 GB σε 8 ολοκληρωμένα κυκλώματα των 256 MB σε κάθε μια από τις 2 πλευρές.

Δίαυλοι () Τυπικό σύστημα υπολογιστή με πολλαπλούς διαύλους. Κατηγορίες διαύλων: Με βάση τη θέση: Οn-chip bus, On-board bus, Εξωτερικό bus. Με βάση τη λειτουργία: CPU bus, Memory bus, I/O bus,... Mε βάση το τύπο δεδομένων: Data bus, Address bus, Control bus. Με βάση τη τεχνολογία: PCI, PCI Express, SCSI, USB.. Με βάση το χρονισμό: Σύγχρονο, Ασύγχρονο Με βάση τη μέθοδο μεταφοράς δεδομένων: Σειριακό, Παράλληλο..

Δίαυλοι (2) Λειτουργία του Ελεγκτή / Διαιτητής Διαύλου (Bus Controller / Arbiter) Πιθανοί συνδυασμοί master - slave σε διαύλους.

Εύρος Διαύλων Μεγέθυνση Διαύλου Διευθύνσεων (backward compatibility). Eύρος Αddress Bus ~ Αριθμός Θέσεων Μνήμης. Εύρος Data Bus ~ Αριθμός Bytes ανά Θέση Μνήμης. Επηρρεάζονται οι αντίστοιχοι καταχωρητές.

Χρονισμός Διαύλου () Χρονισμός Ανάγνωσης σε σύγχρονο δίαυλο.

Χρονισμός Διαύλου (2) Ορισμός μερικών σημαντικών σημάτων.

Χρονισμός Διαύλου (3) Χρονισμός Ανάγνωσης σε ασύγχρονο δίαυλο.

Χρονισμός Διαύλου (4) Λειτουργία Ασύγχρονου Διαύλου με Πλήρη Χειραψία (Full-Handshake).

Ομαδική μεταφορά (Βlοck Transfer)

Διαιτησία Διαύλου (a) Κεντρικός διαιτητής διαύλου ενός επιπέδου. (b) Ο ίδιος δαιτητής με δύο επίπεδα.

Ελεγκτής Διακοπών Ελεγκτής Διακοπών 8259A.

Ελεγκτές Ι/Ο Ενα Προγραμματιζόμενος Ελεγκτής Ι/Ο 24-bit (PIO Interface).

Αποκωδικοποίηση Διευθύνσεων () EPROM, RAM, και PIO σε χώρο διευθύνσεων 64 KB.

Αποκωδικοποίηση Διευθύνσεων (2) CS'= όταν Α5,Α4,..,Α = Διεύθυνση xxxxxxxxxx CS'= όταν Α5= και Α4,..,Α = Διεύθυνση xxxxxxxxxx CS'= όταν Α5,Α4,..,Α2 = Διεύθυνση xx Πλήρης αποκωδικοποίηση διευθύνσεων 64K.