DIGITALNE STRUKTURE Zapiski predavanj

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "DIGITALNE STRUKTURE Zapiski predavanj"

Transcript

1 DIGITALNE STRUKTURE Zapiski predavanj Branko Šter, Ljubo Pipan Koraki pri načrtovanju vezij na osnovi VHDL (in drugih HDL jezikov): bločni diagrami / hierarhija kodiranje v VHDL prevajanje kode, preverjanje pravilnosti sintakse simulacija: funkcionalna verifikacija (brez zakasnitev) sinteza - VHDL opis mapira v ciljno tehnologijo (npr. PLD, FPGA, ASIC) razmestitev in povezovanje (placement / routing) simulacija: časovna verifikacija (z zakasnitvami) 5 Kanonske logične ureditve Bralni pomnilniki Bralni pomnilnik (ROM) običajno obravnavamo kot kombinacijsko vezje. ROM celici navadno ne rečemo pomnilna celica, ker ni registrskega tipa, tj. med delovanjem vanjo ne moremo vpisovati. Bralni pomnilnik realizira funkcijski mnogopol (FM): 1

2 DIGITALNE STRUKTURE 2 FM je n poljubnih funkcij m spremenljivk (v PDNO obliki) Naslovni vhodi ROMa pripadajo neodvisnim spremenljivkam, izhodi pa želenim funkcijam. m... dolžina naslova, 2 m... število pomnilniških lokacij n... velikost pomnilniške lokacije v bitih Pri programabilnih logičnih vezjih PLD se običajno uporablja pri risanju shem poseben, bolj zgoščen zapis: Povezave, ki so na sliki označene s križci, označujejo varovalke. Tak zapis bomo uporabili tudi tukaj. Npr. PROM 4*2 lahko realizira dve funkciji dveh spremenljivk:

3 DIGITALNE STRUKTURE 3 Struktura na levi predstavlja dekodirnik. Križec je vezni element, ki je programabilen. Pomnilniškim naslovom, ki ustrezajo mintermom nad m spremenljivkami, ustreza IN-matrika. Vsebina pomnilniških lokacij pa predstavlja zapis funkcije, kakor v pravilnostni tabeli. IN-matrika je fiksna; naslovov seveda ne moremo programirati, ALI-matrika pa je programabilna; vsebino lahko vpišemo (vsaj enkrat). V splošnem lahko bralni pomnilnik shematsko predstavimo takole:

4 DIGITALNE STRUKTURE 4 Vezni element (VE) ALI matrike je lahko dioda, bipolarni ali MOS transistor. VE mora prevajati samo v eno smer. Dodana mu je lahko: - serijsko vezana varovalka, ki jo ob programiranju raztalimo, ali pa - v primeru MOS transistorja posebna topologija, vezana na gate elektrodo. Dioda (včasih): varovalka pri diodi pomeni 1. B-linije so na nizki napetosti. Izbrana w-linija dvigne bitno linijo preko diode na 1. Zato so v začetnem stanju same enice. Transistor: b-linija je vezana preko pull-up transistorja na napajanje, zato je na njej 1. Z adreso izberemo eno w-linijo. Napetost na w-liniji povzroči, da začne transistor prevajati in s tem povzroči določen padec napetosti na b-liniji (ne prav velik zaradi kapacitivnosti b-linije). Mask ROM Proizvajalec naredi masko glede na kupčevo specifikacijo vsebine ROMa - in drago zaračuna. Zato se izplača samo za zelo velike serije.

5 DIGITALNE STRUKTURE 5 PROM Tipično je bipolaren; to pomeni, da je VE bipolarni transistor. Ima k transistorju zaporedno vezano varovalko, ki jo uporabnik prežge z dovolj velikim tokom, tako da da gor zadosti veliko napetost. Take izvedbe se danes zelo redko uporabljajo. Danes so PROM-i večinoma EPROM-i v cenejšem ohišju brez kvarčnega okna (OTP). EPROM tudi UV-EPROM - E: izbrisljiv ( erasable ), večkratno - Tudi večkrat zapisljiv. - MOS tehnologija. - Ima plavajočo plast (floating gate, plavajoča vrata), tj. dodatno krmilno elektrodo, ki je na vse strani na debelo obkrožena z dielektrikom: visoka upornost. Struktura izkazuje efekt kondenzatorja. Zato EPROM celico pogosto označimo tudi takole: - Programiranje: Pri visoki napetosti na krmilni (gate) elektrodi (10V ali več) in ponoru proti substratu in izvoru pride do preboja dielektrika (plazovit (ali vroč) preboj, avalanche injection, hot electron injection ) iz smeri substrata. Elektroni morajo dobiti dovolj energije, da preskočijo 3.2eV energijsko bariero med substratom in SiO 2.

6 DIGITALNE STRUKTURE 6 Majhen neg. naboj (10 6 elektronov) pa ostane v plavajoči plasti tudi, ko ni več napetosti. Nima kam odteči, ker je naokrog močan izolator. Kondenzator se ne prazni, ker so elektroni ujeti v potencialno luknjo (časovna konstanta τ nekaj sto let). Neg. naboj na plavajoči plasti onemogoča, da bi transistor prevajal, ko je izbran. Naboj v bistvu znatno poveča pragovno napetost MOS transistorja. Proces programiranja celice je samo-omejujoč, tj. ni bojazni, da bi celico preveč sprogramirali. Elektroni v plavajoči plasti, ki jih je vedno več, vedno bolj omejujejo prihod novih elektronov iz substrata. EPROM programator priskrbi določene napetosti, ki jih konkreten EPROM potrebuje. Programiranje npr. 512K*8 traja kako minuto. Pri izbrisani celici transistor prevaja. Ko je izbran, se b-linija (ki je običajno prednabita) prazni skozenj in s tem ji pade napetost. B-linija je preko stikalnega transistorja s tipalno linijo povezana s tipalnim ojačevalnikom ( sense amplifier ), ki primerja to napetost z referenčno napetostjo. Če je manjša od referenčne, to običajno tolmači kot logično 1 ( 1 ), in obratno. Logična 1 je torej, kadar transistor prevaja. Zato ima običajno prazen

7 DIGITALNE STRUKTURE 7 EPROM same 1. EPROM je treba vzeti iz vezja in ga s posebno napravo (EPROM eraser) osvetljevati z UV svetlobo določene valovne dolžine (253.7nm) minut, da se vsebina izbriše (fototok). Elektroni dobijo dovolj energije (3.2eV) da preskočijo iz plavajoče plasti proti gate in substratu. Ker ta frekvenca ne prodre skozi večino vrst plastike ali stekla, ima EPROM čip na vrhu kvarčno okence. Čip mora biti pri brisanju dovolj blizu UV-izvoru (nekaj cm). Treba je izbrisati celoten čip (ne gre po delih) in ga nato sprogramirati ter vložiti nazaj v vezje. EPROM pa lahko preveč zbrišemo; pri tem postane plavajoča plast tako nabita, da sploh ne more več sprejeti elektronov. Na sončni svetlobi se izbriše v kakem tednu (z neprozorno nalepko čez okence to lahko preprečimo). Max. število brisanj in pisanj proizvajalci neradi deklarirajo, je pa vsaj 100. Hiba je tudi potreba po večji napetosti pri programiranju. EEPROM Tunelski efekt oz. hladen preboj elektronov (Fowler-Nordheim tunneling, cold electron tunneling, tunneling): elektroni prebijejo energijsko bariero Si-SiO 2 z manj kot 3.2eV. EEPROM ima tanek dielektrik med plavajočo plastjo in ponorom in ga lahko brišemo z napetostjo na gate elektrodi, ki ima nasprotno polariteto kot pri pisanju. Pri pozitivni napetosti med ponorom in vrati tunelirajo elektroni skozi tanko plast oksida iz plavajoče plasti v ponor. S tem se zmanjša pragovna napetost in transistor prevaja. Pri brisanju je napetost nasprotne polaritete in elektroni tunelirajo iz ponora v plavajočo plast. Pragovna nap. se poveča, transistor ne prevaja. Brisati in reprogramirati ga je možno le v celoti, vendar po bytih.

8 DIGITALNE STRUKTURE 8 EEPROM-a ni treba vzeti iz vezja, če ga hočemo reprogramirati. Ne rabimo niti dodatne opreme; briše se z lokalizirano uporabo E-polja za vsako celico. Spreminjamo 1 byte naenkrat, kar je po svoje dobro, vendar počasno. EEPROM ni zamenjava za RAM - pisanje je počasno 30us/byte - št. vpisov je omejeno 10000, ker je plast dielektrika zelo tanka in se obrabi. Pomnilnik Flash Včasih se reče tudi Flash EEPROM, čeprav je njegova pomnilna celica v resnici kombinacija EPROM in EEPROM celice. Flash se imenuje zato, ker je možno bliskovito brisati po blokih (vnaprej določeni deli čipa), ki vsebujejo več deset KB. Zato je mnogo hitrejši kot običajen EEPROM, ki procesira po 1 byte naenkrat. Obstajata NOR in NAND Flash. NOR Flash lahko deluje, vsaj kar se branja tiče, kot običajen pomnilnik: naslovimo lokacijo in preberemo vsebino (random-access). Zato lahko služi kot zamenjava za ROM. Za brisanje oz. vpis pa potrebuje posebne ukaze, zato uporablja SW gonilnik. NOR Flash zavzame večjo površino zaradi fizične prisotnosti bitne linije. Tudi vpisujemo lahko posamezne lokacije (iz 1 v 0 ), brišemo pa lahko le po blokih. NAND Flash zavzame manjšo površino, ker bitne linije v bistvu ni. Do podatkov pa se da dostopati le sekvenčno. Brati in vpisovati je mogoče le po straneh (pages); stran ima tipično 512 bytov. Izbris je možen le po blokih, ki vsebujejo 32 (64, 128) strani, tj. od 16KB navzgor. Zaradi dostopa na nivoju strani in blokov deluje podobno kot npr. hard disk. Tudi sicer se uporablja za namene shranjevanja podatkov (mass storage). NAND Flash potrebuje SW gonilnik še bolj kakor NOR Flash zaradi upravljanja z defektnimi bloki (bad block management). NAND Flash se uporablja za USB diske in pomnilniške kartice. Pomnilniki Flash uporabljajo (eksterno) normalne napetosti, višje napetosti (npr. za programiranje) pa dobijo na osnovi črpanja naboja (charge pumping).

9 DIGITALNE STRUKTURE 9 Flash pomnilnik se uporablja v - mobilnih telefonih, - digitalnih kamerah, - LAN stikalih (switches), - USB pogonih, - PC karticah za prenosne rač., - digital set-up boxes, - vgradnih (embedded) napravah, - igralnih konzolah, itd. Zaključek Bralne pomnilnike uporabljamo za: - računalniške programe (npr. BIOS v računalnikih) - množenje manjših števil (naslov sestavljata faktorja, vsebina pa je produkt) - preslikovalne oz. look-up tabele funkcij - razne korekcijske tabele itd. Dva problema kanonskih realizacij z bralnimi pomnilniki sta: veliko število veznih elementov, potrebnih za realizacijo funkcij. Število VE narašča eksponentno glede na števila spremenljivk (zaradi PDNO oblike), kar pa ne velja za število operatorjev, potrebnih za realizacijo tipične MNO. Število izhodov je zaradi masovne uporabe teh gradnikov za potrebe permanentnega pomnjenja omejeno na 4, 8 (najpogosteje) in 9. Velike dimenzije ALI-matrike in zahtevne izhodne stopnje ne omogočajo realizacij, ki bi bile po času razširjanja signala od vhoda do izhoda blizu preklopnim časom stikal v izbrani tehnologiji. Poleg že omenjenih gradnikov povzroča pomembno zakasnitev signala in povečanje števila elementov tudi dekodirnik naslova, katerega kompleksnost tudi raste eksponentno v odvisnosti od števila spremenljivk. Torej, število veznih elementov in kompleksnost dekodirnika naslova naraščata eksponentno s številom vhodnih spremenljivk. Veliki bralni pomnilniki so počasnejši (dolge linije (velike parazitne C), počasno razširjanje signala); majhni (tipično so bipolarni) so hitrejši.

10 DIGITALNE STRUKTURE 10 Programabilna logična vezja PLD Tukaj bomo obravnavali le klasična programabilna logična vezja oz. PLD vezja ( Programmable logic devices ). Le-ta realizirajo normalne (kanonske) oblike logičnih funkcij. PLA Da bi se ognili omenjenim problemom, sta Philips in Signetics že v prvi polovici sedemdesetih let ponudila vezje pod kratico PLA ( Programmable Logic Array ) - programabilna logična ureditev. Spada v generično skupino vezij, ki realizirajo različne kanonske (normalne) oblike funkcij. Pri PLA sta obe matriki, IN in ALI, programabilni. Primer: PLA z 2 vhodoma, 4 produkti (IN) ter 2 izhodoma (ALI): Prednost PLA pred ROM je v tem, da ni potrebno pisati funkcije v PDNO obliki (z mintermi), ampak lahko v minimizirani obliki. To pride do izraza pri funkcijah velikega števila spremenljivk, ki imajo v minimizirani obliki pogosto relativno malo produktnih členov, in je torej realizacija s ROM zelo potratna.

11 DIGITALNE STRUKTURE 11 V takem primeru je bolj smiselno realizirati samo obstoječe produkte, kar zmore PLA na osnovi svojih programabilnih naslovov. Od ROM vezja se razlikuje v tem, da ne vsebuje zahtevnega naslovnega dekodirnika. Vsebuje pa zato posebno dodatno IN matriko. število potrebnih veznih elementov se pomembno zmanjša. Posamezen produktni člen si lahko deli več izhodov oz. funkcij, kar je pogosto možno koristno uporabiti. Poglejmo nekaj PLA elementov. Npr. - PLA 82S200 (Mullard) s 16 vhodi, 48 produkti, ter 8 izhodi ima vhodnih IN vrat in 8 48-vhodnih ALI vrat. V primerjavi z MSI elementi je to mnogo, zato vezja PLA spadajo v skupino LSI vezij. - PLA PLUS153 (Philips): 8 vhodov, vhodnih konjunkcij ((8+10)*2), 10 vhodov/ izhodov (s TS-vmesniki) in programabilno izhodno polariteto (z XOR vrati). Ima 20 pinov. 24-pinski PLUS173 ima 12 vhodov, ostalo pa podobno. Povejmo še, da PLA običajno ni realiziran v dvonivojski IN-ALI izvedbi, temveč v NOR-NOR izvedbi (popolna Pierce-ova normalna oblika (PPNO), spomnite se tudi na funkcijsko polnost operatorja NOR). Razlog, zakaj Shefferjeva oblika ni ugodna, je sledeč. Ker ima PLA veliko število vhodov, bi se v MOS tehnologiji pri NAND izvedbi produktnih členov upornost zelo povečala in s tem razmerje upornosti med bremenskim transistorjem in transistorji na besedni liniji. Da bi ohranili ustrezno razmerje, je treba spremeniti geometrijo bremena, s tem pa se poveča RC konstanta, kar upočasni vezje. Prednost NOR-NOR pred NAND-NAND obliko je v tem, da so pri NOR vratih transistorji na linijo vezani vzporedno. Obširne analize različnih realizacij v SSI in MSI tehnologiji in analize naključno generiranih funkcij so dale proizvajalcem odgovor kako izbrati parameter k, da je možno realizirati večino danih funkcij. Ob takratnem stanju tehnologije je bilo možno število izhodov (n) še zelo nizko (<10). Pomanjkljivost je ta, da se čas razširjanja signala od vhoda na izhod ni bistveno zmanjšal. Dve matriki z zahtevnimi izvedbami IN ter ALI operatorjev (posebno ALI operatorji imajo lahko veliko število vhodov) zahtevata svoje in tako so proizvajalci iskali odgovor na temeljno zahtevo približati se preklopnim časom stikal v neki tehnologiji.

12 DIGITALNE STRUKTURE 12 Potrebno število členov za realizacijo MDNO je v večini praktičnih primerov omejeno na sorazmerno majhne vrednosti (<8). Odločili so se, da programabilno ALI-matriko nadomestijo z operatorji, ki so fiksno povezani na izhode IN-matrike. Nastal je PAL. PAL ( Programmable Array Logic, Programabilno logično polje) PAL ima programabilno IN-matriko ter fiksno ALI-matriko: Pri PAL si sicer dve disjunkciji ne moreta deliti istega produkta, kot lahko pri PLA. Poznamo dve različni izvedbi. Ena je brez pomnilnih celic in realizira funkcije z zelo omejenim številom IN členov. Recimo temu klasični PAL. PAL je primeren za realizacijo večjega števila enostavnih funkcij, tudi funkcij različnih spremenljivk. Osnovni namen je nadomestiti množico operatorjev, s katerimi povežemo standardne MSI in LSI strukture. Tako na tiskanem

13 DIGITALNE STRUKTURE 13 vezju prihranimo precej prostora, in obenem povečamo fleksibilnost, ker je PAL mnogo lažje spremeniti kakor tiskano vezje. Disjunkcija ne uporablja vseh produktov, ampak samo nekaj (tipično 2, 4, 8, 16); manj, kot jih je, več neodvisnih funkcij je lahko. PAL je hitrejši kot PLA, ker imajo povezave z varovalkami pri PLA večjo upornost kot že sklenjene povezave pri PAL-u. Prvi PAL na tržišču je bil PAL16L8; L označuje aktivno nizke izhode. Na sliki je četrtina vezja PAL16L8: Vidimo, da ima programabilne vhode/izhode, tj. izhodi lahko služijo tudi kot vhodi. V ta namen mora biti tristanjski vmesnik v stanju visoke impedance; kadar ni, so izhodi tudi interno vezani nazaj in jih lahko po potrebi uporabimo. To je koristno v primerih realizacije kompliciranih funkcij, ki jih je potrebno razstaviti (dekompozicija). Nekaj primerov PAL-a: PAL10H8 (PAL10L8, PAL10R8): 10 vhodov, 8 izhodov (ALI), po 2 produkta na ALI operator PAL12H6 (PAL12L6, PAL12R6): 12 vhodov, 6 izhodov, (4,2,2,2,2,4) produkti na ALI operator

14 DIGITALNE STRUKTURE 14 14H4: 14 vhodov, 4 izhodi, po 4 produkti na ALI 16H2: 16 vhodov, 2 izhoda, po 8 produktov na ALI 16H1: 16 vhodov, 1 izhod, 16 produktov na edinem ALI Število produktov iz oznake ni razvidno. Pri PAL16R8 oznaka R pomeni, da so izhodi registrski, tj. vsakemu od osmih izhodov je dodan D flip-flop, kar pa delno že pripelje do naslednje razvojne stopnje, GAL vezij. GAL Gre za novejši (relativno gledano) PAL z dvema pomembnima dodatkoma: 1. OLMC ( Output logic macrocell ), kar v prvi vrsti pomeni dodano pomnilno celico 2. reprogramabilnost na osnovi EECMOS tehnologije Ker je GAL zaščiteno ime, ima tudi druge oznake (npr. PALCE, ki pa gre iz uporabe); odvisno od proizvajalca. GAL16V8 (oz. PALCE16V8) ima - največ 16 vhodov, največ 8 izhodov (ne oboje naenkrat), - 20 pinov (8 I, 8 I/O/Q, Clk/I, OE/I, Vcc, Gnd), - v vsako od 8 disjunkcij gre po 8 32-vhodnih produktov (16*2), - 8 flip-flopov. GAL22V10 (PALCE22V10) ima - 24 pinov (11 I, 10 I/O/Q, Clk/I, Vcc, Gnd), - v vsako od 10 disjunkcij gre po 8 do vhodnih produktov (22*2), - 10 flip-flopov, - IN-matrika je velikosti 132* ns delay Še večji čip je GAL26V12. Funkcionalni blok diagram vezja GAL22V10:

15 DIGITALNE STRUKTURE 15 V pomeni variable output configuration. Izhodna makrocelica OLMC pa pri GAL22V10 izgleda takole:

16 DIGITALNE STRUKTURE 16 S pomočjo programabilnih stikal (izbiralniki) v OLMC so možni različni načini delovanja: kombinacijski izhod (negiran ali nenegiran) registrski izhod (negiran ali nenegiran) vhod (kadar je TS-vmesnik onemogočen) kombinacijski izhod nazaj registrski izhod nazaj GAL16V8 ima za nastavitev izhodne polaritete kar XOR vrata in poseben bit. Izhod nazaj se uporabi, če je potrebno realizirati funkcijo večjega števila spremenljivk, kot jih je na voljo, predvsem pa, če število produktov, ki jih želimo peljati v ALI-vrata, preseže maksimalno dovoljeno vrednost. Omenimo še dve dobri lastnosti PLD vezij: 1. Zelo pomembna lastnost kanonskih vezij, kot so PLD, je, da omogočajo (še posebej v primerih, ko so vezni elementi reprogramabilni) 100% testiranje vezja v proizvodnji. Če v zasnovi funkcij ni napak, ni odpovedi ob začetku delovanja. Ta lastnost pa je dovolj pomembna pri številnih aplikacijah (npr. vesoljska tehnika).

17 DIGITALNE STRUKTURE Kanonske realizacije vezij se odlikujejo v primeri z realizacijami na osnovi polja vrat (gate array, FPGA) tudi po tem, da zagotavljajo enak čas razširjanja signala od vhodov do izhodov za vse funkcije (GAL: 5ns). Signal mora le enkrat ali dvakrat (kadar je v makrocelici vezan nazaj) skozi vhodno IN matriko, vsi ostali časi zamuditve signala pa so isti in predvsem napovedljivi. To je zelo pomembna lastnost. CPLD Kompleksni ( complex ) PLD je razširitev GAL-a in ima večjo gostoto logike v čipu. CPLD sestavlja več osnovnih blokov tipa PAL/GAL, ki so povezani s stikalno matriko. Primer. Altera MAX3000A: - osnovni blok se imenuje LAB (Logic Array Block; enakovredno enemu GALu) - stikalna matrika oz. povezovalni snop se imenuje PIA (Programmable Interconnection Array) in skrbi za vse povezave v čipu, v posamezen LAB pa vodi le tiste signale, ki jih LAB potrebuje Slika prikazuje bločni diagram MAX3000A:

18 DIGITALNE STRUKTURE 18 Če pogledamo makrocelico (MC) na sliki:

19 DIGITALNE STRUKTURE 19 vidimo, da imamo dve vrsti razširitev: - Razširitve, ki si jih makrocelice v LAB-u delijo ( Shareable Expanders ): to so produkti, ki gredo direktno nazaj v vhodni snop (ne izhod makrocelice, kakor pri GAL-u, ampak še pred ALI-operatorjem). - Paralelne razširitve: to so produkti, ki si jih makrocelica izposodi od sosednih makrocelic. Kadar MC potrebuje več kot 5 produktov, jih lahko dobi 3*5=15 od višje ležečih MC. MAX3000A lahko programiramo in-system (In-System Programmability, ISP) na osnovi JTAG vmesnika, ki danes predstavlja standard.

Odvod. Matematika 1. Gregor Dolinar. Fakulteta za elektrotehniko Univerza v Ljubljani. 5. december Gregor Dolinar Matematika 1

Odvod. Matematika 1. Gregor Dolinar. Fakulteta za elektrotehniko Univerza v Ljubljani. 5. december Gregor Dolinar Matematika 1 Matematika 1 Gregor Dolinar Fakulteta za elektrotehniko Univerza v Ljubljani 5. december 2013 Primer Odvajajmo funkcijo f(x) = x x. Diferencial funkcije Spomnimo se, da je funkcija f odvedljiva v točki

Διαβάστε περισσότερα

Diferencialna enačba, v kateri nastopata neznana funkcija in njen odvod v prvi potenci

Diferencialna enačba, v kateri nastopata neznana funkcija in njen odvod v prvi potenci Linearna diferencialna enačba reda Diferencialna enačba v kateri nastopata neznana funkcija in njen odvod v prvi potenci d f + p= se imenuje linearna diferencialna enačba V primeru ko je f 0 se zgornja

Διαβάστε περισσότερα

Zaporedja. Matematika 1. Gregor Dolinar. Fakulteta za elektrotehniko Univerza v Ljubljani. 22. oktober Gregor Dolinar Matematika 1

Zaporedja. Matematika 1. Gregor Dolinar. Fakulteta za elektrotehniko Univerza v Ljubljani. 22. oktober Gregor Dolinar Matematika 1 Matematika 1 Gregor Dolinar Fakulteta za elektrotehniko Univerza v Ljubljani 22. oktober 2013 Kdaj je zaporedje {a n } konvergentno, smo definirali s pomočjo limite zaporedja. Večkrat pa je dobro vedeti,

Διαβάστε περισσότερα

Funkcijske vrste. Matematika 2. Gregor Dolinar. Fakulteta za elektrotehniko Univerza v Ljubljani. 2. april Gregor Dolinar Matematika 2

Funkcijske vrste. Matematika 2. Gregor Dolinar. Fakulteta za elektrotehniko Univerza v Ljubljani. 2. april Gregor Dolinar Matematika 2 Matematika 2 Gregor Dolinar Fakulteta za elektrotehniko Univerza v Ljubljani 2. april 2014 Funkcijske vrste Spomnimo se, kaj je to številska vrsta. Dano imamo neko zaporedje realnih števil a 1, a 2, a

Διαβάστε περισσότερα

KODE ZA ODKRIVANJE IN ODPRAVLJANJE NAPAK

KODE ZA ODKRIVANJE IN ODPRAVLJANJE NAPAK 1 / 24 KODE ZA ODKRIVANJE IN ODPRAVLJANJE NAPAK Štefko Miklavič Univerza na Primorskem MARS, Avgust 2008 Phoenix 2 / 24 Phoenix 3 / 24 Phoenix 4 / 24 Črtna koda 5 / 24 Črtna koda - kontrolni bit 6 / 24

Διαβάστε περισσότερα

Booleova algebra. Izjave in Booleove spremenljivke

Booleova algebra. Izjave in Booleove spremenljivke Izjave in Booleove spremenljivke vsako izjavo obravnavamo kot spremenljivko če je izjava resnična (pravilna), ima ta spremenljivka vrednost 1, če je neresnična (nepravilna), pa vrednost 0 pravimo, da gre

Διαβάστε περισσότερα

Funkcije. Matematika 1. Gregor Dolinar. Fakulteta za elektrotehniko Univerza v Ljubljani. 21. november Gregor Dolinar Matematika 1

Funkcije. Matematika 1. Gregor Dolinar. Fakulteta za elektrotehniko Univerza v Ljubljani. 21. november Gregor Dolinar Matematika 1 Matematika 1 Gregor Dolinar Fakulteta za elektrotehniko Univerza v Ljubljani 21. november 2013 Hiperbolične funkcije Hiperbolični sinus sinhx = ex e x 2 20 10 3 2 1 1 2 3 10 20 hiperbolični kosinus coshx

Διαβάστε περισσότερα

PONOVITEV SNOVI ZA 4. TEST

PONOVITEV SNOVI ZA 4. TEST PONOVITEV SNOVI ZA 4. TEST 1. * 2. *Galvanski člen z napetostjo 1,5 V požene naboj 40 As. Koliko električnega dela opravi? 3. ** Na uporniku je padec napetosti 25 V. Upornik prejme 750 J dela v 5 minutah.

Διαβάστε περισσότερα

Delovna točka in napajalna vezja bipolarnih tranzistorjev

Delovna točka in napajalna vezja bipolarnih tranzistorjev KOM L: - Komnikacijska elektronika Delovna točka in napajalna vezja bipolarnih tranzistorjev. Določite izraz za kolektorski tok in napetost napajalnega vezja z enim virom in napetostnim delilnikom na vhod.

Διαβάστε περισσότερα

Tretja vaja iz matematike 1

Tretja vaja iz matematike 1 Tretja vaja iz matematike Andrej Perne Ljubljana, 00/07 kompleksna števila Polarni zapis kompleksnega števila z = x + iy): z = rcos ϕ + i sin ϕ) = re iϕ Opomba: Velja Eulerjeva formula: e iϕ = cos ϕ +

Διαβάστε περισσότερα

Funkcije. Matematika 1. Gregor Dolinar. Fakulteta za elektrotehniko Univerza v Ljubljani. 14. november Gregor Dolinar Matematika 1

Funkcije. Matematika 1. Gregor Dolinar. Fakulteta za elektrotehniko Univerza v Ljubljani. 14. november Gregor Dolinar Matematika 1 Matematika 1 Gregor Dolinar Fakulteta za elektrotehniko Univerza v Ljubljani 14. november 2013 Kvadratni koren polinoma Funkcijo oblike f(x) = p(x), kjer je p polinom, imenujemo kvadratni koren polinoma

Διαβάστε περισσότερα

Kotne in krožne funkcije

Kotne in krožne funkcije Kotne in krožne funkcije Kotne funkcije v pravokotnem trikotniku Avtor: Rok Kralj, 4.a Gimnazija Vič, 009/10 β a c γ b α sin = a c cos= b c tan = a b cot = b a Sinus kota je razmerje kotu nasprotne katete

Διαβάστε περισσότερα

Osnove elektrotehnike uvod

Osnove elektrotehnike uvod Osnove elektrotehnike uvod Uvod V nadaljevanju navedena vprašanja so prevod testnih vprašanj, ki sem jih našel na omenjeni spletni strani. Vprašanja zajemajo temeljna znanja opredeljenega strokovnega področja.

Διαβάστε περισσότερα

Odvod. Matematika 1. Gregor Dolinar. Fakulteta za elektrotehniko Univerza v Ljubljani. 10. december Gregor Dolinar Matematika 1

Odvod. Matematika 1. Gregor Dolinar. Fakulteta za elektrotehniko Univerza v Ljubljani. 10. december Gregor Dolinar Matematika 1 Matematika 1 Gregor Dolinar Fakulteta za elektrotehniko Univerza v Ljubljani 10. december 2013 Izrek (Rolleov izrek) Naj bo f : [a,b] R odvedljiva funkcija in naj bo f(a) = f(b). Potem obstaja vsaj ena

Διαβάστε περισσότερα

matrike A = [a ij ] m,n αa 11 αa 12 αa 1n αa 21 αa 22 αa 2n αa m1 αa m2 αa mn se števanje po komponentah (matriki morata biti enakih dimenzij):

matrike A = [a ij ] m,n αa 11 αa 12 αa 1n αa 21 αa 22 αa 2n αa m1 αa m2 αa mn se števanje po komponentah (matriki morata biti enakih dimenzij): 4 vaja iz Matematike 2 (VSŠ) avtorica: Melita Hajdinjak datum: Ljubljana, 2009 matrike Matrika dimenzije m n je pravokotna tabela m n števil, ki ima m vrstic in n stolpcev: a 11 a 12 a 1n a 21 a 22 a 2n

Διαβάστε περισσότερα

Kontrolne karte uporabljamo za sprotno spremljanje kakovosti izdelka, ki ga izdelujemo v proizvodnem procesu.

Kontrolne karte uporabljamo za sprotno spremljanje kakovosti izdelka, ki ga izdelujemo v proizvodnem procesu. Kontrolne karte KONTROLNE KARTE Kontrolne karte uporablamo za sprotno spremlane kakovosti izdelka, ki ga izdeluemo v proizvodnem procesu. Izvaamo stalno vzorčene izdelkov, npr. vsako uro, vsake 4 ure.

Διαβάστε περισσότερα

Splošno o interpolaciji

Splošno o interpolaciji Splošno o interpolaciji J.Kozak Numerične metode II (FM) 2011-2012 1 / 18 O funkciji f poznamo ali hočemo uporabiti le posamezne podatke, na primer vrednosti r i = f (x i ) v danih točkah x i Izberemo

Διαβάστε περισσότερα

Funkcije. Matematika 1. Gregor Dolinar. Fakulteta za elektrotehniko Univerza v Ljubljani. 12. november Gregor Dolinar Matematika 1

Funkcije. Matematika 1. Gregor Dolinar. Fakulteta za elektrotehniko Univerza v Ljubljani. 12. november Gregor Dolinar Matematika 1 Matematika 1 Gregor Dolinar Fakulteta za elektrotehniko Univerza v Ljubljani 12. november 2013 Graf funkcije f : D R, D R, je množica Γ(f) = {(x,f(x)) : x D} R R, torej podmnožica ravnine R 2. Grafi funkcij,

Διαβάστε περισσότερα

Transformator. Delovanje transformatorja I. Delovanje transformatorja II

Transformator. Delovanje transformatorja I. Delovanje transformatorja II Transformator Transformator je naprava, ki v osnovi pretvarja napetost iz enega nivoja v drugega. Poznamo vrsto različnih izvedb transformatorjev, glede na njihovo specifičnost uporabe:. Energetski transformator.

Διαβάστε περισσότερα

Zaporedja. Matematika 1. Gregor Dolinar. Fakulteta za elektrotehniko Univerza v Ljubljani. 15. oktober Gregor Dolinar Matematika 1

Zaporedja. Matematika 1. Gregor Dolinar. Fakulteta za elektrotehniko Univerza v Ljubljani. 15. oktober Gregor Dolinar Matematika 1 Matematika 1 Gregor Dolinar Fakulteta za elektrotehniko Univerza v Ljubljani 15. oktober 2013 Oglejmo si, kako množimo dve kompleksni števili, dani v polarni obliki. Naj bo z 1 = r 1 (cosϕ 1 +isinϕ 1 )

Διαβάστε περισσότερα

- navpični niz matrik A in

- navpični niz matrik A in 5. PREKLOPNE STRUKTURE ALI PREKLOPNI NOGOPOLI 5. atrično opisovanje preklopnih vezij in struktur 5.. Osnovna simbolika Vektor: an vodoravni vektor a m navpični vektor atrika: A :m :n :n - matrika reda

Διαβάστε περισσότερα

*M * Osnovna in višja raven MATEMATIKA NAVODILA ZA OCENJEVANJE. Sobota, 4. junij 2011 SPOMLADANSKI IZPITNI ROK. Državni izpitni center

*M * Osnovna in višja raven MATEMATIKA NAVODILA ZA OCENJEVANJE. Sobota, 4. junij 2011 SPOMLADANSKI IZPITNI ROK. Državni izpitni center Državni izpitni center *M40* Osnovna in višja raven MATEMATIKA SPOMLADANSKI IZPITNI ROK NAVODILA ZA OCENJEVANJE Sobota, 4. junij 0 SPLOŠNA MATURA RIC 0 M-40-- IZPITNA POLA OSNOVNA IN VIŠJA RAVEN 0. Skupaj:

Διαβάστε περισσότερα

SKUPNE PORAZDELITVE VEČ SLUČAJNIH SPREMENLJIVK

SKUPNE PORAZDELITVE VEČ SLUČAJNIH SPREMENLJIVK SKUPNE PORAZDELITVE SKUPNE PORAZDELITVE VEČ SLUČAJNIH SPREMENLJIVK Kovaec vržemo trikrat. Z ozačimo število grbov ri rvem metu ( ali ), z Y a skuo število grbov (,, ali 3). Kako sta sremelivki i Y odvisi

Διαβάστε περισσότερα

13. Jacobijeva metoda za računanje singularnega razcepa

13. Jacobijeva metoda za računanje singularnega razcepa 13. Jacobijeva metoda za računanje singularnega razcepa Bor Plestenjak NLA 25. maj 2010 Bor Plestenjak (NLA) 13. Jacobijeva metoda za računanje singularnega razcepa 25. maj 2010 1 / 12 Enostranska Jacobijeva

Διαβάστε περισσότερα

NEPARAMETRIČNI TESTI. pregledovanje tabel hi-kvadrat test. as. dr. Nino RODE

NEPARAMETRIČNI TESTI. pregledovanje tabel hi-kvadrat test. as. dr. Nino RODE NEPARAMETRIČNI TESTI pregledovanje tabel hi-kvadrat test as. dr. Nino RODE Parametrični in neparametrični testi S pomočjo z-testa in t-testa preizkušamo domneve o parametrih na vzorcih izračunamo statistike,

Διαβάστε περισσότερα

1. Definicijsko območje, zaloga vrednosti. 2. Naraščanje in padanje, ekstremi. 3. Ukrivljenost. 4. Trend na robu definicijskega območja

1. Definicijsko območje, zaloga vrednosti. 2. Naraščanje in padanje, ekstremi. 3. Ukrivljenost. 4. Trend na robu definicijskega območja ZNAČILNOSTI FUNKCIJ ZNAČILNOSTI FUNKCIJE, KI SO RAZVIDNE IZ GRAFA. Deinicijsko območje, zaloga vrednosti. Naraščanje in padanje, ekstremi 3. Ukrivljenost 4. Trend na robu deinicijskega območja 5. Periodičnost

Διαβάστε περισσότερα

C A B - vodoravni niz matrik A in B. ; c a - transpozicija matrike C. Spremenljivke A, B, C so matrike z razsežnostmi: t x n ter m x t.

C A B - vodoravni niz matrik A in B. ; c a - transpozicija matrike C. Spremenljivke A, B, C so matrike z razsežnostmi: t x n ter m x t. 5. PREKLOPNE STRUKTURE ALI PREKLOPNI NOGOPOLI 5. atrično opisovanje preklopnih vezij in struktur 5.. Osnovna simbolika Vektor: an vodoravni vektor atrika: a m navpični vektor A :m :n :n - matrika reda

Διαβάστε περισσότερα

Integralni račun. Nedoločeni integral in integracijske metrode. 1. Izračunaj naslednje nedoločene integrale: (a) dx. (b) x 3 +3+x 2 dx, (c) (d)

Integralni račun. Nedoločeni integral in integracijske metrode. 1. Izračunaj naslednje nedoločene integrale: (a) dx. (b) x 3 +3+x 2 dx, (c) (d) Integralni račun Nedoločeni integral in integracijske metrode. Izračunaj naslednje nedoločene integrale: d 3 +3+ 2 d, (f) (g) (h) (i) (j) (k) (l) + 3 4d, 3 +e +3d, 2 +4+4 d, 3 2 2 + 4 d, d, 6 2 +4 d, 2

Διαβάστε περισσότερα

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI. Σταθερές Μνήμες Αρχιτεκτονικές Μνήμης RAM

HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI.  Σταθερές Μνήμες Αρχιτεκτονικές Μνήμης RAM HY330 Ψηφιακά Κυκλώματα - Εισαγωγή στα Συστήματα VLSI Διδάσκων: Χ. Σωτηρίου, Βοηθοί: θα ανακοινωθούν http://inf-server.inf.uth.gr/courses/ce330 1 ΗΥ330 - Διάλεξη 12η - Κυκλώματα Μνήμης Περιεχόμενα Είδη

Διαβάστε περισσότερα

POMNILNIK POMNILNIK...1

POMNILNIK POMNILNIK...1 Pripravil: Marko Munih, UL FE, 2007 POMNILNIK POMNILNIK...1 Pomnilniki glede na način dostopa...2 Zgradba pomnilnika z naključnim dostopom...2 Bralni pomnilniki (ROM)...5 Mask ROM...6 PROM...6 EPROM...6

Διαβάστε περισσότερα

8. Diskretni LTI sistemi

8. Diskretni LTI sistemi 8. Diskreti LI sistemi. Naloga Določite odziv diskretega LI sistema s podaim odzivom a eoti impulz, a podai vhodi sigal. h[] x[] - - 5 6 7 - - 5 6 7 LI sistem se a vsak eoti impulz δ[] a vhodu odzove z

Διαβάστε περισσότερα

«Σχεδίαση Εφαρμογών Ψηφιακδη Συστημάτοη με τη Γλώσσα \ HDL»

«Σχεδίαση Εφαρμογών Ψηφιακδη Συστημάτοη με τη Γλώσσα \ HDL» ΤΕΙ ΚΑΒΑΛΑΣ ΣΧΟΛΗ ΤΕΧΝΟΑΟηΚίίΝ ΕΦΑΡΜΟΓίΣΝ ΤΜΗΜΑ ΒΙΟΜΗΧΑΝΙΚΗΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ «Σχεδίαση Εφαρμογών Ψηφιακδη Συστημάτοη με τη Γλώσσα \ HDL» ΟΝΟΜΑΤΕΠΩΝΥ ΜΟ ΣΙ10ΥΧΛΣΤΩΝ ΕΥΘΥΜΙΑ Μ1ΧΑΗΛΙΔΟΥ ΑΕΜ:

Διαβάστε περισσότερα

Iterativno reševanje sistemov linearnih enačb. Numerične metode, sistemi linearnih enačb. Numerične metode FE, 2. december 2013

Iterativno reševanje sistemov linearnih enačb. Numerične metode, sistemi linearnih enačb. Numerične metode FE, 2. december 2013 Numerične metode, sistemi linearnih enačb B. Jurčič Zlobec Numerične metode FE, 2. december 2013 1 Vsebina 1 z n neznankami. a i1 x 1 + a i2 x 2 + + a in = b i i = 1,..., n V matrični obliki zapišemo:

Διαβάστε περισσότερα

Stikalni pretvorniki. Seminar: Načrtovanje elektronike za EMC Boštjan Glažar

Stikalni pretvorniki. Seminar: Načrtovanje elektronike za EMC Boštjan Glažar Stikalni pretvorniki Seminar: Načrtovanje elektronike za EMC 9. 3. 2016 Boštjan Glažar niverza v Ljubljani Fakulteta za elektrotehniko Tržaška cesta 25, SI-1000 Ljubljana Vsebina Prednosti stikalnih pretvornikov

Διαβάστε περισσότερα

IZPIT IZ ANALIZE II Maribor,

IZPIT IZ ANALIZE II Maribor, Maribor, 05. 02. 200. (a) Naj bo f : [0, 2] R odvedljiva funkcija z lastnostjo f() = f(2). Dokaži, da obstaja tak c (0, ), da je f (c) = 2f (2c). (b) Naj bo f(x) = 3x 3 4x 2 + 2x +. Poišči tak c (0, ),

Διαβάστε περισσότερα

Numerično reševanje. diferencialnih enačb II

Numerično reševanje. diferencialnih enačb II Numerčno reševanje dferencaln enačb I Dferencalne enačbe al ssteme dferencaln enačb rešujemo numerčno z več razlogov:. Ne znamo j rešt analtčno.. Posamezn del dferencalne enačbe podan tabelarčno. 3. Podatke

Διαβάστε περισσότερα

CM707. GR Οδηγός χρήσης... 2-7. SLO Uporabniški priročnik... 8-13. CR Korisnički priručnik... 14-19. TR Kullanım Kılavuzu... 20-25

CM707. GR Οδηγός χρήσης... 2-7. SLO Uporabniški priročnik... 8-13. CR Korisnički priručnik... 14-19. TR Kullanım Kılavuzu... 20-25 1 2 3 4 5 6 7 OFFMANAUTO CM707 GR Οδηγός χρήσης... 2-7 SLO Uporabniški priročnik... 8-13 CR Korisnički priručnik... 14-19 TR Kullanım Kılavuzu... 20-25 ENG User Guide... 26-31 GR CM707 ΟΔΗΓΟΣ ΧΡΗΣΗΣ Περιγραφή

Διαβάστε περισσότερα

ΤΕΧΝΟΛΟΓΙΕΣ ΥΛΟΠΟΙΗΣΗΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ

ΤΕΧΝΟΛΟΓΙΕΣ ΥΛΟΠΟΙΗΣΗΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΤΕΧΝΟΛΟΓΙΕΣ ΥΛΟΠΟΙΗΣΗΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Υλοποίηση ΥΛΟΠΟΙΗΣΗ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ ΔΙΑΚΡΙΤΑ ΣΤΟΙΧΕΙΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ ΑΝΑΔΙΑΜΟΡΦΩΣΙΜΟ ΥΛΙΚΟ Ο.Κ. ΕΙΔΙΚΟΥ ΣΚΟΠΟΥ (VLSI) FULL CUSTOM (Reconfigurable

Διαβάστε περισσότερα

Reševanje sistema linearnih

Reševanje sistema linearnih Poglavje III Reševanje sistema linearnih enačb V tem kratkem poglavju bomo obravnavali zelo uporabno in zato pomembno temo linearne algebre eševanje sistemov linearnih enačb. Spoznali bomo Gaussovo (natančneje

Διαβάστε περισσότερα

Analiza možnosti realizacije logičnih reverzibilnih vrat v trostanjskem kvantnem celičnem avtomatu

Analiza možnosti realizacije logičnih reverzibilnih vrat v trostanjskem kvantnem celičnem avtomatu Univerza v Ljubljani Fakulteta za računalništvo in informatiko Mark Rolih Analiza možnosti realizacije logičnih reverzibilnih vrat v trostanjskem kvantnem celičnem avtomatu diplomska naloga na univerzitetnem

Διαβάστε περισσότερα

Preklopna vezja 3. poglavje: Preklopne funkcije in elementi

Preklopna vezja 3. poglavje: Preklopne funkcije in elementi Preklopna vezja 3. poglavje: Preklopne funkcije in elementi Trije načini zapisa Booleove (preklopne) funkcije zapis v eksplicitni (analitični) obliki: - za preproste funkcije (ena, dve, tri spremenljivke):

Διαβάστε περισσότερα

DISKRETNA FOURIERJEVA TRANSFORMACIJA

DISKRETNA FOURIERJEVA TRANSFORMACIJA 29.03.2004 Definicija DFT Outline DFT je linearna transformacija nekega vektorskega prostora dimenzije n nad obsegom K, ki ga označujemo z V K, pri čemer ima slednji lastnost, da vsebuje nek poseben element,

Διαβάστε περισσότερα

1. Trikotniki hitrosti

1. Trikotniki hitrosti . Trikotniki hitrosti. Z radialno črpalko želimo črpati vodo pri pogojih okolice z nazivnim pretokom 0 m 3 /h. Notranji premer rotorja je 4 cm, zunanji premer 8 cm, širina rotorja pa je,5 cm. Frekvenca

Διαβάστε περισσότερα

Poglavje 7. Poglavje 7. Poglavje 7. Regulacijski sistemi. Regulacijski sistemi. Slika 7. 1: Normirana blokovna shema regulacije EM

Poglavje 7. Poglavje 7. Poglavje 7. Regulacijski sistemi. Regulacijski sistemi. Slika 7. 1: Normirana blokovna shema regulacije EM Slika 7. 1: Normirana blokovna shema regulacije EM Fakulteta za elektrotehniko 1 Slika 7. 2: Principielna shema regulacije AM v KSP Fakulteta za elektrotehniko 2 Slika 7. 3: Merjenje komponent fluksa s

Διαβάστε περισσότερα

1. Έντυπα αιτήσεων αποζημίωσης... 2 1.1. Αξίωση αποζημίωσης... 2 1.1.1. Έντυπο... 2 1.1.2. Πίνακας μεταφράσεων των όρων του εντύπου...

1. Έντυπα αιτήσεων αποζημίωσης... 2 1.1. Αξίωση αποζημίωσης... 2 1.1.1. Έντυπο... 2 1.1.2. Πίνακας μεταφράσεων των όρων του εντύπου... ΑΠΟΖΗΜΙΩΣΗ ΘΥΜΑΤΩΝ ΕΓΚΛΗΜΑΤΙΚΩΝ ΠΡΑΞΕΩΝ ΣΛΟΒΕΝΙΑ 1. Έντυπα αιτήσεων αποζημίωσης... 2 1.1. Αξίωση αποζημίωσης... 2 1.1.1. Έντυπο... 2 1.1.2. Πίνακας μεταφράσεων των όρων του εντύπου... 3 1 1. Έντυπα αιτήσεων

Διαβάστε περισσότερα

Kotni funkciji sinus in kosinus

Kotni funkciji sinus in kosinus Kotni funkciji sinus in kosinus Oznake: sinus kota x označujemo z oznako sin x, kosinus kota x označujemo z oznako cos x, DEFINICIJA V PRAVOKOTNEM TRIKOTNIKU: Kotna funkcija sinus je definirana kot razmerje

Διαβάστε περισσότερα

MATEMATIČNI IZRAZI V MAFIRA WIKIJU

MATEMATIČNI IZRAZI V MAFIRA WIKIJU I FAKULTETA ZA MATEMATIKO IN FIZIKO Jadranska cesta 19 1000 Ljubljan Ljubljana, 25. marec 2011 MATEMATIČNI IZRAZI V MAFIRA WIKIJU KOMUNICIRANJE V MATEMATIKI Darja Celcer II KAZALO: 1 VSTAVLJANJE MATEMATIČNIH

Διαβάστε περισσότερα

Osnovni primer. (Z, +,,, 0, 1) je komutativan prsten sa jedinicom: množenje je distributivno prema sabiranju

Osnovni primer. (Z, +,,, 0, 1) je komutativan prsten sa jedinicom: množenje je distributivno prema sabiranju RAČUN OSTATAKA 1 1 Prsten celih brojeva Z := N + {} N + = {, 3, 2, 1,, 1, 2, 3,...} Osnovni primer. (Z, +,,,, 1) je komutativan prsten sa jedinicom: sabiranje (S1) asocijativnost x + (y + z) = (x + y)

Διαβάστε περισσότερα

Enačba, v kateri poleg neznane funkcije neodvisnih spremenljivk ter konstant nastopajo tudi njeni odvodi, se imenuje diferencialna enačba.

Enačba, v kateri poleg neznane funkcije neodvisnih spremenljivk ter konstant nastopajo tudi njeni odvodi, se imenuje diferencialna enačba. 1. Osnovni pojmi Enačba, v kateri poleg neznane funkcije neodvisnih spremenljivk ter konstant nastopajo tudi njeni odvodi, se imenuje diferencialna enačba. Primer 1.1: Diferencialne enačbe so izrazi: y

Διαβάστε περισσότερα

PROCESIRANJE SIGNALOV

PROCESIRANJE SIGNALOV Rešive pisega izpia PROCESIRANJE SIGNALOV Daum: 7... aloga Kolikša je ampliuda reje harmoske kompoee arisaega periodičega sigala? f() - -3 - - 3 Rešiev: Časova fukcija a iervalu ( /,/) je lieara fukcija:

Διαβάστε περισσότερα

UNIVERZITET U NIŠU ELEKTRONSKI FAKULTET SIGNALI I SISTEMI. Zbirka zadataka

UNIVERZITET U NIŠU ELEKTRONSKI FAKULTET SIGNALI I SISTEMI. Zbirka zadataka UNIVERZITET U NIŠU ELEKTRONSKI FAKULTET Goran Stančić SIGNALI I SISTEMI Zbirka zadataka NIŠ, 014. Sadržaj 1 Konvolucija Literatura 11 Indeks pojmova 11 3 4 Sadržaj 1 Konvolucija Zadatak 1. Odrediti konvoluciju

Διαβάστε περισσότερα

IZVODI ZADACI (I deo)

IZVODI ZADACI (I deo) IZVODI ZADACI (I deo) Najpre da se podsetimo tablice i osnovnih pravila:. C`=0. `=. ( )`= 4. ( n )`=n n-. (a )`=a lna 6. (e )`=e 7. (log a )`= 8. (ln)`= ` ln a (>0) 9. = ( 0) 0. `= (>0) (ovde je >0 i a

Διαβάστε περισσότερα

Ψηφιακή Σχεδίαση Ενότητα 11:

Ψηφιακή Σχεδίαση Ενότητα 11: Τμήμα Μηχανικών Πληροφορικής & Τηλεπικοινωνιών Ψηφιακή Σχεδίαση Ενότητα 11: Μνήμη και Προγραμματίσιμη Λογική Δρ. Μηνάς Δασυγένης mdasyg@ieee.org Εργαστήριο Ψηφιακών Συστημάτων και Αρχιτεκτονικής Υπολογιστών

Διαβάστε περισσότερα

p 1 ENTROPIJSKI ZAKON

p 1 ENTROPIJSKI ZAKON ENROPIJSKI ZAKON REERZIBILNA srememba: moža je obrjea srememba reko eakih vmesih staj kot rvota srememba. Po obeh sremembah e sme biti obeih trajih srememb v bližji i dalji okolici. IREERZIBILNA srememba:

Διαβάστε περισσότερα

II. LIMITA IN ZVEZNOST FUNKCIJ

II. LIMITA IN ZVEZNOST FUNKCIJ II. LIMITA IN ZVEZNOST FUNKCIJ. Preslikave med množicami Funkcija ali preslikava med dvema množicama A in B je predpis f, ki vsakemu elementu x množice A priredi natanko določen element y množice B. Važno

Διαβάστε περισσότερα

, PAL PA, ΜΝΗΜΕΣ ROM)

, PAL PA, ΜΝΗΜΕΣ ROM) 10 Εό Ενότητα ΔΙΑΤΑΞΕΙΣ ΠΡΟΓΡΑΜΜΑΤΙΖΟΜΕΝΗΣ ΛΟΓΙΚΗΣ (PLA, PAL, ΜΝΗΜΕΣ ROM) Γενικές Γραμμές PLA PAL Μνήμες ROM Βλέπε: Βιβλίο Wakerly Παράγραφοι 5.3.1, 5.3.2, 10.1, 10.1.1, 10.1.4 Βιβλίο Mano Παράγραφοι 7.5,

Διαβάστε περισσότερα

Frekvenčna analiza neperiodičnih signalov. Analiza signalov prof. France Mihelič

Frekvenčna analiza neperiodičnih signalov. Analiza signalov prof. France Mihelič Frekvenčna analiza neperiodičnih signalov Analiza signalov prof. France Mihelič Vpliv postopka daljšanja periode na spekter periodičnega signala Opazujmo družino sodih periodičnih pravokotnih impulzov

Διαβάστε περισσότερα

V tem poglavju bomo vpeljali pojem determinante matrike, spoznali bomo njene lastnosti in nekaj metod za računanje determinant.

V tem poglavju bomo vpeljali pojem determinante matrike, spoznali bomo njene lastnosti in nekaj metod za računanje determinant. Poglavje IV Determinanta matrike V tem poglavju bomo vpeljali pojem determinante matrike, spoznali bomo njene lastnosti in nekaj metod za računanje determinant 1 Definicija Preden definiramo determinanto,

Διαβάστε περισσότερα

Matematika 1. Gregor Dolinar. 2. januar Fakulteta za elektrotehniko Univerza v Ljubljani. Gregor Dolinar Matematika 1

Matematika 1. Gregor Dolinar. 2. januar Fakulteta za elektrotehniko Univerza v Ljubljani. Gregor Dolinar Matematika 1 Mtemtik 1 Gregor Dolinr Fkultet z elektrotehniko Univerz v Ljubljni 2. jnur 2014 Gregor Dolinr Mtemtik 1 Izrek (Izrek o povprečni vrednosti) Nj bo m ntnčn spodnj mej in M ntnčn zgornj mej integrbilne funkcije

Διαβάστε περισσότερα

Μικροηλεκτρονική - VLSI

Μικροηλεκτρονική - VLSI ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Μικροηλεκτρονική - VLSI Ενότητα 4.1: Μέθοδοι Υλοποίησης Ολοκληρωμένων Κυκλωμάτων Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών

Διαβάστε περισσότερα

Ψηφιακή Σχεδίαση. M. Morris Mano. Michael D. Ciletti ΤΕΤΑΡΤΗ ΕΚ ΟΣΗ

Ψηφιακή Σχεδίαση. M. Morris Mano. Michael D. Ciletti ΤΕΤΑΡΤΗ ΕΚ ΟΣΗ Ψηφιακή Σχεδίαση ΤΕΤΑΡΤΗ ΕΚ ΟΣΗ M. Morris Mano Ομότιμος Καθηγητής Μηχανικής Υπολογιστών Πανεπιστήμιο της Πολιτείας της Καλιφόρνια, Λος Άντζελες Michael D. Ciletti Τμήμα Σχεδίασης Ηλεκτρικών και Υπολογιστικών

Διαβάστε περισσότερα

Podobnost matrik. Matematika II (FKKT Kemijsko inženirstvo) Diagonalizacija matrik

Podobnost matrik. Matematika II (FKKT Kemijsko inženirstvo) Diagonalizacija matrik Podobnost matrik Matematika II (FKKT Kemijsko inženirstvo) Matjaž Željko FKKT Kemijsko inženirstvo 14 teden (Zadnja sprememba: 23 maj 213) Matrika A R n n je podobna matriki B R n n, če obstaja obrnljiva

Διαβάστε περισσότερα

Predstavitev informacije

Predstavitev informacije Predstavitev informacije 1 polprevodniki_tranzistorji_3_0.doc Informacijo lahko prenašamo, če se nahaja v primerni obliki. V elektrotehniki se informacija lahko nahaja v analogni ali digitalni obliki (analogni

Διαβάστε περισσότερα

Preklopne funkcije in logična vrata

Preklopne funkcije in logična vrata Načini zapisa Booleove (preklopne) funkcije zapis v eksplicitni (analitični) obliki: - za preproste funkcije (ena, dve, tri spremenljivke): f(a,b), f(x,y,z) -za funkcije n spremenljivk: f(x 1,,x 3,...,x

Διαβάστε περισσότερα

Definicija. definiramo skalarni produkt. x i y i. in razdaljo. d(x, y) = x y = < x y, x y > = n (x i y i ) 2. i=1. i=1

Definicija. definiramo skalarni produkt. x i y i. in razdaljo. d(x, y) = x y = < x y, x y > = n (x i y i ) 2. i=1. i=1 Funkcije več realnih spremenljivk Osnovne definicije Limita in zveznost funkcije več spremenljivk Parcialni odvodi funkcije več spremenljivk Gradient in odvod funkcije več spremenljivk v dani smeri Parcialni

Διαβάστε περισσότερα

DIGITALNA TEHNIKA 2010/2011

DIGITALNA TEHNIKA 2010/2011 DIGITALNA TEHNIKA 2010/2011 TEORETIČNE VAJE IN KOLOKVIJI 15 ur 1. Številski sistemi (15.10.2010) 2. Boolova algebra, Huntingtonovi postulati, PDNO, PKNO (22.10.2010) 3. Minimizacija Boolovih funkcij, MDNO

Διαβάστε περισσότερα

Analiza 2 Rešitve 14. sklopa nalog

Analiza 2 Rešitve 14. sklopa nalog Analiza Rešitve 1 sklopa nalog Navadne diferencialne enačbe višjih redov in sistemi diferencialnih enačb (1) Reši homogene diferencialne enačbe drugega reda s konstantnimi koeficienti: (a) 6 + 8 0, (b)

Διαβάστε περισσότερα

Bipolarni tranzistor je trielektrodni polprevodniški elektronski sestavni del, ki je namenjen za ojačevanje

Bipolarni tranzistor je trielektrodni polprevodniški elektronski sestavni del, ki je namenjen za ojačevanje TRANZISTOR Bipolarni tranzistor je trielektrodni polprevodniški elektronski sestavni del, ki je namenjen za ojačevanje električnih signalov. Zgrajen je iz treh plasti polprevodnika (silicija z različnimi

Διαβάστε περισσότερα

Multivariatna analiza variance

Multivariatna analiza variance (MANOVA) MANOVA je multivariatna metoda za proučevanje odvisnosti med več odvisnimi (številskimi) in več neodvisnimi (opisnimi) spremenljivkami. (MANOVA) MANOVA je multivariatna metoda za proučevanje odvisnosti

Διαβάστε περισσότερα

ELEKTRONIKA PAHOR D.O.O.

ELEKTRONIKA PAHOR D.O.O. ELEKTONIKA PAHO D.O.O. ŠTIIVHODNI ANALOGNI MODUL Tip SM2 Navodila za uporabo Elektronika Pahor 22 www.termomer.com Kazalo vsebine.namen...3 2.Vsebina kompleta...4 3.Montaža...4 3..Možnosti pritrditve...4

Διαβάστε περισσότερα

Osnove matematične analize 2016/17

Osnove matematične analize 2016/17 Osnove matematične analize 216/17 Neža Mramor Kosta Fakulteta za računalništvo in informatiko Univerza v Ljubljani Kaj je funkcija? Funkcija je predpis, ki vsakemu elementu x iz definicijskega območja

Διαβάστε περισσότερα

ELEKTRONIKA PAHOR D.O.O.

ELEKTRONIKA PAHOR D.O.O. ELEKTRONIKA PAHOR D.O.O. DVOVHODNI ANALOGNI MODUL Tip SM1-03 Navodila za uporabo Elektronika Pahor 2012 www.termomer.com 1 Kazalo vsebine 1.Namen...3 2.Vsebina kompleta...4 3.Montaža...4 3.1.Možnosti pritrditve...4

Διαβάστε περισσότερα

DIGITALNA TEHNIKA 2014/2015 (nazadnje spremenjeno )

DIGITALNA TEHNIKA 2014/2015 (nazadnje spremenjeno ) DIGITALNA TEHNIKA 2014/2015 (nazadnje spremenjeno 26.11.2014) SEMINARSKE VAJE 15 ur 1. Številski sistemi (vadite sami doma) 2. Boolova algebra, Huntingtonovi postulati (vadite sami doma) 3. Kanonična oblika

Διαβάστε περισσότερα

Uvod v programirljive digitalne sisteme. Andrej Trost Univerza v Ljubljani Fakulteta za elektrotehniko

Uvod v programirljive digitalne sisteme. Andrej Trost Univerza v Ljubljani Fakulteta za elektrotehniko Uvod v programirljive digitalne sisteme Andrej Trost Univerza v Ljubljani Fakulteta za elektrotehniko http://lniv.fe.uni-lj.si/pds.html Ljubljana, 2015 Kazalo 1 Digitalna vezja in sistemi 3 1.1 Elektronska

Διαβάστε περισσότερα

Κεφάλαιο 14 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Σχεδιαστικές Μεθοδολογίες 2

Κεφάλαιο 14 ο. Γ. Τσιατούχας. VLSI Systems and Computer Architecture Lab. Σχεδιαστικές Μεθοδολογίες 2 ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων Σχεδιαστικές Μεθοδολογίες VLSI Κυκλωμάτων Κεφάλαιο 14 ο Τμήμα Μηχανικών Η/Υ και Πληροφορικής Γ. Τσιατούχας ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση VLSI Systems and Computer Architecture

Διαβάστε περισσότερα

Statistična analiza. doc. dr. Mitja Kos, mag. farm. Katedra za socialno farmacijo Univerza v Ljubljani- Fakulteta za farmacijo

Statistična analiza. doc. dr. Mitja Kos, mag. farm. Katedra za socialno farmacijo Univerza v Ljubljani- Fakulteta za farmacijo Statistična analiza opisnih spremenljivk doc. dr. Mitja Kos, mag. arm. Katedra za socialno armacijo Univerza v Ljubljani- Fakulteta za armacijo Statistični znaki Proučevane spremenljivke: statistični znaki

Διαβάστε περισσότερα

1. Merjenje toka in napetosti z AVO metrom

1. Merjenje toka in napetosti z AVO metrom 1. Merjenje toka in napetosti z AVO metrom Cilj: Nariši karakteristiko Zenerjeve diode in določi njene parametre, pri delu uporabi AVO metre za merjenje napetosti in toka ter vir spremenljive napetosti

Διαβάστε περισσότερα

Algebraične strukture

Algebraične strukture Poglavje V Algebraične strukture V tem poglavju bomo spoznali osnovne algebraične strukture na dani množici. Te so podane z eno ali dvema binarnima operacijama. Binarna operacija paru elementov iz množice

Διαβάστε περισσότερα

ARHITEKTURA DETAJL 1, 1:10

ARHITEKTURA DETAJL 1, 1:10 0.15 0.25 3.56 0.02 0.10 0.12 0.10 SESTV S2 polimer-bitumenska,dvoslojna(po),... 1.0 cm po zahtevah SIST DIN 52133 in nadstandardno, (glej opis v tehn.poročilu), npr.: PHOENIX STR/Super 5 M * GEMINI P

Διαβάστε περισσότερα

UNIVERZA V LJUBLJANI FAKULTETA ZA ELEKTROTEHNIKO. Boštjan Švigelj Aleš Praznik. Analogno-digitalna pretvorba in vrste analogno-digitalnih pretvornikov

UNIVERZA V LJUBLJANI FAKULTETA ZA ELEKTROTEHNIKO. Boštjan Švigelj Aleš Praznik. Analogno-digitalna pretvorba in vrste analogno-digitalnih pretvornikov UNIVERZA V LJUBLJANI FAKULTETA ZA ELEKTROTEHNIKO Boštjan Švigelj Aleš Praznik Analogno-digitalna pretvorba in vrste analogno-digitalnih pretvornikov Seminarska naloga pri predmetu Merilni pretvorniki Ljubljana,

Διαβάστε περισσότερα

Matematika. Funkcije in enačbe

Matematika. Funkcije in enačbe Matematika Funkcije in enačbe (1) Nariši grafe naslednjih funkcij: (a) f() = 1, (b) f() = 3, (c) f() = 3. Rešitev: (a) Linearna funkcija f() = 1 ima začetno vrednost f(0) = 1 in ničlo = 1/. Definirana

Διαβάστε περισσότερα

APROKSIMACIJA FUNKCIJA

APROKSIMACIJA FUNKCIJA APROKSIMACIJA FUNKCIJA Osnovni koncepti Gradimir V. Milovanović MF, Beograd, 14. mart 2011. APROKSIMACIJA FUNKCIJA p.1/46 Osnovni problem u TA Kako za datu funkciju f iz velikog prostora X naći jednostavnu

Διαβάστε περισσότερα

Pošto pretvaramo iz veće u manju mjernu jedinicu broj 2.5 množimo s 1000,

Pošto pretvaramo iz veće u manju mjernu jedinicu broj 2.5 množimo s 1000, PRERAČUNAVANJE MJERNIH JEDINICA PRIMJERI, OSNOVNE PRETVORBE, POTENCIJE I ZNANSTVENI ZAPIS, PREFIKSKI, ZADACI S RJEŠENJIMA Primjeri: 1. 2.5 m = mm Pretvaramo iz veće u manju mjernu jedinicu. 1 m ima dm,

Διαβάστε περισσότερα

Συστήματα Μικροϋπολογιστών

Συστήματα Μικροϋπολογιστών Συστήματα Μικροϋπολογιστών Συστήματα Μνημών Υπεύθυνος Μαθήματος: K. ΠΕΚΜΕΣΤΖΗ Άδεια Χρήσης Το παρόν εκπαιδευτικό υλικό υπόκειται σε άδειες χρήσης Creative Commons. Για εκπαιδευτικό υλικό, όπως εικόνες,

Διαβάστε περισσότερα

Matematika 1. Gabrijel Tomšič Bojan Orel Neža Mramor Kosta

Matematika 1. Gabrijel Tomšič Bojan Orel Neža Mramor Kosta Matematika Gabrijel Tomšič Bojan Orel Neža Mramor Kosta 6. november 200 Poglavje 2 Zaporedja in številske vrste 2. Zaporedja 2.. Uvod Definicija 2... Zaporedje (a n ) = a, a 2,..., a n,... je predpis,

Διαβάστε περισσότερα

Digitalne strukture: učno gradivo s predavanj

Digitalne strukture: učno gradivo s predavanj Digitalne strukture: učno gradivo s predavanj Tadej Kotnik Univerza v Ljubljani Fakulteta za elektrotehniko šolsko leto 2010/2011 http://lbk.fe.uni-lj.si/pdfs/ds-predavanja.pdf Številski sistemi in kode

Διαβάστε περισσότερα

+105 C (plošče in trakovi +85 C) -50 C ( C)* * Za temperature pod C se posvetujte z našo tehnično službo. ϑ m *20 *40 +70

+105 C (plošče in trakovi +85 C) -50 C ( C)* * Za temperature pod C se posvetujte z našo tehnično službo. ϑ m *20 *40 +70 KAIFLEX ST Tehnični podatki Material Izjemno fleksibilna zaprtocelična izolacija, fleksibilna elastomerna pena (FEF) Opis Uporaba Temperaturno območje Toplotna prevodnost W/(m K ) pri različnih srednjih

Διαβάστε περισσότερα

1 Fibonaccijeva stevila

1 Fibonaccijeva stevila 1 Fibonaccijeva stevila Fibonaccijevo število F n, kjer je n N, lahko definiramo kot število načinov zapisa števila n kot vsoto sumandov, enakih 1 ali Na primer, število 4 lahko zapišemo v obliki naslednjih

Διαβάστε περισσότερα

Matrike. Poglavje II. Matrika je pravokotna tabela realnih števil. Na primer: , , , 0 1

Matrike. Poglavje II. Matrika je pravokotna tabela realnih števil. Na primer: , , , 0 1 Poglavje II Matrike Matrika je pravokotna tabela realnih števil Na primer: [ ] 1 1 1, 2 3 1 1 0 1 3 2 1, 0 1 4 [ ] 2 7, Matrika je sestavljena iz vrstic in stolpcev Vrstici matrike [ ] 1 1 1 2 3 1 [ ]

Διαβάστε περισσότερα

1. TVORBA ŠIBKEGA (SIGMATNEGA) AORISTA: Največ grških glagolov ima tako imenovani šibki (sigmatni) aorist. Osnova se tvori s. γραψ

1. TVORBA ŠIBKEGA (SIGMATNEGA) AORISTA: Največ grških glagolov ima tako imenovani šibki (sigmatni) aorist. Osnova se tvori s. γραψ TVORBA AORISTA: Grški aorist (dovršnik) izraža dovršno dejanje; v indikativu izraža poleg dovršnosti tudi preteklost. Za razliko od prezenta ima aorist posebne aktivne, medialne in pasivne oblike. Pri

Διαβάστε περισσότερα

VEKTORJI. Operacije z vektorji

VEKTORJI. Operacije z vektorji VEKTORJI Vektorji so matematični objekti, s katerimi opisujemo določene fizikalne količine. V tisku jih označujemo s krepko natisnjenimi črkami (npr. a), pri pisanju pa s puščico ( a). Fizikalne količine,

Διαβάστε περισσότερα

1. Enosmerna vezja. = 0, kar zaključena

1. Enosmerna vezja. = 0, kar zaključena 1. Enosmerna vezja Vsebina polavja: Kirchoffova zakona, Ohmov zakon, električni viri (idealni realni, karakteristika vira, karakteristika bremena matematično in rafično, delovna točka). V enosmernih vezjih

Διαβάστε περισσότερα

Analiza nadomestnega vezja transformatorja s programskim paketom SPICE OPUS

Analiza nadomestnega vezja transformatorja s programskim paketom SPICE OPUS s programskim paketom SPICE OPS Danilo Makuc 1 VOD SPICE OPS je brezplačen programski paket za analizo električnih vezij. Gre za izpeljanko simulatorja SPICE3, ki sicer ne ponuja programa za shematski

Διαβάστε περισσότερα

Poliedri Ines Pogačar 27. oktober 2009

Poliedri Ines Pogačar 27. oktober 2009 Poliedri Ines Pogačar 27. oktober 2009 Pri linearnem programiranju imamo opravka s končnim sistemom neenakosti in končno spremenljivkami, torej je množica dopustnih rešitev presek končno mnogo polprostorov.

Διαβάστε περισσότερα

Elektronski elementi so osnovni gradniki vsakega vezja. Imajo bodisi dva, tri ali več priključkov.

Elektronski elementi so osnovni gradniki vsakega vezja. Imajo bodisi dva, tri ali več priključkov. Elementi in vezja Elektronski elementi so osnovni gradniki vsakega vezja. Imajo bodisi dva, tri ali več priključkov. kov. Zaprti so v kovinska, plastična ali keramična ohišja, na katerih so osnovne označbe

Διαβάστε περισσότερα

.Λιούπης. Ψηφιακά Ηλεκτρονικά - Ηµιαγωγικές Μνήµες 1

.Λιούπης. Ψηφιακά Ηλεκτρονικά - Ηµιαγωγικές Μνήµες 1 Ψηφιακά Ηλεκτρονικά Ηµιαγωγικές µνήµες.λιούπης Ψηφιακά Ηλεκτρονικά - Ηµιαγωγικές Μνήµες 1 Τυπική εσωτερική οργάνωση µνήµης γραµµές λέξης wordlines () κύκλωµα προφόρτισης (pre-charge circuit) γραµµές ψηφίου

Διαβάστε περισσότερα

Apsolutno neprekidne raspodele Raspodele apsolutno neprekidnih sluqajnih promenljivih nazivaju se apsolutno neprekidnim raspodelama.

Apsolutno neprekidne raspodele Raspodele apsolutno neprekidnih sluqajnih promenljivih nazivaju se apsolutno neprekidnim raspodelama. Apsolutno neprekidne raspodele Raspodele apsolutno neprekidnih sluqajnih promenljivih nazivaju se apsolutno neprekidnim raspodelama. a b Verovatno a da sluqajna promenljiva X uzima vrednost iz intervala

Διαβάστε περισσότερα

IZVODI ZADACI ( IV deo) Rešenje: Najpre ćemo logaritmovati ovu jednakost sa ln ( to beše prirodni logaritam za osnovu e) a zatim ćemo

IZVODI ZADACI ( IV deo) Rešenje: Najpre ćemo logaritmovati ovu jednakost sa ln ( to beše prirodni logaritam za osnovu e) a zatim ćemo IZVODI ZADACI ( IV deo) LOGARITAMSKI IZVOD Logariamskim izvodom funkcije f(), gde je >0 i, nazivamo izvod logarima e funkcije, o jes: (ln ) f ( ) f ( ) Primer. Nadji izvod funkcije Najpre ćemo logarimovai

Διαβάστε περισσότερα

Izmenični signali metode reševanja vezij (21)

Izmenični signali metode reševanja vezij (21) Izmenični sinali_metode_resevanja (21b).doc 1/8 03/06/2006 Izmenični sinali metode reševanja vezij (21) Načine reševanja enosmernih vezij smo že spoznali. Pri vezjih z izmeničnimi sinali lahko uotovimo,

Διαβάστε περισσότερα

Betonske konstrukcije 1 - vežbe 3 - Veliki ekscentricitet -Dodatni primeri

Betonske konstrukcije 1 - vežbe 3 - Veliki ekscentricitet -Dodatni primeri Betonske konstrukcije 1 - vežbe 3 - Veliki ekscentricitet -Dodatni primeri 1 1 Zadatak 1b Čisto savijanje - vezano dimenzionisanje Odrediti potrebnu površinu armature za presek poznatih dimenzija, pravougaonog

Διαβάστε περισσότερα