Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "nkavv@physics.auth.gr nkavv@uop.gr"

Transcript

1 Γλώσσες Περιγραφής Υλικού Υποδειγματική εργασία Νικόλαος Καββαδίας 19 Μαΐου 2009

2 Σκιαγράφηση της διάλεξης Παρουσίαση υποδειγματικής εργασίας Γενικός οδηγός και συμβουλές για την πραγματοποίηση της εξαμηνιαίας εργασίας για το μάθημα Κατανόηση του ζητούμενου - Εξαγωγή προδιαγραφών Ανάπτυξη του κυκλώματος από προδιαγραφές Σχεδιασμός του κυκλώματος Προσομοίωση και έλεγχος ορθής λειτουργίας Οργάνωση της αναφοράς για την εργασία

3 Αντικείμενο μιας εργασίας - Τιτλοδότηση Τίτλος της εργασίας: Ενας τίτλος είναι επαρκώς περιγραφικός, όσο το δυνατόν πιο σύντομος και δεν παραπλανεί, π.χ.: Σχεδιασμός μιας μονάδας ελέγχου φωλιασμένων βρόχων με μηδενική καθυστέρηση Ανάλυση της πληροφορίας του τίτλου του παραδείγματος Σχεδιασμός: η εργασία περιγράφει το σχέδιο ενός κυκλώματος μονάδας ελέγχου φωλιασμένων βρόχων: το είδος του κυκλώματος και σε τι εξυπηρετεί η λειτουργία του μηδενική καθυστέρηση: ιδιαίτερο χαρακτηριστικό-ιδιότητα του κυκλώματος που σχεδιάστηκε Ανάπτυξη και σχεδιασμός Ανάπτυξη: μέρος της εργασίας είναι και ο προσδιορισμός των προδιαγραφών λειτουργίας του κυκλώματος Σχεδιασμός: ζητούμενο είναι μόνο η αναπαραγωγή του κυκλώματος από αναλυτική περιγραφή με κάποιον τρόπο

4 Ανάπτυξη προδιαγραφών του κυκλώματος Πότε η ανάπτυξη των προδιαγραφών λειτουργίας ενός κυκλώματος είναι απαραίτητη Οταν δεν δίνεται σχηματικό διάγραμμα του κυκλώματος Οταν δεν δίνεται διάγραμμα αλγοριθμικής ροής Πολλές φορές ζητείται να εξαχθούν από αλγοριθμική περιγραφή υπό μορφή πηγαίου κώδικα σε διαδικαστική γλώσσα προγραμματισμού (π.χ. ANSI C) Προδιαγραφές ενός κυκλώματος Είσοδος και έξοδος: διεπαφή (interface) Προσδοκώμενο αποτέλεσμα Απαιτήσεις ταχύτητας επεξεργασίας ή χρονισμού (μέγιστος χρόνος για τη διεκπεραίωση της επίλυσης του προβλήματος) Απαίτηση για μέγιστη επιφάνεια υλικού (π.χ. η συνολική επιφάνεια ενός διαθέσιμου FPGA) Εκτελέσιμη περιγραφή του κυκλώματος (για προσομοίωση) Τεκμηρίωση του κατασκευαστή (datasheet)

5 Υποδειγματική εργασία: Εισαγωγή (1) Τίτλος Σχεδιασμός μιας μονάδας ελέγχου φωλιασμένων βρόχων με μηδενική καθυστέρηση Υπόβαθρο του προβλήματος Βρόχος (loop): ακολουθία εντολών (σε επίπεδο λογισμικού ενός μικροεπεξεργαστή) ή μικρολειτουργιών οι οποίες εμπεριέχονται σε μία δομή επανάληψης Οι επαναλήψεις καθορίζονται από τρεις παραμέτρους: την αρχική τιμή (initial), την τελική τιμή (final) και την τιμή βήματος (step) Η τρέχουσα επανάληψη καθορίζεται από το δείκτη βρόχου (loop index) Δύο ή περισσότεροι βρόχοι μπορεί να είναι φωλιασμένοι (ο ένας να περικλείει πλήρως τον άλλο)

6 Υποδειγματική εργασία: Εισαγωγή (2) Υπόβαθρο του προβλήματος (συνέχεια) Για την εκτέλεση ενός βρόχου σε έναν μικροεπεξεργαστή, χρειάζονται οι ακόλουθες λειτουργίες: 1 Εκτέλεση όλων των χρήσιμων υπολογιστικά λειτουργιών (περικλειόμενες εντολές στη δομή επανάληψης) 2 Αύξηση του δείκτη για τη δεικτοδότηση της επόμενης επανάληψης 3 Σύγκριση του δείκτη με την παράμετρο final 4 Άλμα στην αρχή του βρόχου εφόσον αυτή δεν είναι η τελευταία επανάληψη

7 Περιγραφές βρόχων Βρόχος FOR στην C for (i=0; i<10; i++) { /* statements */ } Κώδικας συμβολομεταφραστή (για έναν τυπικό RISC) για την περίπτωση του απλού βρόχου Περίπτωση 1 MOVE R1, #0 loop_start:... ADD R1, R1, #1 SEQI R2, R1, #10 BEQZ R2, loop_start Περίπτωση 2 Διπλά φωλιασμένοι βρόχοι FOR στην C for (i=0; i<10; i++) { for (j=1; j<8; j+=2) { /* statements */ } } MOVE R1, #0 MOVE R2, #10 loop_start:... INC R1 JEQ R1, R2, loop_start

8 Γενική περίπτωση των πλήρως φωλιασμένων βρόχων Πλήρως φωλιασμένοι βρόχοι: δομή φωλιασμένων βρόχων με χρήσιμες υπολογιστικά λειτουργίες (statements) μόνο στον εσώτερο βρόχο (inner loop) Κώδικας C για n πλήρως φωλιασμένους βρόχους for (index1=initial1; index1 <=final1; index1+=step1) { for (index2=initial2; index2 <=final2; index2+=step2) {... for (indexn=initialn; indexn <=finaln; indexn+=stepn) { statement1; statement2;... statementm; } } }

9 Ζητούμενο της εργασίας Να σχεδιαστεί μονάδα ελέγχου πλήρως φωλιασμένων βρόχων με συγκεκριμένα χαρακτηριστικά Χαρακτηριστικά Προϋποθέσεις Η αποθήκευση των παραμέτρων βρόχου (αρχική, τελική και τιμή βήματος) γίνονται εξωτερικά της μονάδας Οι τιμές των παραμέτρων βρόχου μπορεί να μεταβάλλονται μόνον εκτός της δομής βρόχων Περιορισμοί Η μεταβολή ενός δείκτη μπορεί να γίνει μόνο με την πρόσθεση ενός βήματος και όχι με άλλη μαθηματική έκφραση Η αρχική τιμή δείκτη είναι ίση με μηδέν Δυνατότητες Να μπορεί να σχεδιαστεί κύκλωμα για οποιοδήποτε n (συνολικός αριθμός βρόχων) ζητηθεί Με την ολοκλήρωση της τελικής επανάληψης του εσώτερου βρόχου, να γίνεται ταυτόχρονη επαναρχικοποίηση όλων των βρόχων

10 Εισαγωγή στο πρόβλημα Εισαγωγή για το αντικείμενο της εργασίας και προαιρετικά σύνοψη της εργασίας Στην εργασία αυτή, περιγράφεται [η ανάπτυξη και] ο σχεδιασμός μιας μονάδας για την εκτέλεση πλήρως φωλιασμένων βρόχων στο υλικό. Η μονάδα η οποία αναπτύχθηκε ονομάζεται HWLU: Hardware Looping Unit και ο σχεδιασμός της έγινε στη γλώσσα περιγραφής υλικού VHDL. Κύρια χρήση της μονάδας αυτής είναι η ενίσχυση της λογικής ελέγχου δομών βρόχων σε μη προγραμματιζόμενους επεξεργαστές, με την υλοποίηση των λειτουργιών βρόχου (δεικτοδότηση και έλεγχος της διαδοχής των επαναλήψεων) στο υλικό. Ενα πλεονέκτημα της μονάδας είναι οι διαδοχικές τελικές επαναλήψεις σε μια δομή φωλιασμένων βρόχων μπορούν να εκτελεστούν σε ένα μόνο κύκλο μηχανής. Ενδεικτικά, αναφέρεται ότι η μονάδα μπορεί να χρησιμοποιηθεί σε εφαρμογές πολυδιάστατης επεξεργασίας σήματος (multidimensional signal processing) όπως είναι η κωδικοποίηση στατικής εικόνας και η συμπίεση βίντεο κατά το πρότυπο MPEG-4.

11 Περιγραφή υψηλού επιπέδου του συνολικού κυκλώματος Σχηματικό διάγραμμα του συνολικού κυκλώματος (δομική περιγραφή σε VHDL) χωρίς λεπτομέρειες για την υλοποίηση των υπομονάδων Οι διασυνδέσεις των υπομονάδων είναι ορατές (SIGNAL στη VHDL) Ορισμένα κοινά σήματα όπως τα clock, reset μπορούν να παραλειφθούν

12 Ανάλυση της αρχιτεκτονικής και της λειτουργίας του κυκλώματος (1) Η ανάλυση της λειτουργίας του κυκλώματος γίνεται σε σχετικά υψηλό επίπεδο, και έχοντας ως αναφορά συνήθως το σχηματικό διάγραμμα του κυκλώματος. Εναλλακτικά μπορεί να γίνει με βάση προδιαγραφή της λειτουργίας του κυκλώματος σε μορφή ψευδοκώδικα ή ANSI C αν και αυτός ο τρόπος δεν εξυπηρετεί κάποιον που έρχεται για πρώτη φορά σε επαφή με το πρόβλημα Η μονάδα HWLU παρουσιάζεται στο σχήμα... Ο απαιτούμενος αριθμός επαναλήψεων για κάθε βρόχο (ίσος με την τελική τιμή μείον ένα ενώ είναι initial0) δίνεται από τις είσοδους loop<i>_count όπου <i> η απαρίθμηση του i-οστού βρόχου. Οι τιμές των δεικτών βρόχου (index) παραγόνται σε κάθε κύκλο μηχανής. Κατά τον επόμενο κύκλο, της τελικής επανάληψης ενός βρόχου, ο δείκτης επιστρέφει στην αρχική παράμετρο.

13 Ανάλυση της αρχιτεκτονικής και της λειτουργίας του κυκλώματος (2) (συνέχεια) Ο κωδικοποιητής προτεραιότητας (priority encoder) υλοποιεί ουσιαστικά τη λογική ελέγχου στο κύκλωμα και αποτελεί ένα συνδυαστικό κύκλωμα. Ο κωδικοποιητής προτεραιότητας ανιχνεύει τις εξόδους των συγκριτών ισότητας (cmpeq) οι οποίοι συγκρίνουν τις τιμές loop<i>_count με τις αντίστοιχες index<i> + 1 για τη διαπίστωση του αν πρόκειται για τελική επανάληψη του αντίστοιχου βρόχου ή όχι. Επίσης δέχεται μία είσοδο (task_loop<n>_end), όπου n είναι η απαρίθμηση του εσώτερου βρόχου, η οποία είναι ίση με 1 όταν ολοκληρώνεται και η εκτέλεση της τελευταίας χρήσιμης λειτουργίας στο βρόχο αυτό. Από τον κωδικοποιητή παράγονται η σημαία τερματισμού της δομής βρόχων (loops_end) και ένα εσωτερικό σήμα reset_vct_ix προς τις μονάδες αύξησης του δείκτη (index inc_by_1). Με το σήμα reset_vct_ix όταν ένας συγκεκριμένος βρόχος τερματίζει, μηδενίζονται οι εσώτεροι του βρόχοι ενώ ο δείκτης του άμεσα εξώτερου βρόχου αυξάνεται κατά ένα. Όταν η συνολική δομή βρόχου τερματιστεί το σήμα loops_end γίνεται ένα και στην περίπτωση που η μονάδα HWLU χρησιμοποιείται ως τμήμα ενός μεγαλύτερου συστήματος, ο έλεγχος επιστρέφει στο κυρίως σύστημα.

14 Διεπαφή του κυκλώματος (1) Η διεπαφή του κυκλώματος δίνεται με τη μορφή σχηματικού αλλά και ως πίνακας στον οποίο φαίνονται Το εύρος των σημάτων Η κατευθυντικότητα Η περιγραφή του ρόλου τους Για το κύκλωμα HWLU: Θύρα Εύρος Κατευθ. Περιγραφή clk 1 Είσοδος Σήμα ρολογιού reset 1 Είσοδος Σήμα επανατοποθέτησης task_loop<n>_end 1 Είσοδος Σήμα τερματισμού των χρήσιμων υπολογισμών που συμβαίνουν κατά την τρέχουσα επανάληψη του εσώτερου βρόχου loop1_count DW Είσοδος Αριθμός επαναλήψεων του βρόχου 1... loop<n>_count DW Είσοδος Αριθμός επαναλήψεων του βρόχου n loops_end 1 Εξοδος Σημαία τερματισμού εκτέλεσης της δομής βρόχου index1 DW Εξοδος Τρέχουσα τιμή δείκτη για το βρόχο 1... index<n> DW Εξοδος Τρέχουσα τιμή δείκτη για το βρόχο n

15 Διεπαφή του κυκλώματος (2) Σημαντικές παρατηρήσεις Οι τιμές δείκτη λαμβάνονται από καταχωρητή Η είσοδος επανατοποθέτησης (reset) χρησιμοποιείται ως σύγχρονη στις μονάδες αύξησης του δείκτη και ως ασύγχρονη για τη λειτουργία reset control Σχηματική αναπαράσταση της διεπαφής του κυκλώματος

16 Περιγραφή των υπομονάδων του κυκλώματος Οι υπομονάδες της HWLU περιγράφονται ξεχωριστά στις δικές τους υποενότητες Δίνεται σύντομη περιγραφή λειτουργίας, ένα διάγραμμα βαθμίδων (προαιρετικά) και ο πηγαίος κώδικας σε VHDL Παράδειγμα: ο συγκριτής ισότητας cmpeq library IEEE; use IEEE.std_logic_1164.all; entity cmpeq is generic ( DW : integer := 8 port ( a, b : in std_logic_vector(dw-1 downto 0 reset : in std_logic; a_eq_b : out std_logic end cmpeq; architecture rtl of cmpeq is begin a_eq_b <= 1 when (a = b and reset = 0 ) else 0 ; end rtl;

17 Μονάδα αύξησης του δείκτη library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.std_logic_arith.all; entity index_inc is generic ( DW : integer := 8 port ( clk : in std_logic; reset : in std_logic; inc_en : in std_logic; index_plus_one, index_out : out std_logic_vector(dw -1 downto 0) end index_inc; architecture rtl of index_inc is component add... end component; component reg_dw... end component; constant one_dw : std_logic_vector(dw -1 downto 0) := conv_std_logic_vector(1,dw signal index_rin, index_r : std_logic_vector(dw-1 downto 0 begin U_adder : add generic map (DW => DW) port map ( a => index_r, b => one_dw, sum => index_rin U_reg : reg generic map (DW => DW) port map ( clk => clk, reset => reset, load => inc_en, d => index_rin, q => index_r index_out <= index_r; index_plus_one <= index_rin; end rtl;

18 Παραμετρικότητα κυκλωμάτων (1) Τα δύο κυκλώματα της HWLU που οφείλουν να είναι παραμετρικά ως προς τον συνολικό αριθμό των υποστηριζόμενων βρόχων (n) είναι: priority_encoder.vhd: ο κωδικοποιητής προτεραιότητας hwlu.vhd: το κύκλωμα HWLU στο οποίο χρησιμοποιούνται τα κατώτερα ιεραρχικά κυκλώματα (υπομονάδες) Υλοποίηση της παραμετρικότητας Με τις εντολές FOR...GENERATE και IF...GENERATE επιτυγχάνεται η παραμετρική περιγραφή ενός κυκλώματος εφόσον παρουσιάζει κανονικότητα Με σχεδιασμό γεννήτορα κυκλωμάτων σε κάποια γλώσσα προγραμματισμού όπως η C Γέννηση κυκλώματος για συγκεκριμένη, κάθε φορά, τιμή του n

19 Παραμετρικότητα κυκλωμάτων (2) Τμήμα περιγραφής από το αρχείο genhwlu.c το οποίο παράγει το hwlu.vhd /* Iterate through all loops */ for (i=1; i<=nlp; i++) { /* Generate assignment code */ fprintf(outfile, "\ttemp_loop_count( ((NLP -%d)*dw -1) downto ((NLP -%d)*dw) ) <= loop%d_count;\n", i-1, i, i }

20 Κωδικοποιητής προτεραιότητας για n 3... entity priority_encoder is generic ( NLP : integer := 3 port ( flag : in std_logic_vector(nlp -1 downto 0 task_loop3_end : in std_logic; incl : out std_logic_vector(nlp -1 downto 0 reset_vct : out std_logic_vector(nlp -1 downto 0 loops_end : out std_logic end priority_encoder; architecture rtl of priority_encoder is begin process (flag, task_loop5_end) begin -- if loop2 is terminating: -- reset loops 2-0 to initial index if (flag(2 downto 0) = "111") then incl <= "000"; reset_vct <= "111"; loops_end <= 1 ; -- else if loop1 is terminating: increment loop2 index reset loop1 to initial index elsif (flag(1 downto 0) = "11") then incl <= "100"; reset_vct <= "011"; loops_end <= 0 ; -- else if loop0 is terminating: increment loop1 index reset loop0 to initial index elsif (flag(0 downto 0) = "1") then incl <= "010"; reset_vct <= "001"; loops_end <= 0 ; -- else increment loop -1 index else reset_vct <= "000"; loops_end <= 0 ; if (task_loop3_end = 1 ) then incl <= "001"; else incl <= "000"; end if; end if; end process; end rtl;

21 Το συνολικό κύκλωμα: HWLU (1) library IEEE; use IEEE.std_logic_1164.all; entity hwlu is generic ( DW : integer := 8; NLP : integer := 3 port ( clk : in std_logic; reset : in std_logic; task_loop3_end : in std_logic; loop1_count : in std_logic_vector(dw -1 downto 0 loop2_count : in std_logic_vector(dw -1 downto 0 loop3_count : in std_logic_vector(dw -1 downto 0 index1 : out std_logic_vector(dw-1 downto 0 index2 : out std_logic_vector(dw-1 downto 0 index3 : out std_logic_vector(dw-1 downto 0 loops_end : out std_logic end hwlu; architecture structural of hwlu is -- Component declarations component cmpeq component index_inc component priority_encoder...

22 Το συνολικό κύκλωμα: HWLU (2) signal flag : std_logic_vector(nlp -1 downto 0 signal incl : std_logic_vector(nlp -1 downto 0 signal temp_loop_count : std_logic_vector(nlp*dw -1 downto 0 signal temp_index : std_logic_vector(nlp*dw -1 downto 0 signal temp_index_plus_one : std_logic_vector(nlp*dw -1 downto 0 signal reset_vct_penc : std_logic_vector(nlp -1 downto 0 signal reset_vct_ix : std_logic_vector(nlp -1 downto 0 begin temp_loop_count( ((NLP -0)*DW -1) downto ((NLP -1)*DW) ) <= loop1_count; temp_loop_count( ((NLP -1)*DW -1) downto ((NLP -2)*DW) ) <= loop2_count; temp_loop_count( ((NLP -2)*DW -1) downto ((NLP -3)*DW) ) <= loop3_count; GEN_COMPARATORS: for i in 0 to NLP -1 generate U_cmp : cmpeq generic map (DW => DW) port map ( a => temp_index_plus_one( ((i+1)*dw -1) downto (i*dw) ), b => temp_loop_count( ((i+1)*dw -1) downto (i*dw) ), reset => reset, a_eq_b => flag(i) end generate GEN_COMPARATORS;

23 Το συνολικό κύκλωμα: HWLU (3) U_priority_enc : priority_encoder generic map (NLP => NLP) port map ( flag => flag, task_loop5_end => task_loop5_end, incl => incl, reset_vct => reset_vct_penc, loops_end => loops_end GEN_RESET_SEL: for i in 0 to NLP -1 generate reset_vct_ix(i) <= reset_vct_penc(i) or reset; end generate GEN_RESET_SEL; GEN_INC_IX: for i in 0 to NLP -1 generate U_inc_ix1 : index_inc generic map (DW => DW) port map ( clk => clk, reset => reset_vct_ix(i), inc_en => incl(i), index_plus_one => temp_index_plus_one( ((i+1)*dw -1) downto (i*dw) ), index_out => temp_index( ((i+1)*dw -1) downto (i*dw) ) end generate GEN_INC_IX; index1 <= temp_index( ((NLP -0)*DW -1) downto ((NLP -1)*DW) index2 <= temp_index( ((NLP -1)*DW -1) downto ((NLP -2)*DW) index3 <= temp_index( ((NLP -2)*DW -1) downto ((NLP -3)*DW) end structural;

24 Παραμετρική εκδοχή της HWLU χωρίς την ανάγκη γεννήτορα στην C (1)... entity hwlu is generic ( DW : integer := 8; NLP : integer := 3 port ( clk : in std_logic; reset : in std_logic; task_loop_end : in std_logic; loop_count : in std_logic_vector(nlp*dw-1 downto 0 index : out std_logic_vector(nlp*dw -1 downto 0 loops_end : out std_logic end hwlu;... temp_loop_count <= loop_count; GEN_COMPARATORS: for i in 0 to NLP -1 generate U_cmp : cmpeq generic map (DW => DW) port map ( a => temp_index_plus_one( ((i+1)*dw -1) downto (i*dw) ), b => temp_loop_count( ((i+1)*dw -1) downto (i*dw) ), reset => reset, a_eq_b => flag(i) end generate GEN_COMPARATORS;

25 Παραμετρική εκδοχή της HWLU χωρίς την ανάγκη γεννήτορα στη C (2) U_priority_enc : priority_encoder generic map (NLP => NLP) port map ( flag => flag, task_loop_end => task_loop_end, incl => incl, reset_vct => reset_vct_penc, loops_end => loops_end GEN_RESET_SEL: for i in 0 to NLP -1 generate reset_vct_ix(i) <= reset_vct_penc(i) or reset; end generate GEN_RESET_SEL; GEN_INC_IX: for i in 0 to NLP -1 generate U_inc_ix1 : index_inc generic map (DW => DW) port map ( clk => clk, reset => reset_vct_ix(i), inc_en => incl(i), index_plus_one => temp_index_plus_one( ((i+1)*dw -1) downto (i*dw) ), index_out => index( ((i+1)*dw -1) downto (i*dw) ) end generate GEN_INC_IX; end structural;

26 Testbench για τον έλεγχο της λειτουργίας του κυκλώματος (1) Για τον έλεγχο της περίπτωσης του βρόχου: for (i1=0; i1 <2; i1++) { for (i2=0; i2 <6; i2++) { for (i3=0; i3 <4; i3++) {... } } } Περιγραφή του testbench library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; use IEEE.std_logic_textio.all; use STD.textio.all; entity hwlu_top_tb is generic ( DW : integer := 8; NLP : integer := 3 end hwloop_top_tb; architecture tb_arch of hwlu_top_tb is -- Component declaration of the DUT component hwlu is...

27 Testbench για τον έλεγχο της λειτουργίας του κυκλώματος (2) Περιγραφή του testbench (συνέχεια) -- Signal declarations signal clk : std_logic; signal reset : std_logic; signal task_loop_end : std_logic; signal loop1_count : std_logic_vector(dw -1 downto 0 signal loop2_count : std_logic_vector(dw -1 downto 0 signal loop3_count : std_logic_vector(dw -1 downto 0 signal index1 : std_logic_vector(dw -1 downto 0 signal index2 : std_logic_vector(dw -1 downto 0 signal index3 : std_logic_vector(dw -1 downto 0 signal loops_end : std_logic; -- Constant declarations constant CLK_PERIOD : time := 10 ns; begin UUT : hwlu generic map (DW => DW, NLP => NLP) port map ( clk => clk, reset => reset, task_loop_end => task_loop_end, loop1_count => loop1_count, loop2_count => loop2_count, loop3_count => loop3_count, index1 => index1, index2 => index2, index3 => index3, loops_end => loops_end

28 Testbench για τον έλεγχο της λειτουργίας του κυκλώματος (3) Περιγραφή του testbench (συνέχεια) CLK_GEN_PROC: process(clk) begin if (clk = U ) then clk <= 1 ; else clk <= not clk after CLK_PERIOD/2; end if; end process CLK_GEN_PROC; DATA_STIM: process begin reset <= 0 ; task_loop3_end <= 0 ; loop1_count <= X"00"; loop2_count <= X"00"; loop3_count <= X"00"; wait for CLK_PERIOD; -- reset <= 1 ; wait for CLK_PERIOD; -- reset <= 0 ; task_loop3_end <= 1 ; loop1_count <= X"02"; loop2_count <= X"06"; loop3_count <= X"04"; wait for 201* CLK_PERIOD; end process DATA_STIM; end tb_arch;

29 Αποτελέσματα από την προσομοίωση του κυκλώματος Διαγράμματα χρονισμού του κυκλώματος

30 Οργάνωση της αναφοράς για την εργασία (1) Η γραπτή εργασία για τη VHDL έχει τη μορφή τεχνικής αναφοράς (technical report) Απαραίτητα στοιχεία Εισαγωγή (σε τι εξυπηρετεί το κύκλωμα) Περιγραφή της αρχιτεκτονικής του κυκλώματος Διεπαφή, σχηματικό διάγραμμα Περιγραφή της λειτουργίας του κυκλώματος Πως παράγονται οι έξοδοι και κάποιες τιμές των εισόδων και για δεδομένη κατάσταση (περιεχόμενα μνημών και καταχωρητών) του κυκλώματος Πως συμμετέχουν οι βασικές υπομονάδες στη λειτουργία του κυκλώματος

31 Οργάνωση της αναφοράς για την εργασία (2) Απαραίτητα στοιχεία (συνέχεια) Περιγραφή όλων των μονάδων και (αν υπάρχει) του αρχείου testbench Κώδικας VHDL Διανύσματα εισόδου/εξόδου (αν υπάρχουν) Κατάλογος αρχείων του σχεδιασμού Παρατηρήσεις (π.χ. περιοχές των τιμών εισόδου) για τη λειτουργία του κυκλώματος Παραρτήματα Γεννήτορες κώδικα VHDL σε C, BASIC, Pascal, Python, Ruby ή ότι άλλο χρησιμοποιήθηκε Σκριπτάκια (scripts) και Makefiles, αρχεία δέσμης (batch files) αν χρησιμοποιήθηκαν

32 Κατάλογος αρχείων του σχεδιασμού Αρχεία για την εργασία σχεδιασμού της μονάδας HWLU Αρχείο /rtl/add.vhd /rtl/mux2_1.vhd /rtl/reg.vhd /rtl/cmpeq.vhd /rtl/index_- inc.vhd /rtl/prenc_- loops3.vhd /rtl/hwlu_- loops3.vhd /test/hwlu_- loops3_tb.vhd /doc/hwlu.pdf /sw/genhl.c /sw/genpenc.c /sw/makefile Περιγραφή Παραμετρικός αθροιστής Πολυπλέκτης 2-σε-1 για διανύσματα Παραμετρικός καταχωρητής Συγκριτής ισότητας με είσοδο καθαρισμού Κύκλωμα αύξησης του δείκτη Κωδικοποιητής προτεραιότητας για αριθμό βρόχων n = 3 Η μονάδα HWLU για n = 3 Testbench για τη μονάδα HWLU Τεχνική αναφορά με την περιγραφή του κυκλώματος Γεννήτορας της μονάδας HWLU για συγκεκριμένες τιμές του n Γεννήτορας του κωδικοποιητή προτεραιότητας για συγκεκριμένες τιμές του n Makefile για τους γεννήτορες κυκλωμάτων

nkavv@physics.auth.gr nkavv@uop.gr

nkavv@physics.auth.gr nkavv@uop.gr Γλώσσες Περιγραφής Υλικού Μη προγραμματιζόμενοι επεξεργαστές Νικόλαος Καββαδίας nkavv@physics.auth.gr nkavv@uop.gr 26 Μαΐου 2009 Σκιαγράφηση της διάλεξης Μη προγραμματιζόμενοι επεξεργαστές Υλοποίηση με

Διαβάστε περισσότερα

Σκιαγράφηση της διάλεξης. Γλώσσες Περιγραφής Υλικού Ι. nkavv@uop.gr. Ανασκόπηση ϑεμάτων παλαιών εξετάσεων του μαθήματος. Περιεχόμενο εξετάσεων

Σκιαγράφηση της διάλεξης. Γλώσσες Περιγραφής Υλικού Ι. nkavv@uop.gr. Ανασκόπηση ϑεμάτων παλαιών εξετάσεων του μαθήματος. Περιεχόμενο εξετάσεων Σκιαγράφηση της διάλεξης Γλώσσες Περιγραφής Υλικού Ι Θέματα πρακτικής εξάσκησης Νικόλαος Καββαδίας nkavv@uop.gr 08 Ιουνίου 2011 Ανασκόπηση ϑεμάτων παλαιών εξετάσεων του μαθήματος Εξεταστική περίοδος Ιουνίου-Ιουλίου

Διαβάστε περισσότερα

Σκιαγράφηση της διάλεξης. Η εντολή ASSERT (2) nkavv@physics.auth.gr nkavv@uop.gr

Σκιαγράφηση της διάλεξης. Η εντολή ASSERT (2) nkavv@physics.auth.gr nkavv@uop.gr Σκιαγράφηση της διάλεξης Γλώσσες Περιγραφής Υλικού Δομές ελέγχου/επαλήθευσης λειτουργίας των κυκλωμάτων Νικόλαος Καββαδίας nkavv@physics.auth.gr nkavv@uop.gr Δομές ελέγχου/επαλήθευσης λειτουργίας των κυκλωμάτων

Διαβάστε περισσότερα

Γλώσσες Περιγραφής Υλικού Ι

Γλώσσες Περιγραφής Υλικού Ι Γλώσσες Περιγραφής Υλικού Ι Θέματα πρακτικής εξάσκησης Νικόλαος Καββαδίας nkavv@uop.gr 29 Μαΐου 2012 Σκιαγράφηση της διάλεξης Ανασκόπηση ϑεμάτων παλαιών εξετάσεων του μαθήματος Εξεταστική περίοδος Ιουνίου-Ιουλίου

Διαβάστε περισσότερα

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 2

Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 2 Τμήμα Μησανικών Πληποφοπικήρ, Τ.Ε.Ι. Ηπείπος Ακαδημαϊκό Έτορ 2016-2017, 6 ο Εξάμηνο Τυπικζσ Γλϊςςεσ Περιγραφισ Υλικοφ Διάλεξθ 2 Διδάςκων Τςιακμάκθσ Κυριάκοσ, Phd MSc in Electronic Physics (Radioelectrology)

Διαβάστε περισσότερα

Σκιαγράφηση της διάλεξης. Γλώσσες Περιγραφής Υλικού Ι. nkavv@uop.gr. Περισσότερα για τα αρθρώματα Αναθέσεις και τελεστές Συντρέχων κώδικας

Σκιαγράφηση της διάλεξης. Γλώσσες Περιγραφής Υλικού Ι. nkavv@uop.gr. Περισσότερα για τα αρθρώματα Αναθέσεις και τελεστές Συντρέχων κώδικας Σκιαγράφηση της διάλεξης Γλώσσες Περιγραφής Υλικού Ι Μοντελοποίηση συνδυαστικών κυκλωμάτων Νικόλαος Καββαδίας nkavv@uop.gr 06 Μαρτίου 2012 Περισσότερα για τα αρθρώματα Αναθέσεις και τελεστές Συντρέχων

Διαβάστε περισσότερα

Σκιαγράφηση της διάλεξης. Γλώσσες Περιγραφής Υλικού Ι. Μηχανές Πεπερασμένων Καταστάσεων: Εισαγωγή και.

Σκιαγράφηση της διάλεξης. Γλώσσες Περιγραφής Υλικού Ι. Μηχανές Πεπερασμένων Καταστάσεων: Εισαγωγή και. Σκιαγράφηση της διάλεξης Γλώσσες Περιγραφής Υλικού Ι Μηχανές πεπερασμένων καταστάσεων Νικόλαος Καββαδίας nkavv@uop.gr 24 Απριλίου 2012 Μηχανές πεπερασμένων καταστάσεων (FSM: Finite-State Machine) Ορισμός

Διαβάστε περισσότερα

Γλώσσες Περιγραφής Υλικού Ι

Γλώσσες Περιγραφής Υλικού Ι Γλώσσες Περιγραφής Υλικού Ι Μηχανές πεπερασμένων καταστάσεων Νικόλαος Καββαδίας nkavv@uop.gr 24 Απριλίου 2012 Σκιαγράφηση της διάλεξης Μηχανές πεπερασμένων καταστάσεων (FSM: Finite-State Machine) Ορισμός

Διαβάστε περισσότερα

ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ Σχεδίαση Λογικών Κυκλωμάτων

ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ Σχεδίαση Λογικών Κυκλωμάτων ΨΗΦΙΑΚΑ ΗΛΕΚΤΡΟΝΙΚΑ Σχεδίαση Λογικών Κυκλωμάτων Γιάννης Λιαπέρδος [gliaperd@teikal.gr] Μάρτιος 2012 1 Ηλεκτρονικά Ελεγχόμενοι ιακόπτες Για την υλοποίηση των λογικών κυκλωμάτων χρησιμοποιούνται ηλεκτρονικά

Διαβάστε περισσότερα

Γλώσσες Περιγραφής Υλικού Μηχανές πεπερασμένων καταστάσεων Νικόλαος Καββαδίας nkavv@physics.auth.gr, nkavv@uop.gr 12 Μαΐου 2009 Σκιαγράφηση της διάλεξης Μηχανές πεπερασμένων καταστάσεων (FSM: Finite-State

Διαβάστε περισσότερα

Σκιαγράφηση της διάλεξης. Μηχανές Πεπερασμένων Καταστάσεων: Εισαγωγή και.

Σκιαγράφηση της διάλεξης. Μηχανές Πεπερασμένων Καταστάσεων: Εισαγωγή και. Σκιαγράφηση της διάλεξης Γλώσσες Περιγραφής Υλικού Μηχανές πεπερασμένων καταστάσεων Νικόλαος Καββαδίας nkavv@physics.auth.gr, nkavv@uop.gr 12 Μαΐου 2009 Μηχανές πεπερασμένων καταστάσεων (FSM: Finite-State

Διαβάστε περισσότερα

Σκιαγράφηση της διάλεξης. Η οργάνωση ενός μη-προγραμματιζόμενου επεξεργαστή (1) Μη προγραμματιζόμενοι επεξεργαστές

Σκιαγράφηση της διάλεξης. Η οργάνωση ενός μη-προγραμματιζόμενου επεξεργαστή (1)  Μη προγραμματιζόμενοι επεξεργαστές Σκιαγράφηση της διάλεξης Γλώσσες Περιγραφής Υλικού Μη προγραμματιζόμενοι επεξεργαστές Νικόλαος Καββαδίας nkavv@physics.auth.gr nkavv@uop.gr 26 Μαΐου 2009 Μη προγραμματιζόμενοι επεξεργαστές Υλοποίηση με

Διαβάστε περισσότερα

Σκιαγράφηση της διάλεξης. Γλώσσες Περιγραφής Υλικού Ι. Γενικά χαρακτηριστικά του επεξεργαστή MU0. nkavv@uop.gr. Προγραμματιζόμενοι επεξεργαστές

Σκιαγράφηση της διάλεξης. Γλώσσες Περιγραφής Υλικού Ι. Γενικά χαρακτηριστικά του επεξεργαστή MU0. nkavv@uop.gr. Προγραμματιζόμενοι επεξεργαστές Σκιαγράφηση της διάλεξης Γλώσσες Περιγραφής Υλικού Ι Προγραμματιζόμενοι επεξεργαστές Νικόλαος Καββαδίας nkavv@uop.gr Προγραμματιζόμενοι επεξεργαστές Ρεαλιστικό παράδειγμα: ο επεξεργαστής MU0 (MicroProcessor

Διαβάστε περισσότερα

Αποδεικτικές Διαδικασίες και Μαθηματική Επαγωγή.

Αποδεικτικές Διαδικασίες και Μαθηματική Επαγωγή. Αποδεικτικές Διαδικασίες και Μαθηματική Επαγωγή. Mαθηματικό σύστημα Ένα μαθηματικό σύστημα αποτελείται από αξιώματα, ορισμούς, μη καθορισμένες έννοιες και θεωρήματα. Η Ευκλείδειος γεωμετρία αποτελεί ένα

Διαβάστε περισσότερα

Γλώσσες Περιγραφής Υλικού Ι

Γλώσσες Περιγραφής Υλικού Ι Γλώσσες Περιγραφής Υλικού Ι Μοντελοποίηση ακολουθιακών κυκλωμάτων Νικόλαος Καββαδίας nkavv@uop.gr 13 Μαρτίου 2012 Σκιαγράφηση της διάλεξης Στοιχεία ακολουθιακής σχεδίασης με Verilog HDL Λίστα ευαισθησίας

Διαβάστε περισσότερα

Γλώσσες Περιγραφής Υλικού Δομές ελέγχου/επαλήθευσης λειτουργίας των κυκλωμάτων Νικόλαος Καββαδίας nkavv@physics.auth.gr nkavv@uop.gr 5 Μαΐου 2009 Σκιαγράφηση της διάλεξης Δομές ελέγχου/επαλήθευσης λειτουργίας

Διαβάστε περισσότερα

Σκιαγράφηση της διάλεξης. Γλώσσες Περιγραφής Υλικού Ι. Διαφορές μεταξύ των περιγραφών συνδυαστικών και ακολουθιακών κυκλωμάτων

Σκιαγράφηση της διάλεξης. Γλώσσες Περιγραφής Υλικού Ι. Διαφορές μεταξύ των περιγραφών συνδυαστικών και ακολουθιακών κυκλωμάτων Σκιαγράφηση της διάλεξης Γλώσσες Περιγραφής Υλικού Ι Μοντελοποίηση ακολουθιακών κυκλωμάτων Νικόλαος Καββαδίας nkavv@uop.gr 13 Μαρτίου 2012 Στοιχεία ακολουθιακής σχεδίασης με Verilog HDL Λίστα ευαισθησίας

Διαβάστε περισσότερα

ΑΡΧΗ 1ΗΣ ΣΕΛΙΔΑΣ Γ ΤΑΞΗ

ΑΡΧΗ 1ΗΣ ΣΕΛΙΔΑΣ Γ ΤΑΞΗ ΑΡΧΗ 1ΗΣ ΣΕΛΙΔΑΣ ΑΠΟΛΥΤΗΡΙΕΣ ΕΞΕΤΑΣΕΙΣ Σ ΕΝΙΑΙΟΥ ΛΥΚΕΙΟΥ ΔΕΥΤΕΡΑ 12 ΙΟΥΝΙΟΥ 2000 ΕΞΕΤΑΖΟΜΕΝΟ ΜΑΘΗΜΑ ΤΕΧΝΟΛΟΓΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ (ΚΥΚΛΟΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΚΑΙ ΥΠΗΡΕΣΙΩΝ): ΑΝΑΠΤΥΞΗ ΕΦΑΡΜΟΓΩΝ ΣΕ ΠΡΟΓΡΑΜΜΑΤΙΣΤΙΚΟ

Διαβάστε περισσότερα

Γλώσσες Περιγραφής Υλικού Ι

Γλώσσες Περιγραφής Υλικού Ι Γλώσσες Περιγραφής Υλικού Ι Μοντελοποίηση συνδυαστικών κυκλωμάτων Νικόλαος Καββαδίας nkavv@uop.gr 06 Μαρτίου 2012 Σκιαγράφηση της διάλεξης Περισσότερα για τα αρθρώματα Αναθέσεις και τελεστές Συντρέχων

Διαβάστε περισσότερα

Γλώσσες Περιγραφής Υλικού Ι. Εισαγωγικά. Οργάνωση των παραδόσεων. nkavv@uop.gr. 1 Εισαγωγή στη Verilog HDL. 28 Φεβρουαρίου 2012

Γλώσσες Περιγραφής Υλικού Ι. Εισαγωγικά. Οργάνωση των παραδόσεων. nkavv@uop.gr. 1 Εισαγωγή στη Verilog HDL. 28 Φεβρουαρίου 2012 Αντικείμενο του μαθήματος CST304: Γλώσσες Περιγραφής Υλικού Ι Γλώσσες Περιγραφής Υλικού Ι Εισαγωγή στη Verilog HDL Νικόλαος Καββαδίας nkavv@uop.gr 28 Φεβρουαρίου 2012 Επιμέρους στόχοι του μαθήματος Σχεδιασμός

Διαβάστε περισσότερα

Γλώσσες Περιγραφής Υλικού Σύνταξη παραμετρικών περιγραφών Νικόλαος Καββαδίας nkavv@physics.auth.gr 7 Απριλίου 2009 Σκιαγράφηση της διάλεξης Σύνταξη παραμετρικών περιγραφών Βιβλιοθήκες και πακέτα (libraries

Διαβάστε περισσότερα

Σκιαγράφηση της διάλεξης. Η έννοια του πακέτου (PACKAGE) στη VHDL. Σύνταξη ενός πακέτου. Σύνταξη παραμετρικών περιγραφών

Σκιαγράφηση της διάλεξης. Η έννοια του πακέτου (PACKAGE) στη VHDL. Σύνταξη ενός πακέτου. Σύνταξη παραμετρικών περιγραφών Σκιαγράφηση της διάλεξης Γλώσσες Περιγραφής Υλικού Σύνταξη παραμετρικών περιγραφών Νικόλαος Καββαδίας nkavv@physics.auth.gr 7 Απριλίου 2009 Σύνταξη παραμετρικών περιγραφών Βιβλιοθήκες και πακέτα (libraries

Διαβάστε περισσότερα

Φόρμα Σχεδιασμού Διάλεξης (ημ/α: 17/03/08, έκδοση: 1.0)

Φόρμα Σχεδιασμού Διάλεξης (ημ/α: 17/03/08, έκδοση: 1.0) 1. Κωδικός Μαθήματος: (Εισαγωγή στον Προγραμματισμό) 2. Α/Α Διάλεξης: 1 1. Τίτλος: Εισαγωγή στους υπολογιστές. 2. Μαθησιακοί Στόχοι: Συνοπτική παρουσίαση της εξέλιξης των γλωσσών προγραμματισμού και των

Διαβάστε περισσότερα

Γλώσσες Περιγραφής Υλικού Δομές ακολουθιακού και συντρέχοντος κώδικα Νικόλαος Καββαδίας nkavv@physics.auth.gr 24 Μαρτίου 2009 Σκιαγράφηση της διάλεξης Συντρέχων και ακολουθιακός κώδικας Ανάθεση σε ΜΕΤΑΒΛΗΤΗ

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Κυκλωμάτων

Σχεδίαση Ψηφιακών Κυκλωμάτων Σχεδίαση Ψηφιακών Κυκλωμάτων Η γλώσσα περιγραφής υλικού VHDL - Μέρος Ι Νικόλαος Καββαδίας nkavv@uop.gr 01 Δεκεμβρίου 2010 Σκιαγράφηση της διάλεξης Εισαγωγή στη VHDL Δομές ακολουθιακού και συντρέχοντος

Διαβάστε περισσότερα

Σκιαγράφηση της διάλεξης. Ανάθεση σε VARIABLE. Ανάθεση σε SIGNAL. identifier := expression; Συντρέχων και ακολουθιακός κώδικας

Σκιαγράφηση της διάλεξης. Ανάθεση σε VARIABLE. Ανάθεση σε SIGNAL. identifier := expression; Συντρέχων και ακολουθιακός κώδικας Σκιαγράφηση της διάλεξης Γλώσσες Περιγραφής Υλικού Δομές ακολουθιακού και συντρέχοντος κώδικα Νικόλαος Καββαδίας nkavv@physics.auth.gr 24 Μαρτίου 2009 Συντρέχων και ακολουθιακός κώδικας Ανάθεση σε ΜΕΤΑΒΛΗΤΗ

Διαβάστε περισσότερα

Συναρτήσεις. Σημερινό μάθημα

Συναρτήσεις. Σημερινό μάθημα Συναρτήσεις Σημερινό μάθημα C++ Συναρτήσεις Δήλωση συνάρτησης Σύνταξη συνάρτησης Πρότυπο συνάρτησης & συνάρτηση Αλληλο καλούμενες συναρτήσεις συναρτήσεις μαθηματικών Παράμετροι συναρτήσεων Τοπικές μεταβλητές

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ. Εαρινό Εξάμηνο

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ. Εαρινό Εξάμηνο ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΠΛ231: Δομές Δεδομένων και Αλγόριθμοι Εαρινό Εξάμηνο 2017-2018 Φροντιστήριο 3 1. Εστω η στοίβα S και ο παρακάτω αλγόριθμος επεξεργασίας της. Να καταγράψετε την κατάσταση

Διαβάστε περισσότερα

Σκιαγράφηση της διάλεξης. Σχεδίαση Ψηφιακών Κυκλωμάτων. Εισαγωγικά. Δομές ακολουθιακού και συντρέχοντος κώδικα

Σκιαγράφηση της διάλεξης. Σχεδίαση Ψηφιακών Κυκλωμάτων. Εισαγωγικά. Δομές ακολουθιακού και συντρέχοντος κώδικα Σκιαγράφηση της διάλεξης Σχεδίαση Ψηφιακών Κυκλωμάτων Η γλώσσα περιγραφής υλικού VHDL - Μέρος Ι Νικόλαος Καββαδίας nkavv@uop.gr Εισαγωγή στη VHDL Δομές ακολουθιακού και συντρέχοντος κώδικα Προχωρημένα

Διαβάστε περισσότερα

Γλώσσες Περιγραφής Υλικού Ι

Γλώσσες Περιγραφής Υλικού Ι Γλώσσες Περιγραφής Υλικού Ι Μη προγραμματιζόμενοι επεξεργαστές Νικόλαος Καββαδίας nkavv@uop.gr 08 Μαΐου 2012 Σκιαγράφηση της διάλεξης Μη προγραμματιζόμενοι επεξεργαστές Η οργάνωση των μη-προγραμματιζόμενων

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Κυκλωμάτων

Σχεδίαση Ψηφιακών Κυκλωμάτων Σχεδίαση Ψηφιακών Κυκλωμάτων Συνδυαστική και ακολουθιακή λογική Νικόλαος Καββαδίας nkavv@uop.gr 10 Νοεμβρίου 2010 Σκιαγράφηση της διάλεξης Αρχές σχεδίασης συνδυαστικών κυκλωμάτων CMOS Λογικές πύλες και

Διαβάστε περισσότερα

Σκιαγράφηση της διάλεξης. Σχεδίαση Ψηφιακών Κυκλωμάτων. Ορισμοί για τις χρονικές καθυστερήσεις διάδοσης. Συνδυαστική και ακολουθιακή λογική

Σκιαγράφηση της διάλεξης. Σχεδίαση Ψηφιακών Κυκλωμάτων. Ορισμοί για τις χρονικές καθυστερήσεις διάδοσης. Συνδυαστική και ακολουθιακή λογική Σκιαγράφηση της διάλεξης Σχεδίαση Ψηφιακών Κυκλωμάτων Συνδυαστική και ακολουθιακή λογική Νικόλαος Καββαδίας nkavv@uop.gr Αρχές σχεδίασης συνδυαστικών κυκλωμάτων CMOS Λογικές πύλες και βασικά συνδυαστικά

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΑΚΑ ΦΡΟΝΤΙΣΤΗΡΙΑ ΚΟΛΛΙΝΤΖΑ ΜΑΘΗΜΑ: ΟΙΚΟΝΟΜΙΚΗ ΘΕΩΡΙΑ

ΠΑΝΕΠΙΣΤΗΜΙΑΚΑ ΦΡΟΝΤΙΣΤΗΡΙΑ ΚΟΛΛΙΝΤΖΑ ΜΑΘΗΜΑ: ΟΙΚΟΝΟΜΙΚΗ ΘΕΩΡΙΑ ΜΑΘΗΜΑ: ΟΙΚΟΝΟΜΙΚΗ ΘΕΩΡΙΑ Την ευθύνη του εκπαιδευτικού υλικού έχει ο επιστημονικός συνεργάτης των Πανεπιστημιακών Φροντιστηρίων «ΚOΛΛΙΝΤΖΑ», οικονομολόγος συγγραφέας θεμάτων ΑΣΕΠ, Παναγιώτης Βεργούρος.

Διαβάστε περισσότερα

Αναγνώριση Προτύπων. Σημερινό Μάθημα

Αναγνώριση Προτύπων. Σημερινό Μάθημα Αναγνώριση Προτύπων Σημερινό Μάθημα Bias (απόκλιση) και variance (διακύμανση) Ελεύθεροι Παράμετροι Ελεύθεροι Παράμετροι Διαίρεση dataset Μέθοδος holdout Cross Validation Bootstrap Bias (απόκλιση) και variance

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Κυκλωμάτων

Σχεδίαση Ψηφιακών Κυκλωμάτων Σχεδίαση Ψηφιακών Κυκλωμάτων Η αρχιτεκτονική οργάνωση των FPGA Νικόλαος Καββαδίας nkavv@uop.gr 21 Δεκεμβρίου 2010 Σκιαγράφηση της διάλεξης Εισαγωγή στις προγραμματιζόμενες συσκευές Η αρχιτεκτονική οργάνωση

Διαβάστε περισσότερα

Οι γέφυρες του ποταμού... Pregel (Konigsberg)

Οι γέφυρες του ποταμού... Pregel (Konigsberg) Οι γέφυρες του ποταμού... Pregel (Konigsberg) Β Δ Β Δ Γ Γ Κύκλος του Euler (Euler cycle) είναι κύκλος σε γράφημα Γ που περιέχει κάθε κορυφή του γραφήματος, και κάθε ακμή αυτού ακριβώς μία φορά. Για γράφημα

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 2: Σχεδίαση και προσομοίωση κυκλωμάτων καταχωρητών και μετρητών

ΑΣΚΗΣΗ 2: Σχεδίαση και προσομοίωση κυκλωμάτων καταχωρητών και μετρητών ΑΣΚΗΣΗ 2: Σχεδίαση και προσομοίωση κυκλωμάτων καταχωρητών και μετρητών Θέμα Β.1: Απλός καταχωρητής 1 bit (D Flip-Flop) preset D D Q Q clk clear Σχήμα 2.1: D Flip-Flop με εισόδους preset και clear Με τη

Διαβάστε περισσότερα

Η δήλωση `ifdef...`else...` endif

Η δήλωση `ifdef...`else...` endif Σκιαγράφηση της διάλεξης Γλώσσες Περιγραφής Υλικού Ι Σύνταξη παραμετρικών περιγραφών και σχεδίαση μνημών Νικόλαος Καββαδίας nkavv@uop.gr 03 Απριλίου 2012 Σύνταξη παραμετρικών περιγραφών Δηλώσεις του προεπεξεργαστή

Διαβάστε περισσότερα

ΣΧΟΛΙΚΟ ΕΤΟΣ ΕΥΘΥΓΡΑΜΜΗ ΟΜΑΛΗ ΚΙΝΗΣΗ ΤΡΙΩΡΗ ΓΡΑΠΤΗ ΕΞΕΤΑΣΗ ΣΤΗ ΦΥΣΙΚΗ A ΛΥΚΕΙΟΥ. Ονοματεπώνυμο Τμήμα

ΣΧΟΛΙΚΟ ΕΤΟΣ ΕΥΘΥΓΡΑΜΜΗ ΟΜΑΛΗ ΚΙΝΗΣΗ ΤΡΙΩΡΗ ΓΡΑΠΤΗ ΕΞΕΤΑΣΗ ΣΤΗ ΦΥΣΙΚΗ A ΛΥΚΕΙΟΥ. Ονοματεπώνυμο Τμήμα Σελίδα 1 ΣΧΟΛΙΚΟ ΕΤΟΣ 2014 2015 ΕΥΘΥΓΡΑΜΜΗ ΟΜΑΛΗ ΚΙΝΗΣΗ ΤΡΙΩΡΗ ΓΡΑΠΤΗ ΕΞΕΤΑΣΗ ΣΤΗ ΦΥΣΙΚΗ A ΛΥΚΕΙΟΥ Ονοματεπώνυμο Τμήμα ΘΕΜΑ Α Οδηγία: Να γράψετε στην κόλλα σας τον αριθμό καθεμιάς από τις παρακάτω ερωτήσεις

Διαβάστε περισσότερα

Σκιαγράφηση της διάλεξης

Σκιαγράφηση της διάλεξης Σκιαγράφηση της διάλεξης Γλώσσες Περιγραφής Υλικού Προχωρημένα στοιχεία της VHDL Νικόλαος Καββαδίας nkavv@physics.auth.gr 31 Μαρτίου 2009 Προχωρημένα στοιχεία της VHDL Τύποι και υποτύποι προκαθορισμένοι

Διαβάστε περισσότερα

Γλώσσες Περιγραφής Υλικού. Εισαγωγικά. Οργάνωση των παραδόσεων. 02 Ιουνίου 2009

Γλώσσες Περιγραφής Υλικού. Εισαγωγικά. Οργάνωση των παραδόσεων.  02 Ιουνίου 2009 Αντικείμενο και περίγραμμα του μαθήματος: Γλώσσες Περιγραφής Υλικού Γλώσσες Περιγραφής Υλικού Ανασκόπηση του μαθήματος Νικόλαος Καββαδίας nkavv@physics.auth.gr nkavv@uop.gr 02 Ιουνίου 2009 Αντικείμενο

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Κυκλωμάτων

Σχεδίαση Ψηφιακών Κυκλωμάτων Σχεδίαση Ψηφιακών Κυκλωμάτων Η γλώσσα περιγραφής υλικού VHDL - Μέρος ΙΙ Νικόλαος Καββαδίας nkavv@uop.gr 08 Δεκεμβρίου 2010 Σκιαγράφηση της διάλεξης Σύνταξη κώδικα για λογική σύνθεση Σχεδίαση μνημών ROM

Διαβάστε περισσότερα

Σκιαγράφηση της διάλεξης. Γλώσσες Περιγραφής Υλικού Ι (1) Μη προγραμματιζόμενοι επεξεργαστές

Σκιαγράφηση της διάλεξης. Γλώσσες Περιγραφής Υλικού Ι (1) Μη προγραμματιζόμενοι επεξεργαστές Σκιαγράφηση της διάλεξης Γλώσσες Περιγραφής Υλικού Ι Μη προγραμματιζόμενοι επεξεργαστές Νικόλαος Καββαδίας nkavv@uop.gr 04 Μαΐου 2011 Μη προγραμματιζόμενοι επεξεργαστές Η οργάνωση των μη-προγραμματιζόμενων

Διαβάστε περισσότερα

Γλώσσες Περιγραφής Υλικού Ανασκόπηση του μαθήματος Νικόλαος Καββαδίας nkavv@physics.auth.gr nkavv@uop.gr 02 Ιουνίου 2009 Αντικείμενο και περίγραμμα του μαθήματος: Γλώσσες Περιγραφής Υλικού Αντικείμενο

Διαβάστε περισσότερα

Σκιαγράφηση της διάλεξης. Σχεδίαση Ψηφιακών Κυκλωμάτων. Αρχιτεκτονικά χαρακτηριστικά των συσκευών Xilinx Spartan-3.

Σκιαγράφηση της διάλεξης. Σχεδίαση Ψηφιακών Κυκλωμάτων. Αρχιτεκτονικά χαρακτηριστικά των συσκευών Xilinx Spartan-3. Σκιαγράφηση της διάλεξης Σχεδίαση Ψηφιακών Κυκλωμάτων Οι αρχιτεκτονικές FPGA Xilinx Spartan-3 και Virtex-5 Νικόλαος Καββαδίας nkavv@uop.gr Η αρχιτεκτονική Xilinx Spartan-3 CLB Ενσωματωμένοι πολλαπλασιαστές

Διαβάστε περισσότερα

ΣΤΟ ΦΑΡΜΑΚΕΙΟ. Με την πιστοποίηση του έχει πρόσβαση στο περιβάλλον του φαρμακείου που παρέχει η εφαρμογή.

ΣΤΟ ΦΑΡΜΑΚΕΙΟ. Με την πιστοποίηση του έχει πρόσβαση στο περιβάλλον του φαρμακείου που παρέχει η εφαρμογή. ΣΤΟ ΦΑΡΜΑΚΕΙΟ Ο ασθενής έχοντας μαζί του το βιβλιάριο υγείας του και την τυπωμένη συνταγή από τον ιατρό, η οποία αναγράφει τον μοναδικό κωδικό της, πάει στο φαρμακείο. Το φαρμακείο αφού ταυτοποιήσει το

Διαβάστε περισσότερα

Σκιαγράφηση της διάλεξης. Σχεδίαση Ψηφιακών Κυκλωμάτων. Απαριθμητοί τύποι δεδομένων (enumerated data types)

Σκιαγράφηση της διάλεξης. Σχεδίαση Ψηφιακών Κυκλωμάτων. Απαριθμητοί τύποι δεδομένων (enumerated data types) Σκιαγράφηση της διάλεξης Σχεδίαση Ψηφιακών Κυκλωμάτων Η γλώσσα περιγραφής υλικού VHDL - Μέρος ΙΙ Νικόλαος Καββαδίας nkavv@uop.gr Σύνταξη κώδικα για λογική σύνθεση Σχεδίαση μνημών ROM και RAM Δομές ελέγχου/επαλήθευσης

Διαβάστε περισσότερα

τεσσάρων βάσεων δεδομένων που θα αντιστοιχούν στους συνδρομητές

τεσσάρων βάσεων δεδομένων που θα αντιστοιχούν στους συνδρομητές Σ Υ Π Τ Μ Α 8 Ιουνίου 2010 Άσκηση 1 Μια εταιρία τηλεφωνίας προσπαθεί να βρει πού θα τοποθετήσει τις συνιστώσες τηλεφωνικού καταλόγου που θα εξυπηρετούν τους συνδρομητές της. Η εταιρία εξυπηρετεί κατά βάση

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Προχωρημένα Θέματα Σχεδιασμού με VHDL

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Προχωρημένα Θέματα Σχεδιασμού με VHDL «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Προχωρημένα Θέματα Σχεδιασμού με VHDL Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συναρτήσεις, Διαδικασίες και Δομές Ελέγχου Λειτουργίας Κυκλωμάτων (testbenches)

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συναρτήσεις, Διαδικασίες και Δομές Ελέγχου Λειτουργίας Κυκλωμάτων (testbenches) «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Συναρτήσεις, Διαδικασίες και Δομές Ελέγχου Λειτουργίας Κυκλωμάτων (testbenches) Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ. Εαρινό Εξάμηνο

ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ. Εαρινό Εξάμηνο ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΥΠΡΟΥ ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΕΠΛ231: Δομές Δεδομένων και Αλγόριθμοι Εαρινό Εξάμηνο 2017-2018 Φροντιστήριο 3 - Λύσεις 1. Εστω ο πίνακας Α = [12, 23, 1, 5, 7, 19, 2, 14]. i. Να δώσετε την κατάσταση

Διαβάστε περισσότερα

ΤΑΞΙΝΟΜΗΣΗ ΟΡΓΑΝΙΣΜΩΝ

ΤΑΞΙΝΟΜΗΣΗ ΟΡΓΑΝΙΣΜΩΝ ΦΥΛΛΟ ΕΡΓΑΣΙΑΣ 1α ΤΑΞΙΝΟΜΗΣΗ ΟΡΓΑΝΙΣΜΩΝ Οι επιστήμονες ταξινομούν τους οργανισμούς σε ομάδες ανάλογα με τα κοινά τους χαρακτηριστικά. Τα πρώτα συστήματα ταξινόμησης βασιζόταν αποκλειστικά στα μορφολογικά

Διαβάστε περισσότερα

21/11/2005 Διακριτά Μαθηματικά. Γραφήματα ΒΑΣΙΚΗ ΟΡΟΛΟΓΙΑ : ΜΟΝΟΠΑΤΙΑ ΚΑΙ ΚΥΚΛΟΙ Δ Ι. Γεώργιος Βούρος Πανεπιστήμιο Αιγαίου

21/11/2005 Διακριτά Μαθηματικά. Γραφήματα ΒΑΣΙΚΗ ΟΡΟΛΟΓΙΑ : ΜΟΝΟΠΑΤΙΑ ΚΑΙ ΚΥΚΛΟΙ Δ Ι. Γεώργιος Βούρος Πανεπιστήμιο Αιγαίου Γραφήματα ΒΑΣΙΚΗ ΟΡΟΛΟΓΙΑ : ΜΟΝΟΠΑΤΙΑ ΚΑΙ ΚΥΚΛΟΙ A Ε B Ζ Η Γ K Θ Δ Ι Ορισμός Ένα (μη κατευθυνόμενο) γράφημα (non directed graph) Γ, είναι μία δυάδα από σύνολα Ε και V και συμβολίζεται με Γ=(Ε,V). Το σύνολο

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 2: Βασικές Μονάδες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες

Διαβάστε περισσότερα

Αναγνώριση Προτύπων. Σημερινό Μάθημα

Αναγνώριση Προτύπων. Σημερινό Μάθημα Αναγνώριση Προτύπων Σημερινό Μάθημα Εκτίμηση Πυκνότητας με k NN k NN vs Bayes classifier k NN vs Bayes classifier Ο κανόνας ταξινόμησης του πλησιέστερου γείτονα (k NN) lazy αλγόριθμοι O k NN ως χαλαρός

Διαβάστε περισσότερα

{ i f i == 0 and p > 0

{ i f i == 0 and p > 0 ΟΙΚΟΝΟΜΙΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΘΗΝΩΝ - ΤΜΗΜΑ ΠΛΗΡΟΦΟΡΙΚΗΣ ΜΕΤΑΠΤΥΧΙΑΚΟ ΠΡΟΓΡΑΜΜΑ ΕΠΙΣΤΗΜΗΣ ΥΠΟΛΟΓΙΣΤΩΝ Σχεδίαση και Ανάλυση Αλγορίθμων Διδάσκων: Ε. Μαρκάκης, Φθινοπωρινό εξάμηνο 014-015 Λύσεις 1ης Σειράς Ασκήσεων

Διαβάστε περισσότερα

Προσοµοίωση Συστηµάτων µε VHDL. (Peter Ashenden, The Students Guide to VHDL)

Προσοµοίωση Συστηµάτων µε VHDL. (Peter Ashenden, The Students Guide to VHDL) Προσοµοίωση Συστηµάτων µε VHDL (Peter Ashenden, The Students Guide to VHDL) Κώδικας VHDL Περιβάλλον Σχεδίασης Αναλυτής ιαχειριστής Βιβλιοθήκης Σχεδίασης Προσοµοιωτής Αντίστροφος Αναλυτής Βιβλιοθήκη Σχεδίασης

Διαβάστε περισσότερα

Δ Ι Α Κ Ρ Ι Τ Α Μ Α Θ Η Μ Α Τ Ι Κ Α. 1η σειρά ασκήσεων

Δ Ι Α Κ Ρ Ι Τ Α Μ Α Θ Η Μ Α Τ Ι Κ Α. 1η σειρά ασκήσεων Δ Ι Α Κ Ρ Ι Τ Α Μ Α Θ Η Μ Α Τ Ι Κ Α 1η σειρά ασκήσεων Ονοματεπώνυμο: Αριθμός μητρώου: Ημερομηνία παράδοσης: Μέχρι την Τρίτη 2 Απριλίου 2019 Σημειώστε τις ασκήσεις για τις οποίες έχετε παραδώσει λύση: 1

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Κυκλωμάτων

Σχεδίαση Ψηφιακών Κυκλωμάτων Σχεδίαση Ψηφιακών Κυκλωμάτων Ανασκόπηση του μαθήματος και ϑέματα πρακτικής εξάσκησης Νικόλαος Καββαδίας nkavv@uop.gr 26 Ιανουαρίου 2011 Σκιαγράφηση της διάλεξης Αναδρομή στο περιεχόμενο του μαθήματος Ενδεικτικά

Διαβάστε περισσότερα

Σκιαγράφηση της διάλεξης. Σχεδίαση Ψηφιακών Κυκλωμάτων.

Σκιαγράφηση της διάλεξης. Σχεδίαση Ψηφιακών Κυκλωμάτων. Σκιαγράφηση της διάλεξης Σχεδίαση Ψηφιακών Κυκλωμάτων Ανασκόπηση του μαθήματος και ϑέματα πρακτικής εξάσκησης Νικόλαος Καββαδίας nkavv@uop.gr Αναδρομή στο περιεχόμενο του μαθήματος εξετάσεων (ϑεωρία και

Διαβάστε περισσότερα

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος Εργαστήριο Οργάνωσης Η/Υ Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Χρησιμοποιούμε τις μηχανές πεπερασμένων καταστάσεων (finite state machines FSMs) για την μοντελοποίηση της συμπεριφοράς ενός κυκλώματος, η

Διαβάστε περισσότερα

www.cslab.ece.ntua.gr

www.cslab.ece.ntua.gr Ε ό Μ ό Π ί Σ ή Η ό Μ ώ Μ ώ Η/Υ Τ έ Τ ί Π ή Υ ώ Εργαστήριο Υπολογιστικών Συστημάτων www.cslab.ece.ntua.gr Διπλωματική εργασία Συγκριτική μελέτη μεθόδων αποθήκευσης αραιών πινάκων σε μπλοκ για την βελτιστοποίηση

Διαβάστε περισσότερα

Βελτιστοποιήσεις για την εκμετάλλευση της παραλληλίας και ενίσχυση της τοπικότητας. Προηγμένα Θέματα Θεωρητικής Πληροφορικής

Βελτιστοποιήσεις για την εκμετάλλευση της παραλληλίας και ενίσχυση της τοπικότητας. Προηγμένα Θέματα Θεωρητικής Πληροφορικής Βελτιστοποιήσεις για την εκμετάλλευση της παραλληλίας και ενίσχυση της τοπικότητας Προηγμένα Θέματα Θεωρητικής Πληροφορικής Βελτιστοποιήσεις για την εκμετάλλευση της παραλληλίας και ενίσχυση της τοπικότητας

Διαβάστε περισσότερα

Προηγμένα Θέματα Θεωρητικής Πληροφορικής

Προηγμένα Θέματα Θεωρητικής Πληροφορικής Προηγμένα Θέματα Θεωρητικής Πληροφορικής Βελτιστοποιήσεις για την εκμετάλλευση της παραλληλίας και ενίσχυση της τοπικότητας (Ι) Νικόλαος Καββαδίας nkavv@uop.gr 19 Μαΐου 2010 Βελτιστοποιήσεις για την εκμετάλλευση

Διαβάστε περισσότερα

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος Εργαστήριο Οργάνωσης Η/Υ Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Ρόλος των γλωσσών περιγραφής υλικού σε μια τυπική ροή σχεδίασης: Documentation Simulation Synthesis Οι γλώσσες περιγραφής υλικού μπορούν να

Διαβάστε περισσότερα

Ας υποθέσουμε ότι ο παίκτης Ι διαλέγει πρώτος την τυχαιοποιημένη στρατηγική (x 1, x 2 ), x 1, x2 0,

Ας υποθέσουμε ότι ο παίκτης Ι διαλέγει πρώτος την τυχαιοποιημένη στρατηγική (x 1, x 2 ), x 1, x2 0, Οικονομικό Πανεπιστήμιο Αθηνών Τμήμα Στατιστικής Εισαγωγή στην Επιχειρησιακή Ερευνα Εαρινό Εξάμηνο 2015 Μ. Ζαζάνης Πρόβλημα 1. Να διατυπώσετε το παρακάτω παίγνιο μηδενικού αθροίσματος ως πρόβλημα γραμμικού

Διαβάστε περισσότερα

Μεταγλωττιστές ΙΙ. nkavv@uop.gr. Γέννηση ενδιάμεσης αναπαράστασης. 10 Νοεμβρίου 2010. Νικόλαος Καββαδίας nkavv@uop.gr Μεταγλωττιστές ΙΙ

Μεταγλωττιστές ΙΙ. nkavv@uop.gr. Γέννηση ενδιάμεσης αναπαράστασης. 10 Νοεμβρίου 2010. Νικόλαος Καββαδίας nkavv@uop.gr Μεταγλωττιστές ΙΙ Μεταγλωττιστές ΙΙ Γέννηση ενδιάμεσης αναπαράστασης Νικόλαος Καββαδίας nkavv@uop.gr 10 Νοεμβρίου 2010 Η έννοια της ενδιάμεσης αναπαράστασης Ενδιάμεση αναπαράσταση (IR: intermediate representation): απλοποιημένη,

Διαβάστε περισσότερα

Μεταγλωττιστές ΙΙ. nkavv@uop.gr. Καταμερισμός καταχωρητών. Νικόλαος Καββαδίας nkavv@uop.gr Μεταγλωττιστές ΙΙ

Μεταγλωττιστές ΙΙ. nkavv@uop.gr. Καταμερισμός καταχωρητών. Νικόλαος Καββαδίας nkavv@uop.gr Μεταγλωττιστές ΙΙ Μεταγλωττιστές ΙΙ Καταμερισμός καταχωρητών Νικόλαος Καββαδίας nkavv@uop.gr 01 Δεκεμβρίου 2010 Γενικά για τον καταμερισμό καταχωρητών Καταμερισμός καταχωρητών (register allocation): βελτιστοποίηση μεταγλωττιστή

Διαβάστε περισσότερα

ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ. Πρώτη Γραπτή Εργασία. Εισαγωγή στους υπολογιστές Μαθηματικά

ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ. Πρώτη Γραπτή Εργασία. Εισαγωγή στους υπολογιστές Μαθηματικά ΕΛΛΗΝΙΚΟ ΑΝΟΙΚΤΟ ΠΑΝΕΠΙΣΤΗΜΙΟ Πρόγραμμα Σπουδών: ΙΟΙΚΗΣΗ ΕΠΙΧΕΙΡΗΣΕΩΝ και ΟΡΓΑΝΙΣΜΩΝ Θεματική Ενότητα: ΕΟ-13 Ποσοτικές Μέθοδοι Ακαδημαϊκό Έτος: 2012-13 Πρώτη Γραπτή Εργασία Εισαγωγή στους υπολογιστές Μαθηματικά

Διαβάστε περισσότερα

ΣΥΝΟΛΑ (προσέξτε τα κοινά χαρακτηριστικά των παρακάτω προτάσεων) Οι άνθρωποι που σπουδάζουν ΤΠ&ΕΣ και βρίσκονται στην αίθουσα

ΣΥΝΟΛΑ (προσέξτε τα κοινά χαρακτηριστικά των παρακάτω προτάσεων) Οι άνθρωποι που σπουδάζουν ΤΠ&ΕΣ και βρίσκονται στην αίθουσα ΣΥΝΟΛΑ (προσέξτε τα κοινά χαρακτηριστικά των παρακάτω προτάσεων) Οι άνθρωποι που σπουδάζουν ΤΠ&ΕΣ και βρίσκονται στην αίθουσα Τα βιβλία διακριτών μαθηματικών του Γ.Β. Η/Υ με επεξεργαστή Pentium και χωρητικότητα

Διαβάστε περισσότερα

Ταξινόμηση των μοντέλων διασποράς ατμοσφαιρικών ρύπων βασισμένη σε μαθηματικά κριτήρια.

Ταξινόμηση των μοντέλων διασποράς ατμοσφαιρικών ρύπων βασισμένη σε μαθηματικά κριτήρια. ΠΡΟΤΕΙΝΟΜΕΝΑ ΘΕΜΑΤΑ Ταξινόμηη των μοντέλων διαποράς ατμοφαιρικών ρύπων βαιμένη ε μαθηματικά κριτήρια. Μοντέλο Ελεριανά μοντέλα (Elerian) Λαγκρατζιανά μοντέλα (Lagrangian) Επιπρόθετος διαχωριμός Μοντέλα

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συντρέχων Κώδικας

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Συντρέχων Κώδικας «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 216-217 Συντρέχων Κώδικας Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ E-mail: pkitsos@teimes.gr

Διαβάστε περισσότερα

Εξαναγκασμένες ταλαντώσεις, Ιδιοτιμές με πολλαπλότητα, Εκθετικά πινάκων. 9 Απριλίου 2013, Βόλος

Εξαναγκασμένες ταλαντώσεις, Ιδιοτιμές με πολλαπλότητα, Εκθετικά πινάκων. 9 Απριλίου 2013, Βόλος ιαφορικές Εξισώσεις Εξαναγκασμένες ταλαντώσεις, Ιδιοτιμές με πολλαπλότητα, Ατελείς ιδιοτιμές Εκθετικά πινάκων Μανόλης Βάβαλης Τμήμα Μηχανικών Η/Υ Τηλεπικοινωνιών και ικτύων Πανεπιστήμιο Θεσσαλίας 9 Απριλίου

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 4: Σχεδιασμός Σειριακού Αθροιστή Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

1. Ας υποθέσουμε ότι η εισοδηματική ελαστικότητα ζήτησης για όσπρια είναι ίση με το μηδέν. Αυτό σημαίνει ότι:

1. Ας υποθέσουμε ότι η εισοδηματική ελαστικότητα ζήτησης για όσπρια είναι ίση με το μηδέν. Αυτό σημαίνει ότι: 1. Ας υποθέσουμε ότι η εισοδηματική ελαστικότητα ζήτησης για όσπρια είναι ίση με το μηδέν. Αυτό σημαίνει ότι: α) Ανεξάρτητα από το ύψος της τιμής των οσπρίων, ο καταναλωτής θα δαπανά πάντα ένα σταθερό

Διαβάστε περισσότερα

Ψηφιακή Εικόνα. Σημερινό μάθημα!

Ψηφιακή Εικόνα. Σημερινό μάθημα! Ψηφιακή Εικόνα Σημερινό μάθημα! Ψηφιακή Εικόνα Αναλογική εικόνα Ψηφιοποίηση (digitalization) Δειγματοληψία Κβαντισμός Δυαδικές δ έ (Binary) εικόνες Ψηφιακή εικόνα & οθόνη Η/Υ 1 Ψηφιακή Εικόνα Μια ακίνητη

Διαβάστε περισσότερα

ΑΠΟΛΥΤΗΡΙΕΣ ΕΞΕΤΑΣΕΙΣ Γ ΤΑΞΗΣ ΗΜΕΡΗΣΙΟΥ ΓΕΝΙΚΟΥ ΛΥΚΕΙΟΥ ΕΞΕΤΑΖΟΜΕΝΟ ΜΑΘΗΜΑ: ΑΡΧΕΣ ΟΙΚΟΝΟΜΙΚΗΣ ΘΕΩΡΙΑΣ ΜΑΘΗΜΑ ΕΠΙΛΟΓΗΣ Γ ΛΥΚΕΙΟΥ

ΑΠΟΛΥΤΗΡΙΕΣ ΕΞΕΤΑΣΕΙΣ Γ ΤΑΞΗΣ ΗΜΕΡΗΣΙΟΥ ΓΕΝΙΚΟΥ ΛΥΚΕΙΟΥ ΕΞΕΤΑΖΟΜΕΝΟ ΜΑΘΗΜΑ: ΑΡΧΕΣ ΟΙΚΟΝΟΜΙΚΗΣ ΘΕΩΡΙΑΣ ΜΑΘΗΜΑ ΕΠΙΛΟΓΗΣ Γ ΛΥΚΕΙΟΥ ΑΠΟΛΥΤΗΡΙΕΣ ΕΞΕΤΑΣΕΙΣ Γ ΤΑΞΗΣ ΗΜΕΡΗΣΙΟΥ ΓΕΝΙΚΟΥ ΛΥΚΕΙΟΥ ΕΞΕΤΑΖΟΜΕΝΟ ΜΑΘΗΜΑ: ΑΡΧΕΣ ΟΙΚΟΝΟΜΙΚΗΣ ΘΕΩΡΙΑΣ ΜΑΘΗΜΑ ΕΠΙΛΟΓΗΣ Γ ΛΥΚΕΙΟΥ ΗΜΕΡΟΜΗΝΙΑ: ΕΠΩΝΥΜΟ: ΟΝΟΜΑ: ΟΜΑΔΑ Α Για τις προτάσεις Α1 μέχρι και Α6 να

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Ακολουθιακός Κώδικας Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ E-mail: pkitsos@teimes.gr

Διαβάστε περισσότερα

έγγραφο σε κάθε διάσταση αντιστοιχούν στο πλήθος εμφανίσεων της λέξης (που αντιστοιχεί στη συγκεκριμένη διάσταση) εντός του εγγράφου.

έγγραφο σε κάθε διάσταση αντιστοιχούν στο πλήθος εμφανίσεων της λέξης (που αντιστοιχεί στη συγκεκριμένη διάσταση) εντός του εγγράφου. Π Π Σ Τ Π Ε Τ Ψ Σ Δομές Δεδομένων 2016-2017 2η Εργασία Χρήστος Δουλκερίδης Ορέστης Τελέλης 1 Περιγραφή Η ομαδοποίηση εγγράφων (document clustering) με βάση τα περιεχόμενά τους είναι ένα πολύ ενδιαφέρον

Διαβάστε περισσότερα

ΠΑΝΕΠΙΣΤΗΜΙΑΚΑ ΦΡΟΝΤΙΣΤΗΡΙΑ ΚΟΛΛΙΝΤΖΑ ΜΑΘΗΜΑ: ΕΡΩΤΗΣΕΙΣ ΟΙΚΟΝΟΜΙΚΗΣ ΘΕΩΡΙΑΣ

ΠΑΝΕΠΙΣΤΗΜΙΑΚΑ ΦΡΟΝΤΙΣΤΗΡΙΑ ΚΟΛΛΙΝΤΖΑ ΜΑΘΗΜΑ: ΕΡΩΤΗΣΕΙΣ ΟΙΚΟΝΟΜΙΚΗΣ ΘΕΩΡΙΑΣ ΜΑΘΗΜΑ: ΕΡΩΤΗΣΕΙΣ ΟΙΚΟΝΟΜΙΚΗΣ ΘΕΩΡΙΑΣ Tα Πανεπιστημιακά Φροντιστήρια «ΚΟΛΛΙΝΤΖΑ» προετοιμάζοντας σε ολιγομελείς ομίλους τους υποψήφιους για τον επικείμενο διαγωνισμό του Υπουργείου Οικονομικών, με κορυφαίο

Διαβάστε περισσότερα

Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε.

Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Ενότητα 3: Καταχωρητές - Απαριθμητές Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες Χρήσης Το παρόν εκπαιδευτικό

Διαβάστε περισσότερα

Μεταγλωττιστές ΙΙ. nkavv@uop.gr. Χρονοπρογραμματισμός κώδικα και βελτιστοποιήσεις εξαρτημένες από την αρχιτεκτονική

Μεταγλωττιστές ΙΙ. nkavv@uop.gr. Χρονοπρογραμματισμός κώδικα και βελτιστοποιήσεις εξαρτημένες από την αρχιτεκτονική Μεταγλωττιστές ΙΙ Χρονοπρογραμματισμός κώδικα και βελτιστοποιήσεις εξαρτημένες από την αρχιτεκτονική Νικόλαος Καββαδίας nkavv@uop.gr 21 Δεκεμβρίου 2010 Βελτιστοποιήσεις εξαρτημένες από την αρχιτεκτονική

Διαβάστε περισσότερα

CSE.UOI : Μεταπτυχιακό Μάθημα

CSE.UOI : Μεταπτυχιακό Μάθημα Θέματα Αλγορίθμων Αλγόριθμοι και Εφαρμογές στον Πραγματικό Κόσμο CSE.UOI : Μεταπτυχιακό Μάθημα 10η Ενότητα: Χρονικά Εξελισσόμενες ικτυακές Ροές Σπύρος Κοντογιάννης kntg@cse.ui.gr Τμήμα Μηχανικών Η/Υ &

Διαβάστε περισσότερα

Μεταγλωττιστές ΙΙ. Βελτιστοποιήσεις για την εκμετάλλευση της παραλληλίας και ενίσχυση της τοπικότητας

Μεταγλωττιστές ΙΙ. Βελτιστοποιήσεις για την εκμετάλλευση της παραλληλίας και ενίσχυση της τοπικότητας Μεταγλωττιστές ΙΙ Βελτιστοποιήσεις για την εκμετάλλευση της παραλληλίας και ενίσχυση της τοπικότητας Νικόλαος Καββαδίας nkavv@uop.gr 22 Δεκεμβρίου 2010 Βελτιστοποιήσεις για την εκμετάλλευση της παραλληλίας

Διαβάστε περισσότερα

Συναρτήσεις & Κλάσεις

Συναρτήσεις & Κλάσεις Συναρτήσεις & Κλάσεις Overloading class member συναρτήσεις/1 #include typedef unsigned short int USHORT; enum BOOL { FALSE, TRUE}; class Rectangle { public: Rectangle(USHORT width, USHORT

Διαβάστε περισσότερα

Προτεινόμενα θέματα στο μάθημα. Αρχές Οικονομικής Θεωρίας ΟΜΑΔΑ Α. Στις προτάσεις από Α.1. μέχρι και Α10 να γράψετε στο τετράδιό σας τον αριθμό της

Προτεινόμενα θέματα στο μάθημα. Αρχές Οικονομικής Θεωρίας ΟΜΑΔΑ Α. Στις προτάσεις από Α.1. μέχρι και Α10 να γράψετε στο τετράδιό σας τον αριθμό της Προτεινόμενα θέματα στο μάθημα Αρχές Οικονομικής Θεωρίας ΟΜΑΔΑ Α Στις προτάσεις από Α.1. μέχρι και Α10 να γράψετε στο τετράδιό σας τον αριθμό της καθεμιάς και δίπλα σε κάθε αριθμό την ένδειξη Σωστό, αν

Διαβάστε περισσότερα

Τρίτη, 05 Ιουνίου 2001 ΤΕΧΝΟΛΟΓΙΚΗ ΚΑΤΕΥΘΥΝΣΗ Γ ΛΥΚΕΙΟΥ ΑΝΑΠΤΥΞΗ ΕΦΑΡΜΟΓΩΝ ΣΕ ΠΡΟΓΡΑΜΜΑΤΙΣΤΙΚΟ ΠΕΡΙΒΑΛΛΟΝ

Τρίτη, 05 Ιουνίου 2001 ΤΕΧΝΟΛΟΓΙΚΗ ΚΑΤΕΥΘΥΝΣΗ Γ ΛΥΚΕΙΟΥ ΑΝΑΠΤΥΞΗ ΕΦΑΡΜΟΓΩΝ ΣΕ ΠΡΟΓΡΑΜΜΑΤΙΣΤΙΚΟ ΠΕΡΙΒΑΛΛΟΝ Τρίτη, 05 Ιουνίου 2001 ΤΕΧΝΟΛΟΓΙΚΗ ΚΑΤΕΥΘΥΝΣΗ Γ ΛΥΚΕΙΟΥ ΑΝΑΠΤΥΞΗ ΕΦΑΡΜΟΓΩΝ ΣΕ ΠΡΟΓΡΑΜΜΑΤΙΣΤΙΚΟ ΠΕΡΙΒΑΛΛΟΝ ΘΕΜΑ 1 Α. Να µεταφέρετε στο τετράδιό σας και να συµπληρώσετε τον παρακάτω πίνακα αλήθειας δύο προτάσεων

Διαβάστε περισσότερα

ΜΑΘΗΜΑ: ΠΟΛΙΤΙΚΗ ΟΙΚΟΝΟΜΙΑ-ΔΗΜΟΣΙΑ ΟΙΚΟΝΟΜΙΚΗ

ΜΑΘΗΜΑ: ΠΟΛΙΤΙΚΗ ΟΙΚΟΝΟΜΙΑ-ΔΗΜΟΣΙΑ ΟΙΚΟΝΟΜΙΚΗ ΜΑΘΗΜΑ: ΠΟΛΙΤΙΚΗ ΟΙΚΟΝΟΜΙΑ-ΔΗΜΟΣΙΑ ΟΙΚΟΝΟΜΙΚΗ Σύνταξη: Παπαδόπουλος Θεοχάρης, Οικονομολόγος, MSc, PhD Candidate Κατηγορίες οφέλους και κόστους που προέρχονται από τις δημόσιες δαπάνες Για την αξιολόγηση

Διαβάστε περισσότερα

Κεφάλαιο 2.4: Τα βασικά στοιχεία ενός Επιχειρηματικού Σχεδίου (Business Plan) Μέσα από αυτό το κεφάλαιο φαίνεται ότι αφενός η σωστή δημιουργία και

Κεφάλαιο 2.4: Τα βασικά στοιχεία ενός Επιχειρηματικού Σχεδίου (Business Plan) Μέσα από αυτό το κεφάλαιο φαίνεται ότι αφενός η σωστή δημιουργία και Κεφάλαιο 2.4: Τα βασικά στοιχεία ενός Επιχειρηματικού Σχεδίου (Business Plan) Περίληψη Κεφαλαίου: Μέσα από αυτό το κεφάλαιο φαίνεται ότι αφενός η σωστή δημιουργία και αφετέρου η σωστή εφαρμογή του Επιχειρηματικού

Διαβάστε περισσότερα

ΜΑΘΗΜΑ: ΕΜΠΟΡΙΚΟ ΔΙΚΑΙΟ

ΜΑΘΗΜΑ: ΕΜΠΟΡΙΚΟ ΔΙΚΑΙΟ ΜΑΘΗΜΑ: ΕΜΠΟΡΙΚΟ ΔΙΚΑΙΟ Tα Πανεπιστημιακά Φροντιστήρια «ΚΟΛΛΙΝΤΖΑ» προετοιμάζοντας σε ολιγομελείς ομίλους τους υποψήφιους για τον επικείμενο διαγωνισμό του Υπουργείου Οικονομικών, με κορυφαίο επιτελείο

Διαβάστε περισσότερα

Αναγνώριση Προτύπων. Σημερινό Μάθημα

Αναγνώριση Προτύπων. Σημερινό Μάθημα Αναγνώριση Προτύπων Σημερινό Μάθημα Μη Παραμετρικός Υπολογισμός πυκνότητας με εκτίμηση Ιστόγραμμα Παράθυρα Parzen Εξομαλυμένη Kernel Ασκήσεις 1 Μη Παραμετρικός Υπολογισμός πυκνότητας με εκτίμηση Κατά τη

Διαβάστε περισσότερα

Γλώσσες Περιγραφής Υλικού

Γλώσσες Περιγραφής Υλικού Αντικείμενο του μαθήματος CST256: Γλώσσες Περιγραφής Υλικού Γλώσσες Περιγραφής Υλικού Εισαγωγή στην VHDL Νικόλαος Καββαδίας nkavv@physics.auth.gr 17 Μαρτίου 2009 Επιμέρους στόχοι του μαθήματος Σχεδιασμός

Διαβάστε περισσότερα

Αναγνώριση Προτύπων. Σήμερα! Λόγος Πιθανοφάνειας Πιθανότητα Λάθους Κόστος Ρίσκο Bayes Ελάχιστη πιθανότητα λάθους για πολλές κλάσεις

Αναγνώριση Προτύπων. Σήμερα! Λόγος Πιθανοφάνειας Πιθανότητα Λάθους Κόστος Ρίσκο Bayes Ελάχιστη πιθανότητα λάθους για πολλές κλάσεις Αναγνώριση Προτύπων Σήμερα! Λόγος Πιθανοφάνειας Πιθανότητα Λάθους Πιθανότητα Λάθους Κόστος Ρίσκο Bayes Ελάχιστη πιθανότητα λάθους για πολλές κλάσεις 1 Λόγος Πιθανοφάνειας Ας υποθέσουμε ότι θέλουμε να ταξινομήσουμε

Διαβάστε περισσότερα

ΘΕΜΑ: Aποτελεσματικότητα της νομισματικής και δημοσιονομικής πολιτικής σε μια ανοικτή οικονομία

ΘΕΜΑ: Aποτελεσματικότητα της νομισματικής και δημοσιονομικής πολιτικής σε μια ανοικτή οικονομία ΘΕΜΑ: ποτελεσματικότητα της νομισματικής και δημοσιονομικής πολιτικής σε μια ανοικτή οικονομία Σύνταξη: Μπαντούλας Κων/νος, Οικονομολόγος, Ms Χρηματοοικονομικών 1 Η πρώτη θεωρία σχετικά με τον αυτόματο

Διαβάστε περισσότερα

ΣΤΟ ΙΑΤΡΕΙΟ. Με την πιστοποίηση του αποκτά πρόσβαση στο περιβάλλον του ιατρού που παρέχει η εφαρμογή.

ΣΤΟ ΙΑΤΡΕΙΟ. Με την πιστοποίηση του αποκτά πρόσβαση στο περιβάλλον του ιατρού που παρέχει η εφαρμογή. ΣΤΟ ΙΑΤΡΕΙΟ Ο ιατρός αφού διαπιστώσει εάν το πρόσωπο που προσέρχεται για εξέταση είναι το ίδιο με αυτό που εικονίζεται στο βιβλιάριο υγείας και ελέγξει ότι είναι ασφαλιστικά ενήμερο (όπως ακριβώς γίνεται

Διαβάστε περισσότερα

Περιεχόμενο: Τυπικές τεχνικές αναθεώρησης λογισμικού

Περιεχόμενο: Τυπικές τεχνικές αναθεώρησης λογισμικού Περιεχόμενο: Τυπικές τεχνικές αναθεώρησης λογισμικού ΤΥΠΙΚΕΣ ΤΕΧΝΙΚΕΣ ΑΝΑΘΕΩΡΗΣΗΣ (ΤΤΑ) (FORMAL TECHNICAL REVIEWS) Η ΤΤΑ είναι μια δραστηριότητα εξασφάλισης της ποιότητας του λογισμικού που πραγματοποιείται

Διαβάστε περισσότερα

Επίλυση δικτύων διανομής

Επίλυση δικτύων διανομής ΑστικάΥδραυλικάΈργα Υδρεύσεις Επίλυση δικτύων διανομής Δημήτρης Κουτσογιάννης & Ανδρέας Ευστρατιάδης Τομέας Υδατικών Πόρων Εθνικό Μετσόβιο Πολυτεχνείο Διατύπωση του προβλήματος Δεδομένου ενός δικτύου αγωγών

Διαβάστε περισσότερα

Μονάδες 5 1.2.α. Να γράψετε στο τετράδιό σας τον παρακάτω πίνακα σωστά συµπληρωµένο.

Μονάδες 5 1.2.α. Να γράψετε στο τετράδιό σας τον παρακάτω πίνακα σωστά συµπληρωµένο. ΑΡΧΗ 1ΗΣ ΣΕΛΙ ΑΣ Γ ΤΑΞΗ ΠΡΟΑΓΩΓΙΚΕΣ ΕΞΕΤΑΣΕΙΣ Γ ΤΑΞΗΣ ΕΝΙΑΙΟΥ ΛΥΚΕΙΟΥ ΕΥΤΕΡΑ 12 ΙΟΥΝΙΟΥ 2000 ΕΞΕΤΑΖΟΜΕΝΟ ΜΑΘΗΜΑ ΤΕΧΝΟΛΟΓΙΚΗΣ ΚΑΤΕΥΘΥΝΣΗΣ (ΚΥΚΛΟΣ ΤΕΧΝΟΛΟΓΙΑΣ ΚΑΙ ΠΑΡΑΓΩΓΗΣ): ΧΗΜΕΙΑ - ΒΙΟΧΗΜΕΙΑ ΣΥΝΟΛΟ ΣΕΛΙ

Διαβάστε περισσότερα

Μεταγλωττιστές ΙΙ. Επιλογή κώδικα. 24 Νοεμβρίου Νικόλαος Καββαδίας Μεταγλωττιστές ΙΙ

Μεταγλωττιστές ΙΙ. Επιλογή κώδικα. 24 Νοεμβρίου Νικόλαος Καββαδίας Μεταγλωττιστές ΙΙ Μεταγλωττιστές ΙΙ Επιλογή κώδικα Νικόλαος Καββαδίας nkavv@uop.gr 24 Νοεμβρίου 2010 Ο γεννήτορας κώδικα Επιθυμητές ιδιότητες του γεννήτορα κώδικα (code generator) Το παραγόμενο πρόγραμμα χαμηλού επιπέδου

Διαβάστε περισσότερα

Ημέρα 3 η. (α) Aπό την εργασιακή διαδικασία στη διαδικασία παραγωγής (β) Αξία του προϊόντος και αξία της εργασιακής δύναμης

Ημέρα 3 η. (α) Aπό την εργασιακή διαδικασία στη διαδικασία παραγωγής (β) Αξία του προϊόντος και αξία της εργασιακής δύναμης Ημέρα 3 η. (α) Aπό την εργασιακή διαδικασία στη διαδικασία παραγωγής (β) Αξία του προϊόντος και αξία της εργασιακής δύναμης Η εργασιακή διαδικασία και τα στοιχεία της. Η κοινωνική επικύρωση των ιδιωτικών

Διαβάστε περισσότερα