ΈλεγχοςοθόνηςTFT μετηχρήσηολοκληρωμένου κυκλώματοςπρογραμματιζόμενηςλογικής(fpga)

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "ΈλεγχοςοθόνηςTFT μετηχρήσηολοκληρωμένου κυκλώματοςπρογραμματιζόμενηςλογικής(fpga)"

Transcript

1 ΑλάδροΤολόΕπδυό ΊδρυμΘσσλοη ΣολήΤολώΕφρμώ ΤμήμΗλροή ΈλοοθόηTFT μηρήσηολοληρωμου υλώμοπρρμμόμηλή(fpga) Φοηή: ΤσμσλουΜωυσή Εσηηή: ΧρήσοΒ. Τ ΚθηηήΕφρμώ Θσσλοη, Ιούο2009

2 i

3 Ππρομω Ππρομω...ii ΚάλοΕόω...iv Πρληψη...1 Abstract...2 Αρλουροθοώ Εσωή Οθόθοδούσωλή Τοηλροόπυροβόλο Έρωμοθό Τολβλωσηπόδοση Shadow mask Aperture grille Απόλσηδσμη Οθόυρούρυσάλλου Πόλωσηουφωό ΥρόΚρύσλλο Λουρηοθόη Έρωμηό ΤολLCD ΣύρσηοθόηCRT TFT ΤρόποδσύδσηΟθοώ VGA Ορόσάρωση Κάθησάρωση Πρρφήλουρ Ρυθμόωση Πρρφήπρούμρου Απυήμοάδ ii

4 1.1. Εσωή Ηπυήμοάδρηρσάη Πρρφήωβσώμημάωημοάδ Spartan-3E FPGA Digital Clock Manager Block RAM Χροσμό Platform Flash Prom ΠρωόολλοJTAG ΘύρVGA Θύρπση ΕπσηVGA D/A Μροπ Πρρφήσημού Εφρμή- ΕλήVGA Γήπρρφή Digital Clock Manager Μρηήpixel Μρηήρμμώ Γήρσυροσώπλμώ Μρήσ Έδησάρωσηορήπροή Μφοράηρωμήπληροφορ Εφρμή- Απόησημό ΜήμηROM Κύλωμάωσημήμη Ββλρφ Internet iii

5 ΚάλοΕόω Εό1 : Ηδάηουθοδούσωλή...4 Εό2 : Τοάοπρολουμουσηήshadow mask...7 Εό3 : ΤήAperture grille...8 Εό4 : ΤήShadow mask...8 Εό5 : Τοπολσμωώβλωση...8 Εό6 : ΚσυσήδομήοθόηTFT Εό7 : Πράδμφλρουπόλωση Εό8 : Δομήωμορωουυρούρυσάλλου Εό9 : Ορόσάρωση Εό10 : Ηδδσησάρωση Εό11 : ΑπυήμοάδSPARTAN-3E Εό12 : ΠάωόψηηβάσηουXC3S500-3E Εό13 : ΜπλοδάρμμDCM Εό14 : Πηροσμούηπυήμοάδ Εό15 : ΔάηπρρμμσμούμσωηPROM Εό16 : ΠροσρμήμύFGPA οθόη Εό17 : ΕπσηVGA Εό18 : D/A Μροπή12-bit Εό19 : Block δάρμμουadv Εό20 : Επδρσηουφρησημωσηουθορύβου Εό21 : Μυμομορφήρώμο Εό22 : ΣυδσμολDoubly terminated line Εό23 : Μπλοδάρμμηφρμή Εό24 : Πλμοορόουσυροσμού Εό25 : Πλμοάθουσυροσμού Εό26 : Μρησηηυμομορφήουπράσσου Εό27 : Ηόπουθποσσηοθόη Εό28 : Μπλοδάρμμυλώμοπόσημό iv

6 πφλδ1 Πρληψη ΣοπόηπυήημληηρήλουρμηοροθοώLCD, οθόtft, ώ δρη μφση θ δοθσουρόπουμουοποου ποωούμωράυλώμ. Απολσμυήημληθ δημουρηθψηφόύλωμπουθπράόλάλληλσήμσώσ μπορθσσλουρηοθόη. Τοψηφόύλωμυόθυλοποηθμη ρήσηολοληρωμωυλωμάωπρρμμόμηλή(fpga). Ηπυήωρσρμάλθμόη. Σηπρώηθμήόη θπρρφηρήλουρωοθοώcrt TFT. ΟοθόCRT δπολού μουήηπυήλλάθφρθούηρήλουρου βοηθάώσηοήσορόποποωωοθοώtft. Ση δύρηθμήόηπρράφορόποποωωοθοώόλ σήμπουπούηλουρηοθόη. Σηόηυή φοράσορόπομοοποοπηράουσήμυάδάφορ ρηρσάηοθόηόπωάλυσηρυθμόωση. Σηρηλυ όηπρράφοπρόμροηπυή. Ηόηυήωρσδύο υποόη. Ση πρώη υποόη πρράφη πυή μοάδ ηούβσορηρσάωfpgas πουθρησμοποηθού. Ση δύρη άλυση η φρμή που σδάση προυσάο υμομορφπουποδύουηορθήλουρη. 1

7 πφλδ1 Abstract Τhe main target of this project is to study in depth the way that LCD monitors work and the external electronics that are used to control them. As a result of this study, we will design an electronic circuit that will be able to generate all these synchronisation signals which are necessary for the monitor to work properly. This electronic circuit will be be implemented using Field Programmable Gate Arrays (FPGAs). The project is divided in three chapters. In the first chapter the operating principles of the CRT and LCD monitors are described. Extra emphasis is given to a very popular subcategory of LCD monitors, the TFT monitors. The CRT monitors are described because the study of their theory will help the reader to understand the interface principles of the TFT monitors throught VGA port. In the second chapter there is a description of the interface protocol, and all signals that affect the resolution and the refresh rate of the monitor. In the last chapter there is a description of the electronic circuit and the waveforms we need in order to verify that the monitor control circuit works properly. 2

8 πφλδ2 πφλδ1 Αρλουροθοώ 1. Εσωή ΤλυρόηρδάπυηωοθοώLCD σσυδυσμόμομωμο όσοουωπολσμηυρύηδάδοσηουωμσοπόσησ σύρουπολσάσυσήμ. Έσουσήσουπλήρωηοθό CRT ωοποωηρήσηόλομώ. ΑπόηάλληπλυράοοθόCRT, ω οποωηρήλουρβσσπουουλυφθδώπάω πό100 ρό, προυσάουόμ άποπλοήμ όπωλύρο λόο μή/πόδοση. Οοθόπουυπάρουσομπόροουηδυόηποωήσουμ ωράυλώμμδύοβσούρόπου. Οπρώορόπομηρήσηου λούconnector VGA (Video Graphics Array). Αυόορόπορησμοποηθ ράσοθόcrt ρόρπολσβσόρόποδσύδσησ οθόlcd. Συπώπολοποδημοφλήρόποποωμοθόη υόολόοπληρησμοποηθσηφρμήηπυή. Η ρή λουρουβσσπολύμάλοβθμόσορόπολουρηοθόηcrt. Εδώ πούμόορόπολουρωοθοώcrt LCD λωδφορομύου, ορόποποωουμωράυλώμ μσωvga οδουόυρωλόουσυμβόηάμσσδύο ολ. Έσσοφάλουό θ ρσουμμηπρρφήου ρόπου λουρωοθοώcrt σησυθπθούμσlcd. Αυόθ βοηθήσώσηοήσλύρηποωμσωvga πουθ ηηθλυάσπόμοφάλο. Οδύρορόποποωμη 3

9 πφλδ2 πφλδ1 ρήσηουconnector DVI (Digital Video Interface) πουβσσψηφόπρωόολλο. ΟDVI σδσμοπολσάψηφσυσυπόσηη δυόημφοράπληροφορόπολύυψηλήάλυση. 2. Οθόθοδούσωλή Ηδάηουθοδούσωλήπολπόυλπουσήμώουόπωη ό1. Σοσωρόουσωλήυπάρόροόπουάου συσάουυλπύσώσμηυπάρπρπωσηρ λόοηδφοράπση. Τπάοουυλούυόπουάοθό θοδούσωλήβρ. Σοβάθοουβρσμηλροήδάηπου οομάηλροόπυροβόλο. Εό1 : Ηδάηουθοδούσωλή 2.1. Τοηλροόπυροβόλο Τοηλροόπυροβόλοπολπόθρμόήμ(Heater) οοποο σρηά φορσμομλλοπουοομάάθοδο(cathode). Το μλλουόπάλυψηοδουουβρουουσροουμπολσμ ηδόηόσλυθρώοηλρόπόηπφάου. 4

10 πφλδ2 πφλδ1 ΓύρωπόηάθοδοβρσύλδροοοποοοομάύλδροWehnelt σημσηουάμ. Ηάσηπουούλδροσσσημηάθοδο πρππάρηή. Συήθω-50V. ΟύλδροWehnelt οομά οδηόπλμ(control Grid) ορόλοουπυάηπρώησσηω ηλρόωπουπλυθρώοπόηάθοδο. Μά ούλδρο Wehnelt ολουθηπρώηηδύρηάοδο. Ηάσηηπρώηόδουσσσημη άθοδοθήπρπου400v. Ηάσηηδύρηόδουπση θή πρπου20000v. Οδύοάοδοου άμσώσ πρπουηδλυσηωηλροωπόηάθοδοπροηάλληπλυράη οθόη. Ηυψηλήάσησόδουωπολσμληλρόπου πμποπόηάθοδορουπύουμπολύμάληύη υθύοπροηάλληπλυράηοθόη. Τηλρόμηύηπου ουπφουπάωσησωρήπλυράηοθόη, ηοπολύππόμ φθορουσ πάλυψη. Η σύρουση υή ω ηλροωμηφθορουσ πάλυψηωπολσμηδημουρμφωήουδσηωρή πλυράηοθόη. Εδώφρουμόοπάοηηλροήδσμη δρη σημσσδσάσηουδθορπόούλδρο Wehnelt. Όσοπολπήηδσμηηλροω, όσολπόρηθη ουδσηοθόη Έρωμοθό Ηουδπουπροφρμπολημρόρημοάδσμσπρόμυρηό οομά(pixel). Συπώμσπρόμυρηόπολπόσύολοπό pixel οποδμομοόμορφοδπλσοάλλοσρμμ σήλ. Τοόόάθpixel θάσπροήμύροράπόηύπρηήό ηηλροήδσμη. Σρωμοθόμ ό πολπόρά ρώμοποόμωόλπολσμωρώβσώρωμάω. Γο λόουόάθουδ(pixel) πολπόρυπόουδ(sub pixels). Αυό σημόουμρδφορηλροδσμάθμπόυ δφορόρώμ. Ηπρώηοόο(Red), ηδύρηοπράσο(green) η ρηομπλ(blue). Οόμομύουομόορόπ 5

11 πφλδ2 πφλδ1 δημουρηθού βσά ρώμ πόηδρση ωηλροωη ηλροήδσμημηφθορουσπάλυψη. Γολόουόηφθορουσ πάλυψη άθυπό ουδδφορημπροσμ. Η δδσ προϋποθπολύ μάλη ρβ σώσ άθ πφπάω σ συρμυπόουδ Τολβλωσηπόδοση Όπωφρμπρπάωοπάοηηλροήδσμημπορμβληθμ ηρύθμσηηάσησούλδροwehnelt. Σοπόυπμπόμπρο όλυθύσηλρόσηάθοδουμπολύλπήηλροή δσμη. Πρόλ υά η ουδά συπ ουπό ουδου συρμδσάσπάω σηφθορουσπφά. Αηρβ ράλήσσύροοθό, πράδύοπυθηλροή δσμηόσορβώομθομυπόουδ. Αυόωπολσμσάρ ηδσμηόπουησηπολύσθήάπο ηλρό πφουσ ουπόουδπρολούπθύμηηφωόη. Τοπρόβλημυό μπορμωπσμδυορόπουπολύπολσμά Shadow mask Έρόπημώπσηουπρπάωπροβλήμομηρήσημ ήπουοομάshadow mask. Ηήυήράπλάσηρ 6

12 πφλδ2 πφλδ1 Εό2 : Τοάοπρολουμουσηήshadow mask σάοπροσυσμοπόλουμοπολύμρούπάουμπολύμρ ρύπσηπφάουόπωφσηό2. Ορύπυουηδ δάμρο μ υή ω υπό ουδω ση φθορουσ πάλυψη. Αυό ο πλοημσμάάρηδσμηηλρόπουυθύο προουδώπρπηδλυσημόοηδσμημυψηλήση πουυθύπάωσηπθυμηήυπόουδ. Απολσμυήηή ουμθρόρόμποωηράρώμ Aperture grille ΗήAperture grille πυάοδοπολσμλλάμδφορόρόπο. Απολπόπολύλπάθμλλλωρδπουπομοώουουδ ορό. Μάλοπλοημυήηήόουμπολύποφωή ό θώμπλοάρο ηλρό μόο ση ορό ύθυση. Το μοημηόπρμουσθρυολωρδμη ούπρηδυοάλλάθμλλλωρδπουσυρού. Αυολωρδμπλοάρουάποηλρόδημουρούμσάηοπ άποουοληή. Σό3 4 βλπουμηδφοράσορόπο λουρωδυοώβλωσηό. ΗήAperture grille υήπου ρησμοποσηπλοψηφωοθοώσήμρ. Σηό5 βλπουμη 7

13 πφλδ2 πφλδ1 δφοράουσηωρήπλυράηοθόη. Επροφόοοθόπου ρησμοποούηήaperture grille ουμλύρηφωόη. Εό3 : ΤήAperture grille Εό4 : ΤήShadow mask Εό5 : Τοπολσμωώβλωση 2.4. Απόλσηδσμη Σπροηούμπρράφουλύσμορόπομοοποομφμ ουδσηοθόη. Ηουδόμωυήπολύμρόομμάπόόληη 8

14 πφλδ2 πφλδ1 ό. Ηδδσηπόσηόληηόποπολύπλοη ηπύουμπρπφήσουμηηλροήδσμηρππόηθσηη. Γηροπήηδσμηουμδύούηηλρομηώ. Τού ηορόπόλσηηδσμηοάλληάθηπόλσηηδσμη. Έσ ουμηδυόηυθύουμηδσμησοποοδήποσημοηοθόη η ρουμ πάω σηπθυμηή υπό ουδ. Η ρή λουρου συσήμοπόλσηβσσοόόόηδύθυσηηηλροή δσμηάθησηδύθυσηωδυμώρμμώουηλρομηού πδουόσμδύμηπουηρπ. Σθηπρπωσηόπουου ηδδύθυσηόδσμδύμη. Τπηπολσωοποθού σάοάθουσοάοηλυύρωπόο"λμό" ουθοδούσωλή. Τπηορόπολσωβρσοσόρυφοάηπόλση άθηπροηδύθυσηουμηούπδου. Σηό1 μπορούμδούμ πηυά(deflecting coils). Τπηόρυφηπόλσηβρσοσοορόο άο. Ηπόλσηηδσμηάλημησηουρύμοπουδρρ πηροπή. Συπώηθσηηουδσηοθόηράόσοπόηση ουρύμοσπηόσοπόηδύθυσηου. Όδδρροθόλου πόρύμόδουμπόλσηδσμη. Ηδύθυσηουρύμοθορη πλυράπροηοποθποληδσμη. Γπρθμολοληρωμηό πρπηπρπάω δδσπλμβάσυμμάληύη. Η ηλροήδσμηθπρπάπόηπάωρσρήω. Σησυ σρώοόλοουδπουσηδρμμή. Κάηδάρησάρωση πρπμβάλλησηηδσμησώσμβάλλησηου φωόπουοβολπόηφθορουσπφά. Όσυμπληρωθηπρώη ρμμήουδωόηδσμηπσρφπσωάπόηρήηπόμη ρμμή. Όλολπομρορόοπουφορούηδδσυήθ φρθούλυάσπόμοφάλο. 9

15 πφλδ2 πφλδ1 3. Οθόυρούρυσάλλου Η οθόηυρού ρυσάλλουπολπό σύολοπολύλπώσρωμάω δφορώυλώοποουάποωρσδόη. Τσρώμ δμάθόπωηό6. Αυόωπολσμοπάοηοθόη μρό. Αμσωμπορούμδρουμδυομάλπλοήμπου ουπσοθόθοδούσωλή. Μρόόομρόβάρο. Έ βσόρηρσόηοθόηυρούρυσάλλουόλουρήσ ράμηπηήφωό. Αύηηπηήφωόολυοσρώμπρο πσω λάμπφθορου μρή άση. ΟομάοCCFL (Cold Cathode Fluorescent lamp) ου ο πλοημ όμρ φθη. Ε οποθημσώσοφωδομοόμορφπροπόμσρώμ. Συήθωμπροσάπόλάμπ, πυθυήηομοόμορφηδάυση, υπάρ φλροδάυσηπουβοηθάσοσοπόυό. Υπάρουοθόπου λάμπφθορου, ρησμοποούled σωρή πηήφωό. Τ LED ουο πλοημόπρουσάουμσθρόημλληθρμορσ, ου μάληδάρωήπολύμρήάλωση. ΟθόμLED σπηήφωό βρσουφρμήσουφορηούυπολσ. Σησυόπωβλπουμση ό6 οφω(light) πουπράπόηωρήπηήσυάσρώμ πουοομάφλροπόλωση(polarizer). 10

16 πφλδ2 πφλδ1 Εό6 : ΚσυσήδομήοθόηTFT 3.1. Πόλωσηουφωό ΗωρήπηήφωόρήωCCFL ήled πμπφώμόλ δυπολώσ. Όφρόμσσοόροπόλωσηουφωόοούμη ύθυσηλάωσήηπηή. Σοπράδμηό7 βλπουμμπηήπου πράφωόμπολλπολώσ. Τοφωπράσησυπόφλρο Εό7 : Πράδμφλρουπόλωση άθηπόλωση. Σηοδοουφλρουλμβάουμηδάθπολωμη. ΗδλήφρμόσοθόLCD. Μάηπρωήουφωόπόη ωρήπηή, οφώπράμσπόφλροπόλωση(polarizer). Αυόω 11

17 πφλδ2 πφλδ1 πολσμόλοοφωπουπράπολωμοπρομμόούθυση. Ση ό6 βλπουμόοπρώοφλροηlcd φλροορόπόλωσηη ρορόπολωμηπόυό ΥρόΚρύσλλο Μάοφλροπόλωσηοορόπολωμοφώφάσοπόμοσρώμπου πολπόουλόυρόρύσλλο(liquid Crystal). Τοόόοοθό ουπάροόομουπόυόδηλώοπόσοσημόορόλοουση λουρηοθόη. Σσρόσώμμόρουσθρόπροσολσμό σοώροσυρμθσμύου. Αθμόρσουρόδου συρμθσμπορούπροσολσούπροοποδήπούθυση. Ουρόρύσλλοηδυόησυδυάδόησρούυρού άλμποσάρπουλμβά. Υπάρουάποορύσλλοοοπο λλάουδόηουράοθρμόηώάποοάλλοηπδρση άποουηλρού πδου. ΣοθόLCD ρησμοπομ ηορ υρώ ρυσάλλω, οημοδήρύσλλο(twisted Nematics). Αυοορύσλλοσ οήθρμορσωρηπδρσηηλρούπδουουμόρου συσρμμόπωσηό8 πάω, ώσθηπρπωσηπουυπόη πδρσηηλρούπδουποσρφοημορφήπουπρουόπωο άωμροηό8. Σηπρπωσηόπουφρμοσήσθηλρόπδοό ουμμρήποσροφή. Όμόρουυρούρυσάλλουσυσρμμ όλλάουηπόλωσηουσρόμουφωόσυρμσοθόlcd ά90 ο ημσημήηλρούπδουώ σθηπρπωσηοφώ ρρβώμηπόλωσημηοποσήλθ. Σηό6 βλπουμρ πρπώσσυμπρφοράουρυσάλλουσορόπολωμοφώ. Ηπρώη πρπωσηπάωόδφρμόηλρόπδοοορόπολωμο φωλλάπόλωσηά90 ο. Σηδάμσηπρπωσηλόοσθηλρού πδουουμμρήποσροφή. Σηλυοηλρόπδοπρημση μήουουμπλήρηποσροφή. Αυήηδόηράσημήσ υήβσηρήλουρωοθόω. 12

18 πφλδ2 πφλδ Λουρηοθόη Μάοσρώμουυρούρυσάλλουυπάρόμφλροοοποουήη φορά φλρο άθηπόλωσηόπωφσηό 6. Αμσω δπσώουμόοορόπολωμοφωπουπρορπόοπρώοφλροδ θ πράσοδύροδλλάηπόλωσηου. Αυόολόούπρη ουσρώμουρούρυσάλλουάμσσδυοφλρ. Εό8 : Δομήωμορωουυρούρυσάλλου Όδφρμόηλρόπδοοσρώμυρούρυσάλλουλλάηπόλωση ουορόπολωμουφωόά90 ο. Έσοφωμπορδπράσο δύροφλρουθυθπροοπόμοσρώμ. Σπρπωσηφυσά ύπρηηλρούπδουηπόλωσηουορό πολωμουφωόδλλά οωπολσμοφωμηδπράσοδύροφλρο. Μημβολή ησηουηλρούπδουμβάλληωηπόλωσημπορ πάροποδήπομήμύ0 o 90 ο μπολσμπράσμρομόοου φωό. 13

19 πφλδ2 πφλδ Έρωμηό Προφλροάθηπόλωσηυπάρσρώμπουοομάφλρορώμο σοπόουφήσπράσμόοοφωμσυρμμήηύμο όπω660nm (Κόο), 510nm (Πράσο) 475nm (Μπλ). Σηό6 βλπουμη δδσ που πρρφπρπάω λυά. Δρουμηδδσ δλυσηουφωόσpixel. Σοπρώοsub pixel δυπάρηλρόπδο συπώοφωπράοάμφωόοσηοθόηφού πράσπόοσοοφλρορώμο. Σοδύροsub pixel οορόπολωμο φωπολώλλάλόοουσθούηλρούπδουηωπόλωσημρή. Συπώμόομροουφωόμπορπράσθμφσσηοθόημ μωμηφωόηωμπλ. Σοροsub pixel ησηουηλρούπδου οπουμόρουρυσάλλουποσρφοδφήουηλλήη πόλωσηουφωόμπολσμμημπορπράσοδύροφλρο. Κά συποροsub pixel θπρμμύρο. Οσυδυσμόωρώβσώ ρωμάωωρώsub pixel μπορμδώσόλουουσυδυσμούρωμάω ΤολLCD Σπρπάωπρράφουδμόηδλυσηουφωόράπολσά πόηύπρηηλρούπδουσουρόρύσλλο. Συπώθπρπυπάρ μησμόπουμπορληάσηπουφρμόσάθρύσλλο άθσμή. Mοθόημάλυση1024 x 768 πολπό pixels sub pixels. Αυόσημόθπρπλοηάσησ ρυσάλλουπράμπουράδύσολουόλόοπουρά οόσουώωοθόωσάυψηλόσθσημcrt πουόληηό πράπόρμόο. Λύσησοπρπάωπρόβλημρδώσ μηοροθοώοοποοομάοοθόtft. Χρησμοποούρσορ, πόοοποοπρουοόομου, ολοάθυπόpixel. Όλ ρσορ σδσμ πάω σο δο δσο πυρου υό οποθημοσοσωρόμλπήυάληπλά. Κάθπόυά ρσορλουρωδόπηληποσόηουηλρούπδουπου 14

20 πφλδ2 πφλδ1 φρμόσορύσλλομρηπόμηωσηό. Τοόυόά οφόμοουρμοπμο(flickering) μηορόσυήηηορ οθοώ ΣύρσηοθόηCRT TFT ΤοόόηδημουρμόσοθόTFT δπολσμμ ούμηηλροήδσμηλλάόσυόλουπόpixel, πουλοοθ ωρσάσσθρθσ, ωπολσμμοθόημ100% ρβ σηωμρηλπομρσηό. ΟοθόTFT ουηλύρη ποόη όόλουρούσηάλυση που συσμ. Σ δφορήπρπωσηηποόηπφόρυφ. ΑυόδσυμβσCRT δ ου σθρή ωμρ μπορού λουρήσου μ λά πολσμσσύολοπόλύσ. ΟTFT ουπολύμρόρηάλωση πόcrt μλύρηδάρωή. Αόμπλοήμόπωμρόόο μρόβάροθσούtft ωμοδήπλήσμσοπόση. 15

21 πφλδ2 πφλδ1 ΤρόποδσύδσηΟθοώ 1. VGA Οconnector VGA σθσηοράο1987 πόηibm μύροσόοη δσύδσηοθοώμωράυλώμσύομθρώθηωπρόυπομ άλυσηπόσησ640 x 480. Σησυπύθημσράπόάλλ λύσπουόμωόλήβσσμσηρήλουρηvga. Μρπό υηsvga σ , ηxga σ , ηsxga σ η UXGA σ Όφρόμσσοόροάλυσηοούμορθμόω σηλώ ορθμόωρμμώηοθόη. 16

22 πφλδ2 πφλδ1 Π1 : Αλύσρηρσάησάρωση Σοπ1 βλπουμμλσπόλύσρυθμούωσηόλ ρηρσάουπουπροσδορουουρόουορόάθησάρωση. Σπόμπρράφουθηηθούυάρηρσά Ορόσάρωση Σηπρρφήλουρπουθάουμσυήηπράρφοθφρθούμ σηάλυση640 x 480 μρυθμόωση60hz. Γμπορσουμλουμμ οθόημσω VGA, πσήμμπρη. Τρ πόυά η ρωμήπληροφορλάώάλλδύοσήμσυροσμού ψηφά. ΤσήμηρωμήπληροφορRED, GREEN BLUE. Τππδωάσωσσήμυάθορουορώμπουμφ σηοθόη. Σπόμηπράρφοθλυθσποάόρπρπυμο υά ππδ ά όφοράορώμ. Εδώ θ λυθού σήμ συροσμούοποhsync VSYNC. ΤοHSYNC οσήμορόου συροσμού ο VSYNC ο σήμ άθου συροσμού. Σοπ 1 17

23 πφλδ2 πφλδ1 βλπουμσηδύρησήληοόροpixel clock πουμδησυόημη οπηορόσάρωση. Σηπρπωσημ25.175MHz. Εό9 : Ορόσάρωση Σηό9 βλπουμόλουουρόουπουμδφρουάηορό σάρωση. Ηδδσηορόσάρωσηρμοορόοσυροσό πλμό. Συροσόπλμόουμάηάθησάρωση. Σηπρπωση υήοπλμόλάθοσυροσόπλμόηύπρηουσημο λομόηρημούρ. Όπωφσοπ1 η δάρουορόουσυροσούπλμού96 πλμοωρολου. Ησυόη μηοποσρώοpixel ορό25.175mhz. Συπώμπορούμ υπολσουμηροήδάρου. Δάρορόουσυροσούπλμού: Μάοορόοσυροσόπλμόολουθμ«ρήπροδο» ηοπο οομάback Porch. Αυήηροήπροδοσσύροοθόδμ ρησμόηλλάυπάρμρσήμρλόουσυμβόη. Σπλοθό υό ο δάσημ ήπρηο σώσμά οσυροσό πλμό προλάβου μπουσο δάσημ ηορήπροήωρπροβλήμ. Αυό συβλόοπρορσμώσηύηωηλροώσηόυπάρουσ ολ. Ηδάρυήηπρόδου48 πλμοωρολου. 18

24 πφλδ2 πφλδ1 ΔάρBack Porch : = 1 48 = = Σησυολουθησάρωσηηορήπροήηδάρη640 πλμοωρολουοπράδμμ. Σηπροήυήσάθπροδοωρολου ώpixel μησοηρωμήπληροφορ. Δάρορήπροή: = = = ΤλυπροδοπρηολολήρωσημορόρμμήηFront Porch. ΌπωηBack Porch συήμ«ρήπροδο» ηοποήρήσμη μόοσπλοθό. Ηδάρη16 πλμοωρολου. Έσμπορούμ ηυπολσουμ: = 1 16 = = Ο συολόρόοπουράολοληρωθηδδσηορό σάρωσημρμμήοάθροσμόλωωπρπάωρόω. Χρόοπουπησάρωσημορόρμμή: = = Κάθησάρωση Όλοπροδοπουφρμηορόσάρωση(συροσόπλμό, back porch, ορήπροήfront porch) σύουηάθησάρωσημηδφορά φυσάόδφρηροήδάρου. Σοπ1 φηδάρουη 19

25 πφλδ2 πφλδ1 οποφράσρμμ(lines). Ο άθοσυροσόπλμόδρ προδο2 ρμμώ. = 2 = Μπρόμοορόποσύμφωπάμμουπ 1 μπορούμ υπολσουμόλροδάρωπρόδωηάθησάρωση. Σο πράωπσυοψοόλπολσμωυπολσμώ. Π2 : Χρόοορόάθησάρωση Symbol Parameter Vertical Sync Horizontal Sync Time Clocks Lines Time Clocks T s Total Time ms μs 800 T pw Sync Pulse us μs 96 T bp Back Porch μs μs 48 T disp Display Time ms us 640 T fp Front Porch μs ns Πρρφήλουρ Ηδδσάμουδύοσυροσούπλμούπουσημόδύο σήμσυροσμούσλό«0». Μάουσυροσούπλμού σήμhsync VSYNC θοσλό«1». Αυόσημόάησάρωση ηπρώηρμμήμούρό. Ηορήπροήοpixel (0,0) ρου πόοσημοπουλώουοπροback porch ηάθηορό σάρωση. Σησυσρώοόλpixels σηδρμμήμροpixel (0,639) όπωηό10. Μπόυόοpixel ηορόσάρωσημπσηπροή front porch πρμοδάσημπουυπολσ. Σοσημουό λώησάρωσηηπρώηορόρμμήοσήμορόουσυροσμού HSYNC λλάσλό«0» σώσσημοδοηθηρηησάρωσηη πόμηρμμή. ΣυρόωοσήμVSYNC πρμσλό 1 ηάθη 20

26 πφλδ2 πφλδ1 σάρωσηπρμσηορήπροήμρσρωθούο480 ρμμ. Μάη λλή ρμμή, η δδσ ηορόσάρωσησυόπωουμ πρράψπλμβάμρσυμπληρωθούο480 ρμμηορή προήουπόλοπρμμηπροήfront porch ουάθουσυροσμού. Σο λοηλυρμμήηπροήfront porch λώμ πλήρη δδσπόσημόσήμσυροσμούhsync VSYNC πσρφουάσλό«0» σώσρσάηδδσπόηρή μούρό Ρυθμόωση Πρηρούμόορόοπουράολοληρωθμπλήρηό ms. Αυόσημόσπροδο1 s ορθμόωόωπουθου ποσσηοθόηθ: = 1 = = 60 Μπορούμσορσουμμοηοποπολύσημήοομά ρυθμόωση(refresh rate). Ρυθμόωσηορθμόωόωπου μφοσηοθόησοδάσημ1 s. Σοπ1 μπορούμδούμου ρυθμούωσηδφόρωλύσω. Οποσυηθσμορυθμοωσησ οθόωπροσωπώυπολσώμύ60 90 όοδυρόλπο. Το ώοόροουρυθμούωσηπροσδορπόοθρώπομάώο 21

27 π φλ δ2 π φλ δ1 Ε ό 10 : Ηδ δ σ ησ άρωση ώ οπό η δ ηοθό η. Ολόο ό ορυθμό ωσηπσ ά ω πό60 ό οδ υ ρόλ π ο ό ο θρώπ ομά βλ π «ρ μόπ μ» ο οπο ο ωσ ό ωflickering. Τοπρόβλ ημυ ό πολ ύπ ο ο οσ οθό CRT όπου οφώπουπρά πό ηπ ώση ηηλ ρο ήδ σμηπάωσ ο σ ρώμ πόφώσφορο μ ρήδ άρ συ πώμ ρή ωση η ό ή δώσ σ οπρ ηρη ή η ύπωσηό ρ μοπ η ό. Σ οθό TFT οflickering δ ύολ λ ηπ ό όλ pixel ρ ά η ρωμ ήπληροφορ ουμ ρ ησάρωση η λ υ ρμμή. Το ώ ο όρ ο ου ρυθμού ωση π ρ ορ πό προδ ρφ ηοθόη. Σ ο ρ ηρ σ όυ όπρπ δοθ δ ρηπροσοή όσοπ ομ άλο ο ρυθμό ωσηηάλυση όσοπ ομ άλη ησυ ό η σ σήμ συ ρο σμού. Επ λήπάρπολ ύυψηλούρυθμού ωσημπορ προ λ σ δυσλ ουρ σ ηοθόη όμ σ ροφήσ άπο π ρ π ώσ.γ ολ ό ο υ όο ρήσ ηθπρ π ά συμβ βσμό άμσσ άλ υση ρυθμό ωσησύμφω μ ρ ηρ σ ά ηοθόη ου δ ουπρο μήσ. 22

28 π φλ δ2 π φλ δ1 Π ρ ρφήπρ ούμ ρου 1. Α π υ ήμ ο άδ 1.1. Ε σ ω ή Τοπρ όμρο ηπ υ ήυλ οπο ήθη μ η ρήσηολολ ηρωμ ωυ λωμά ω πρρμμ όμ ηλ ή(field Programmable Gate Arrays). Οβσ ολό οπου οδήησσ ηπ λ ήυ ή η ολ ρ. Έ πόυ ού η δυ ό η ωfpgas λ ουρ ού σ υψηλ συ ό η η ά ωμρ ώ ο άδωmhz. Όπω δμσ οπ 1 π υ θού οπο η λύσ σμ οθό ηηλ ά σ ησυ ό η σάρωση MHz. Μ λ ύ ρ λύσ ρυθμο ωση ουωπο λ σμ μ λ ύ ρσυ ό η σάρωσηπουμπορού πράσουόμ 100MHz. Οπ οσυ ηθ σμ ο32-bit μ ρο λ πουυπάρ ουσ ο μπόρ ο ουμ σ ησυ ό η λ ουρ μ ύ ΜHz. Τ ο όυ ό ωπο λ σμ FPGAs πο λ ού η δ ή π λ ή φρμ π ρ σ ό π ό σηόπουουψηλ συ ό η λ ουρ βσ ό ρ ήρ ο.έδ ύ ρολό οπου π λ θη FPGAs η δυ ό η που ου πράλλ ηλ η π ρσ δδομ ωσ θση μ ου μ ρο λ πουά ο οδ φ ό οπρό ρμμ λ σ ρ ά ( ολουθ ά). Η ρήση π ρ ρφ ώ λ ωσσώ πρ ρμμ σμού όπω VHDL VERILOG βοηθά πρου ή η ύθυ σηδ η δυ ό η σ δ ση πολύπλ ο ω υ λωμά ω. Τοπλ ο ημυ ό ωfpgas θ σ άμ μ ASICs μοδ ήπ λήσπ η φρμ μ ηβσ ήδ φοράό δ ύ ρδ ου ηδυ ό η πρρμμ σ ούπό ο ρήσ η. Αυ ό ωπο λ σμη μ λ ηηδημ ουρ πρω ό υπου δύσολη ρο οβόρυπόθ σησ ASICs 23

29 π φλ δ2 π φλ δ1 ο όπουυ ά οόσ ο σ ύ ηρήση ωfpgas. Έ ρ ολ ό οπου π λ η FPGAs σ ηπ υ ή η ά η μάθησηυ ή η ολ που π ύσσ ρ δ. Η ο ωση μ πρράμμ συ ρ μ ολ μπορ μό ομ η σόλ ησησβάθο ηφρμ ή η π υ ήμου δωσ ηδυ ό η υ ή Η π υ ήμο άδ ρ ηρ σ ά η Τ π υ ά πολύσυ ηθ σμ ο ρ λ ο που ρησ μοπο ά η σ δ σηψηφ ώυλ ωμά ω σωμ ωμ ωσυσ ημά ω(embedded systems). Ολ ό οπου όσοδημοφ λ ήοφ λ σ οόό FPGAs πρσ υά ο σπολ ύπλο συσ υσ η σ υή υπωμ ου υλ ώμ ο ρ δυσολ. Χρ ηρ σ όπράδ μ ό ηπλ οψηφ ω σ υώμfpgas π υπωμ υλ ώμ μπά ωπό2 σ ρώμ (layers), πρά μπουυ ά ο όσ ο σ υήπρω ό υπου. Ε όυ ού λ σ ούμ ηπ θ ό η λάθουσ η σ δ ση ό υ ά ο ρ σ ο που π ρ ο σ δ σ ήμ πο λ σμ θυσ ρ η όληδ δ σ. Αυ ά προβλήμ ρ ο λύψου π υ ά οπο προσφ ρουμ ο μηπλ φόρμπάωσ ηοπο μπορ ο σ δ σ ή π ρμ σ δο μάσ η ορθό η η λ ουρ ό η ουυλ ώμ οπουσ δ σ ύ ολ ρή ορ. Έ σ ουδ η δυ ό η σ ησυ προ ωρήσσ ημ ήπρ ωή η φρμ ή ουωρ ο δυ ο η πο υ. Το όσ ο ω π υ ώ σ ά μ ρό π ρ λ μβά ουσυ ήθω FPGA πλ ήθοπρ φρ ώπουδ υ ολύου η άπ υ ηπολύπλο ω φρμ ώ ωρ η ρήσηπρόσθ ω υλ ωμά ω. Πρόλ υ ά ουσυ ά όδουώσ μπορ π σημσ ό ο ηπροσρμή σ ά ου ρήσ η.υπάρ ουόμ π υ άπουσ ο ύουσσυ ρ μ φρμ άλμυ θορ ο π ρ φρ άπουπρ λμβά ου. Τοπ υ όπουθ ρησ μοπο ηθσ ηπ υ ή οspartan-3e Starter Kit η Digilent, ή ρήση υ όσ η ό 11. Όπω φ ρό πό ο ό ομ ου, η ρδ ά ου συσ ήμ ο FPGA ησ ράspartan-3e. Συ ρ μ οxc3s500-3e σμ συσ υσ 320-pin FBGA προσφρ 232 Ι /Ο pins λ ύθ ρη ρήση10476 logic cells άπ υ ηλ ώ υ λωμά ω. 24

30 π φλ δ2 π φλ δ1 Μ άλο πλ ο ημ ου συ ρ μ ου π υ ού ό πληθώρ πό μ ήμ. Αυ ο ή : Platform FLASH 4Mbit Ημήμηυ ή ηxilinx ρησ μοπο υρ ω οππρ ρμμ σμό ου FPGA. Τ FPGA συσ υ που δ συ ρ ού οπρό ρμμ ουμ ά ηφ ρση η ροφοδοσ ου υ ό ολόομ μη-π η ή μ ήμη μ ο πρόρμμ ποθη υμ ο πρ η η. Η δ δ σ υ ή ου ππρρμμ σμού ο ομά configuration process θπ ρ ρφ σ ησυ. DDR SDRAM 64MByte H μ ήμη υ ή ρησ μοπο ηπροσωρ ή ποθή υση μ άλου ό ου δδομ ω οπο μ βάλλ ο συ. Μ άλ οπλ ο ημυ ή ημ ήμη οπολύμ ρο ρό ο ρφή ά ησηδδομ ωθώλ ουρ σπολύυψηλ συ ό η. NOR FLASH 16MByte Η μ ήμη υ ή ρησ μοπο ο π πρρμμ σμό ουfpga. SPI Serial FLASH 2MByte Η Μήμηυ ή ρησ μοπο υρ ω ο π πρρμμ σμό ουfpga όπω ηποθή υσηδδομ ω ά η λ ση ουπρράμμ ο. Μ άλ οπλ ο ημυ ή ημ ήμη ό ρησ μοπο σπρω ό ολ λοπ ο ω η οspi. Η πλό η υ ού ου πρω οόλ λου συ ρ ά μ άλ λ μήμ η θ σ ά μ πολύ λή ποθη υ ήλ ύση. EEPROM 1Kbit Ημ ήμηυ ή ρησ μοπο ηποθή υσηή ά ηση δδομ ω ά η λ ση όπρ ράμμ οσ οfpga. Λό ο ουμ ρού μ θου ηδ ρησ μοπο ποθή υσηπρ ράμμ ο. Α όμάλ λπρ φ ρ άόπωοθό η ρ ήρω2x16 μ ροπ A/D D/A μπορού φ ού ρ ά ρήσ μ σ πολλ φρμ π ρ λμβά ο σ ημοάδ. Ηδυ ό η π ο ω μ ω ρ ά υ λώμ δ μσπό σύολ οθυρώόπωethernet, VGA, PS/2 δυοσ ρ 25

31 π φλ δ2 π φλ δ1 (DTE DCE). Σ πόμ πρράφου θ π ρ ρφή ω βσ ώ μημά ω η π υ ήμο άδπουθ ρησ μοπο ηθούσ η φρμ ή. Ε ό 11 : Α π υ ήμο άδ SPARTAN-3E 1.3. Πρ ρφή ωβσ ώ μημά ω ημο άδ Spartan-3E FPGA ΤοFPGA πο λ η άρδ ουσυσ ήμ ο. Χρησ μοπο οxc3s500-3e πουή σ ησ ράspartan-3ε σοδυμμ πύλ. Ησυσ υσ ου ηfg320 BGA (Ball Grid Array) ηβάση ουπο λ πό320 μ λ λ άσφ ρ δ που ορ ωμ σ18 σ ρ18 σ ήλ. Από υ ά 320 σφ ρ δ 64 26

32 π φλ δ2 π φλ δ1 δσμ υμ η ροφοδοσ ουfpga. Π οσυ ρ μ 28 δ σμύο ωση (GND) ομο όμορφ μημ σ ο ρο ά ρ ησυσ υσ,8 ησω ρ ή ροφοδοσ (VCCΙ ΝΤ), 8 η ω ρ ή ροφοδοσ (VCCAUX) 20 δ σμύο η ροφοδοσ ωυλ ωμά ωπου θορ ου όρ σ οπο θυμ ο π π δ ω άσ ω ω σόδω όδω ουfpga (I/O pins). Η σω ρ ή ροφοδοσ (VCCINT) πρ π σ θ ροπο ημ ησ 1.2V υπ ύθυ η ηλ ουρ άόλω ωλ ώ υ λωμά ωσ ο σω ρ ό ου FPGA. Η ω ρ ή ροφοδοσ (VCCAUX) πρπ 2.5V πρ η η ηβλ σ ηλ ουρ άπο ωμο άδω ουfpga όπωυλ ώμ ηδ ρ ση ου σρ ομ ουσήμ ο ρο σμού, οπρ ρμμ σμό ουμσω JTAG ο πρρμμ σμόπό η ω ρ ήflash PROM. Ε όπό 64 υ άσφ ρ δ που δ σμυμ η ροφοδοσ, υπάρουάλ λ256 που υπηρ ούδ άφορ λ ουρ. Απόυ ά 102 σφ ρ δ ρησ μοπο ού σ σοδο οδ ή ρήση, 48 ρησ μοπο ού μό οσ σοδο, 46 μο ρά ο άπο λ ουρ μπορ ορήσ η δ ρ σ άλ μ ά ου, 20 μδ ου η δυ ό η φρμόσουμάπο άση φορά 16 δ σμύο σοδο οδοσημά ω ρο σμού. Σ υ ολ ά πρπάω ομάδθ μπορούσμ συ οψ σουμόλ μ σμ η ορ 232 σόδω όδω θώ άρ η πό ωρ σ δ ό η που άθ ομάδ, ου όλ η δυ ό η ρησ μοπο ηθού πό ο ρήσ η ο λ ο π ρ φρ ώ συσ υώ. Από υπόλ ο π24 σφ ρ δ πουπομ ου 18 σω ρ άσύ δ σ οxc3s500-3e ρησ μοπο ού σάλ λfpgas η δ σ ρά 6 ρησ μοπο ού η δ δ σ ου πρρμμ σμού μ σω JTAG ηflash PROM. Σ η ό 12 βλ πουμ η πάω όψη ηβάση ου FPGA. Όλ σφ ρ δ που φ ρμ ωρ ο άλ μ ηπρ οήπουβρ σο σ4 μ άλ ομάδπουοομά ο BANKs. Σ η ό πρά ω βλ πουμυ ομάδμδ φορ ά ρώμ. Η BANK0 μμωβ ρώμ, ηbank1 μπράσ ο, ηbank2 μ λά ο ηbank3 μρο. Τάσπρ ρ ω σφ ρ δ που σω ρ άσύ δ. Τπράσ ρά ω ώσ οοπο μο σόλ BANKs δ ηρώ μ συμμ ρ. Τό ρά ω ησω ρ ή άση(vccint) πορ ολ ρά ω η ω ρ ή (VCCAUX). Τ ρ ράω ο 27

33 π φλ δ2 π φλ δ1 πρρμμ σμό ουfpga δυ λά πρ η οπρ ρμμ σμό ησυσ υήπό ω ρ ήμήμη. Τ20 μωβ ράωπου μο σομρώ σ 4 BANKs η ροφοδοσ πουθορ όρ πουθ υμ ο π π δ άση ω σόδω όδω ουfpga ωρ ο σvcco_0, VCCO_1, VCC0_2 VCCO_3 άλμ ηθ ση ου. ΚάθBANK ηδυ ό η ροφοδο ηθ μ δ φορ ή άσηπό άλ λbanks άλ μ ά ου ρήσ η ούρο ω μώπουμπορ πάρ πό1.2 ω3.3v. Ε ό 12 : Πάωόψη ηβ άση ουxc3s500-3e Μ ρ ώρ μ πρ ρφή ησυσ υσ ουfpga. Εδώ θπρ ρφού άπο ρ ηρ σ ά πό η σω ρ ή δομή η σ ράspartan-3e που θ ρησ μοπο ηθούσ ηφρμ ή ηπ υ ή. ΤFPGAs πο λού σω ρ άπό 28

34 π φλ δ2 π φλ δ1 πρρμμ όμ λ μο άδοοπο οομά ο configurable logic blocks (CLBs). Αυ συδ ο όλμ ύ ου οσ δ σ ήμπορ ρησ μοπο ήσ υλ οπο ήσ συ ρ ήσ συδυσ ήλ ήόπω σύ ρο ψηφ ά υ λώμ. Συ πώηλ ουρ ωfpgas βσ σ λ άυλ ώμ υ ά (CLBs) που πο λού ο μ σο σύ θση ου Hardware ου ρήσ η. Πρόλ υ ά υπάρ ου σω ρ ά άπο ο μμοάδ Hardware που π λούσυ ρ μ λ ουρ οοπο ουωσ ό ο δ υολ ύ ου π ύ ου η δ δ σ ησ δ ση. Δυο πόυ μο άδ η Δ ρ σηωρολ ου (Digital Clock Manager) ησω ρ ήμ ήμη(block RAM) Digital Clock Manager Τ DCMs λ ά υλ ώμ που π λού λ ουρ δ ρ ση ω σρ όμ ωσημά ω ρο σμούσφρμ πουρησ μοπο ού FPGA η σ ράspartan-3e. Ορ θμόυ ώ ω υ λωμά ωμπορ δ φρ σ FPGA η σ ρά άλ μ ομ θο ου. Σ υ ρ μ οxc3s500-3e π ρ 4 DCMs. Τ βσ άπλ ο ήμ οδυ ό η ωdcms οπρ ά ω: Frequency Synthesis (Σ ύ θ σησυ ό η ): Τ DCMs ου ηδυ ό η δημ ουρ ήσου μ άλ ο ύρο συ ο ή ω πό η π ρ σ ό σρ όμ ου σήμ ο ρο σμού. Μ πολλ πλ σ σμό δ ρ ση ου σρ όμ ου σήμ ομδ άφορουσυ λ σ ουμωπο λ σμ η σύ θ ση ό ούρ ουσήμ ο ρο σμούσυ ρ μ ησυ ό η. Υπάρ όμ ηδυ ό η δημ ουρ πρπά ωπόμ συ ό η οοπο μπορού ρησ μοπο ηθού σω ρ ά σ ο FPGA ή ροφοδο ήσου ω ρ άυ λώμ. Phase Shifting (Μ βολήφάση ): ΤDCMs μπορού μ βάλ ου ηφάση όλ ω ω πρόμ ω σημά ω. Αυ ό π υ ά θυσ ρώ ά συ ρ μ η ρο ήπρ οδο ο σ ρ όμ οσήμ ρο σμού. Clock conditioning: Ε ηδ δ σ ά ηοπο σήμ ρο σμούμ οπο οδήπο duty cycle μ ρ π σσήμμduty cycle 50%. 29

35 π φλ δ2 π φλ δ1 Clock Skew Elimination (Ε άλ ψη ου φ όμ ου ηπρμόρφωση ω σημά ωρο σμού): Έπό μ λ ύ ρπροβλήμ που μ ωπ ου σύ ρο υ λώμ πουλ ουρ ούσυψηλ συ ό η πό50mhz πά ω ηπρμόρφωση ωσημά ω ρο σμού. Ηπρμόρφωσηυ ή ωσ ήσclock skew οφ λ σ ο ο όό σήμ ρο σμού φ ά ουσδ φορ ού ρόουσδ φορ άσημ μπο λ σμ δημ ουρ μ δ φοράφάσηπουδ π θυμη ή. Τοπρόβλ ημυ ό μ ωπ μ η ρήσηdcms θώμ άλ ληλ λ φο υ ο θυσ ρήσ ωσημά ω ρο σμού. Σ η ό 13 βλ πουμ ο block δ άρμμ όdcm. Θ π ρ ρφούσ η συ ολ ουρ άθμ πό σόδου όδου ουπρ ά ω δ ράμμ οδ ο υρ ω μφση σ πουθ ρησ μοπο ηθούσ η π υ ή. Ε ό 13 : Μπλο δ άρ μμdcm Η σοδοclkin πο λ οσημ ο σόδου ουσήμ ορο σμού. Σ υ ήθωη σοδο ουσήμ σ πό 16 άλ ληλσφ ρ δ πουόπω φ ρμ σ 30

36 π φλ δ2 π φλ δ1 προη ούμ ηπρά ρφο δ σμυμ σοδο οδοσημά ω ρο σμού. Η ρήση η σόδουclkin πρ η η άρ η μ ολόοπουθ ρησ μοπο ηθ οdcm η σρ όμ ησυ ό η ρο σμούπρπ βρ σ σ όρ πουορ ο σ υσ ή. Γ π ρ σσό ρ φρμ η λά σ η μή η 5MHz η μ σ η90mhz ώμο ό ηπρ π ωσηόπου ουμσύ θ σησυ ό η μπορ π σ μ ρ 200KHz ημ σ η μήπουμπορ πάρ 333MHz. Κ ά η δ δ σ η σύ θ ση συ ό η, σ όδου CLKFX CLKFX180 μφ η πρόμ η συ ό η. Το duty cycle ου πρόμ ου σήμ ο ρο σμού 50% ησυ ό η ουδ πό ησ ση: = ΤCLKFX_MULTIPLY CLKFX_DIVIDE άπο οσυ λ σ που θορ ο πό ο ρήσ η ουδ ου ηδυ ό η θορ σ ηπρ όμ ησυ ό η ηοπο όπωφ πό ηπρπά ωσ σηθ πολλ πλάσ οήυποπολλ πλ άσ ο η συ ό η σόδου. Ο μ ησυ ό η ουσήμ ο όδουμπορού υμθού μ ύ5mhz 307MHz. Η δ φορά η όδουclkfx180 μ ηclkfx ό η πρώ η σ ρμμ ησσ σημ ηδ ύ ρηθώ σφάση180 μο ρώ. Ο πρπά ω οδοδ ρ ά ο μ άλλ ησύ δση πρ θ συ ό η ώ θ σ όδουclk0, CLK2X, CLK2X180 CLKDV πρ θού σήμ ρο σμού ρ ά μ μορφή άδρσηηοπο φρμό σ η σοδοclkfb. Σ ηclkfb συδ πό σήμ CLK0 CLK2X. Π ο λυ ά η CLK0 ρησ μοπο π ρ π ώσ όπου ο η ούμ ο ηποφυ ή ηπρμόρφωση ωσημά ω ρο σμού ώδ λ λά θόλου ησυ ό η ού οduty cycle σ σ σημ οσήμ σόδου θ μ ηclk2x πουησυ ό η όδου δ πλάσ υ ή η σόδου οduty cycle σ θ ρά50%. ΤοCLK2X180 σδ φορά φάση180 μο ρώμ οclk2x ώ ά άλλ δδ φρου. ΤοCLKDV δ ρ η σρ όμ ησυ ό η ά συ λ σ ή ησυ ό η όδουδ πό η σ ση: 31

37 π φλ δ2 π φλ δ1 = _ Οσυ λ σ ή οclkdv_divide ο μ πουμπορ πάρ : 1.5, 2, 2.5, 3, 3.5, 4, 4.5, 5, 5.5, 6, 6.5, 7, 7.5, 8, 9, 10, 11, 12, 13, 14, 15, 16. Η οδοlocked πολ ύσημ ή θ σλ ό μοόό οσήμ ρο σμούσ η οδοσ θ ροπο ηθ. Έ φ όμ οπουπρ ηρ σ ψηφ ά υ λώμ δημ ουρ προβλ ήμ υρ ωσ φρμ πουλ ουρούσ υψηλ συ ό η ά μ ο ρόο που θ λ ο σήμ ρο σμού σ θροπο ηθσ οπ θυμη όπλ ά ο ου. Τοπρόβλ ημυ ό μ ωπ μ η ρήσηdcm υ ό η οδοlocked πρμ σλ όμηδόσο οσήμ ρο σμούδ σ ηπ θυμη ή άσ ση.συ πώό οlocked σλ ό μηδ θπρ π ορήσ ημ άλ ληλο ύλ ωμ ά reset οdcm μ σω η σόδουrst. ΤοDCM θπρππρμ σ οreset μ ρ οπ σό σ θροπο ηθ οσήμ ρο σμούθση οlocked σλ ό. Τό ο ω ρ ό ύ λωμθβ άλ οdcm πό η άσ σηreset o οπο ομ ησ ρά ου θ ροφοδο ήσ λ ά υ λώμ. Πρ σσό ρπλ ηροφορ σ οομμά υ όθ φρθούσ ηπρά ρφοόπουθπρ ρφ η ρήση ουdcm σ ηπ υ ή Block RAM Η π υ ήμο άδόπω φ ρμπρ λμβά μ πλ ηθώρπόμήμ όπουη άθμ πόυ δ ά ηπλ ο ήμ. Μρ άπόυ ά ησυ ό η λ ουρ, οπρω όολλ οδ σύ δ ση, ομ θο ησmbit ο π η ή η μη-π η ή άλ μ ηφρμ ή. Ε όόμω ω ω ρ ώμ ημώπου πρ ο σ ηπ υ ήμοάδ, ησ ράspartan-3e προσφρ ηδυ ό η δημ ουρ μ ήμηram σ οσω ρ ό ουfpga. Ηδημ ουρ υ ή ημήμηδ μ η ρήσηclbs λλ άμ η ρήσηλ ώμο άδωπου δ σμυμ υ ό οσ οπό.τομ θο η μ ρό,μόλ bits σ οxc3s5003e, λλ ά ο μ άλ ο πλ ο ημ ό ύ η. Χρησ μοπο υρ ωσ φρμ προσωρ ήποθή υσηδ δομ ω ρή ορηπ ά ηση ου. 32

38 π φλ δ2 π φλ δ Χρο σμό Η μοάδ δ θ ρ ρόπου προ ή σήμ ορο σμού σ ψηφ ά υλ ώμ ουfpga. O πρώ ο ρόπο μ η ρήση ό ρυσ λ λ ού λ ω ή 50MHz που συ δ σ η σοδοgclk10 ηbank0. Πρά ρ ω όπλ μό σ θ ρήσυ ό η, πλά ου3.3v μduty cycle πουυμ μ ύ40% 60% π λ θη ρησ μοπο ηθσ η φρμ ή η π υ ή( ό 14, IC17). Ο δ ύ ρο ρόπο π σημ η ρήσηρυσ λλ ού λ ω ή, μ ηδ φοράό πρ μ βάσηdip8 σ ο π υ όώσ μπορ ο ρήσ η π λ ο λ ω ήμ ηπ θυμη ήσυ ό η ( ό 14, IC16). Σ η οράυπάρ μ άλη πο λ ρυσ λ λ ώ λ ω ώπου λύπ ου ύροσυ ο ή ωπό20khz ω 125MHz. Η π λ ή ουσωσ ούρυσ λλ ού λ ω ή πολύσημ ή μπορ σημ π π ώσ σ ο μ θο ου πρ όμ ου ψηφ ού υλ ώμ οσ ο FPGA. Σ η φρμ ή ηπ υ ήπρά ω θ δούμ ό σ π ρ π ωση όπου ρ όμσ δ φορ ήσυ ό η πόυ ή ου ρυσ λ λ ού λ ω ήμπορούμμ ηρήση δ ώμο άδωπουο ομά ο Digital Clock Managers (DCM) ηυποβ βάσουμή ηυ ήσουμ. Ε ό 14 : Πη ρο σμού η π υ ή μο άδ Platform Flash Prom ΤFPGA συσ υπου ου η δ ό η μ ά ηφ ρση η ροφοδοσ μησυ ρ ού η φρμήμ ηοπο πρρμμ σ η. Αυ όοφ λ σ ο ο όό δ ουάπο μη-π η ήμ ήμηπου ποθη ύ οπρό ρμμσ 33

39 π φλ δ2 π φλ δ1 μορφήbits. Λύσησ οπρόβλημυ όρ δώσ μ ω ρ ήflash PROM ηοπο πρρμμ μ οπ θυμη όπρό ρμμμ η ρήσηυπολ σ ήμσωjtag. Έ σ μ η φρμ ή η ροφοδοσ μ η ρήση άπο ου μη σμού που θ π ρ ρφ πρά ωηprom πρ ρμμ οfpga. Ηδ δ σ υ ή ωσ ή μ οόροconfiguration πρ η ησ FPGA σώσ ρησ μοπο ηθούσ υ ό ομ φρμ μη ρ ά ο η ύπρ η υπολ σ ή ο ππρρμμ σμό ου. Σ ηδ ά η η ό 15 φ ο ρόποσύδση η PROM σώσ μ ά η ροφοδοσ πρ ρμμ οfpga. Ησυδ σμολ Slave Master. Ημ ήμησυμπ ρ φρ σslave ώ οfpga ωmaster. Αυ όπρ ά σημ ό οfpga πρ σήμ ρο σμούσ ημήμηημ φορά ωδ δομ ω πά σύμφωμυ όόπωφ σ η ό15 θώ οcclk ου FPGA συ δ μ οclk ηprom Πρω ό ολλ οjtag ΤοJTAG (Join Test Action Group) σ ρ όπρω όολλ οπου ρησ μοπο ηθ ρ ά ο λ ο η σωσ ή λ ουρ ψηφ ώ υλ ωμά ω ώ ρησ μοπο υρύ οπρ ρμμ σμό ου. ΤTCK, TDO, TMS TDI σήμ που ρησ μοπο οσ οπόυ ό.σ η ό15 βλ πουμμ PROM FPGA συ δδμ σμ λ υσ δ σώσ μπορού πρ ρμμ σ ού μ σω JTAG. Τ TMS TCK συ δο σόλ συσ υ ηλ υσ δ ο λ ουρ πουπ λ ού ημ φορά ολ ώ οσυ ρο σμό ουμ ο όσήμ ρο σμού σ ο. ΤοTDI, πουμ φρ δδομ σ συσ υ, συ δ μό οσ ηπρώ ησυσ υή ηλ υσ δ. Σ ησυ οtdo ηπρώ η συσ υήσυδ σ η σοδο TDI ηδύ ρη. Η οδοtdo η λ υ συσ υήσυδ π υθ σ οconnector JTAG όπω οσ ήμ16. Ηδυ ό η η ύπρ ηπρπά ω ωμ συσ υώσ ηλυσ δπ ρπ ηδημ ουρ φρμ ώ μπρπά ωπό FPGA μήμ, όπουυ ό πρ η ο, ο λ ο πρρμμ σμό ου ωρ σ ά. Κάθμ συσ υήσ ηλυσ δ μο δ ό ρ θμό(id) σώσ ουπολ σ ήπουθπρ ρμμ σ μπορ ωρ σ η ύπρ η η. Συ ρ μ σ η π υ ή μο άδ που θ ρησ μοπο ηθ ωρ ο ρ συσ υσ η δ λυσ δ. Αυ ηflash PROM (XCF04S), ο 34

40 π φλ δ2 π φλ δ1 FPGA (XC3S500-3E) CPLD (XC2C64A). Από η σ μή πουθ ωρ σ η λυσ δ, ηπ λ ήπό ο ρήσ ηπ συσ υήθπρ ρμμ σ. Ε ό ω σημά ωπου οπρρμμ σμό μ σω JTAG μ η ρήση υπολ σ ή υπάρου άπο άλλ σήμ που πρ η οπρρμμ σμό ου FPGA πό ηprom. To D0 ηflash συδ σ οdin ουfpga ορόλ ο ου η μ φορά ωπρ ράμμ οσbits (bit stream). ΤοPROG_B ό θσλ ό«0» ό οfpga μ φρ σ άσ σημηδ σμού(reset). Σ ηπρ μ ό η ο μηδ σμό ουfpga π άπο οπολύμ ρόρό οοοπο οδολολ ηρωθ δ μπορ ρ ση δ δ σ ου πρ ρμμ σμού πό η PROM. Σ υ ό συμβάλ η ρήση ουσήμ οinit_b πουό θ σλ ό«1» σημ ό λ ωσ η δ δ σ ου μηδ σμού μπορ ρ σ η δ δ σ ου πρρμμ σμού. Τ λο οσήμdone δηλώ ό δ δ σ ουπρρμμ σμού λ ωσ π υ ώό ο οδο ουfpga πύου ρ. Ε ό 15 : Δ ά ηπρο ρ μμ σμούμ σω ηprom 35

41 π φλ δ2 π φλ δ1 Σ η π υ ήμοάδspartan-3e KIT ρησ μοπο ημ ήμηflash XCF04S η XILINX 4Mbit. Ο ρόποσύ δση η π ομο ό υπομυ ό η ό 15 όπόάπο μ ρδ φορ. Σ οσήμprog_b όπό ησύδσημ pull-up σ σηυπάρ push-button οοπο οδ ηδυ ό η σ ο ρήσ η ά reset οπο δήπο σ μήθλ πρρμμ σ οfpga πό ημ ήμη. Α θ σ ο ύ λωμ ουπρπά ωσ ήμ οά ο οθσυ β μόο ά η φρμ ή η ροφοδοσ. ΤοFPGA ρ όμσήμ οπο ο ομά ο mode pins σ οπόυ ώ θορ σου ο ρόπομ οοπο οθπρρμμ σ οfpga. Γ ολ ό ου όημοάδδ θ 3 ύ η δωπό οπο μπορο ρήσ ηπ λ ο ρόποπουπ θυμ. Τρ πό ουπο οσημ ού ο πρ ά ω: JTAG: Ό o βρυ υ λω ήρ σ ημσ θ ση ο FPGA μπορ πρ ρμμ σ μόο μ σω JTAG πό υπολ σ ή. Σ η π ρ π ωση υ ή δ μπορ ά configuration πόμήμη οπά ημ ουprog_b ω πο λ σμμό ο ομηδ σμό ουfpga. Master Serial: Ό όλ ο ο βρ υυλ ω ήρ συδ δ μ ο ό μ ο πά ημ ουbutton PROG_B ο FPGA θά configuration πό ηflash PROM ηxilinx. Τδδομ μ φρο σ ρ ά. SPI: Ό ουμ ου βρ υ υλ ω ήρm1 M2 συδ δ μ ουόπω οσ ήμ ό μ ο πά ημ ου button PROG_B οfpga ά configuration πόμ Flash ρησ μοπο ώ όμωω ρόποπ ο ω μ ύ ου οπρω όολ λοspi. Τλ οόπω φρμ οσήμdone δηλώ ό ηδ δ σ ουπρ ρμμ σμού λ ώσ π υ ώ.σ η π υ ήμο άδσυ δ σ LED σώσ μφ σ ο ρήσ η ο λο ηδ δ σ ουπρ ρμμ σμού. 36

42 π φλ δ2 π φλ δ ΘύρVGA Ηπ υ ήμο άδδ θ μ θύρvga που π ρ π η π ο ω ουfpga μμ CRT ήtft οθό η. Μ ύ ω όδω ουfpga ηθύρπρ μβάλ λο 5 σ άσ. Ο ρ πόυ ρ σήμ ρώμ ο ό ο, πράσ ο μπλ ώοάλ λ δύο σήμ άθ ου ορ ό ουσυ ρο σμού.η άση σ σήμ ρώμ ο ηοθόη θορ σ υμ πό0 ω0.7v όπου 0.7V μ σ ηφω ό η. Ο οδο ουfpga λ μβά ου μ 0 3.3V. Συ πώο μ ω σ άσ ω(r) θπρπ ο ώσ,σσυδυσμόμ ησω ρ ή σ ση ηοθόησ άθ πό σήμ ρώμ ο, η άση υμ σ π θυμη άπ πδ. Ε ό 16 : Πρ οσρμ ήμ ύfgpa οθό η Ησυδσμολ θμπορούσπρσ θ μ δ ρ η άσηόπουηπη ή η άση όδου ουfpga ημ σ η μή η 3.3V, η ω ρ ή σ σηr, η σω ρ ή σ ση ηοθόηπουη μή η 75Ohm η άσησ ά ρ η θ πρ π υμ μ ύ0 0.7V. Γ ο υπολ σμό η R θ ρησ μοπο ήσουμ ησ ση: = 75 ( 1) Μπρά βρ σ ουμό R = 246.4Ohm ηπ ο ο ή μή ησ ο μπόρ ο η 270Ohm. Α άλ μ ηλ ή άσ ση άθ όδου, σήμ ου ρώμ ο ου ηδυ ό η πάρουδύο μ. Αυ η μή0v που πο λ σμ η πουσ φω ό η ου σ ο ου ρώμ ο η μή0.7v που πλ ήρη 37

43 π φλ δ2 π φλ δ1 φω ό η. Μ ηθύρ η π υ ήμο άδυπάρ ηδυ ό η π ό ση μόο8 ρωμά ωπου πο λ σμσυ δυσμώ ω ρ ώβσ ώ. Σ οπ 3 φ ο ρ βσ ά ρώμ θώ πο λ σμ ω συδυσμώ ου. Το οό ό ρησ μοπο ού μό ο ρ οδο ου FPGA π ρ ορ πολύ δυ ό η ησυ ρ μ ηθύρ ωπο λ σμ η δημ ουρ πολ ύπ ρ ορ σμ ουρ θμού ρωμά ω. Μ ήσ σηπουδ ο ρ θμό ω ρωμ ώσυδυσμώσσ σημ όδου ουfpga η ή : όπουn ορ θμό ω όδω ουfpga πουφρου η ρωμ ήπληροφορ. Π 3 : Οπ θ ο ρωμ ο συ δυσμο Μ ρσ μή δμό ο άσ πουμπορού πάρου ρ σήμ πουφ ρου η ρωμ ή πλ ηροφορ δυο. Αυ ό όμω σύμό ο σ η συ ρ μ η π υ ή μοάδ. Ε άλλ ου όπω φ ρθη σ προη ούμ η πρά ρφο η π ο ω μ σω VGA λ ήπράμπουσημ ό η άσ δ π ρ ου μόοδ ρ μ. Α θ μπορού πάρουοπο δήπο μήμ ύ ουορ ου0 0.7V δημ ουρώ πολ λάπ πδφω ό η σ άθ ρώμ δ ο η δυ ό η δημ ουρ πολλ ώ ρωμ ώσυδυσμώ. Αυ ό π υ θ π ού πρπάω οδοπό οfpga άλλ ηλ ούλ ωμπροσρμήμ ύ FPGA οθόη. Σ π ρ σσό ρ οθό σήμ ρ ρησ μοπο ρωμ ή πλ ηροφορ 24-bit που πο λ σμ η δημ ουρ ρωμ ώ 38

44 π φλ δ2 π φλ δ1 συδυσμώ. Α η ύρ φρμ ή η π υ ή π 3-bit ρωμ ή πλ ηροφορ θ μ προυσ ση24-bit όμ η ρήση δ φορ ού υλ ώμ οπουσυδ σ ημο άδμ σω ωθυρώ π ση. Αυ ό ούλ ωμθ π ρ ρφ σ πόμ πρ ράφου Θύρ π ση Ημο άδ ρ θύρ π σηπου ηδ ου ηδυ ό η συ δθμ ω ρ άυλ ώμ μπο λ σμ υ ά ούρο ω φρμ ώ η προσρμό σ ά ου ρήσ η. Μ πόυ θύρπουθ ρησ μοπο ηθ ηhirose 100-pin FX2. Αυ ή δ σ ο ρήσ η ηδυ ό η δ θ σ μ43 Ι /Ο pins ωοπο ω 5 μπορού ρησ μοπο ηθούμόοσ σοδο 3 δ σμύο υρ ω σοδο οδοσημά ω ρο σμού. Ε ό 17 : Επ σηvga 39

45 π φλ δ2 π φλ δ1 Πρ ο όμδύοπ λ σ η ροφοδοσ, μ ο ρήσ η σ ηδ άθ ση ου μ πη ή άση5v μ 3.3V. Όλ I/Os συδδ μ σ ηbank0. Α άλμ π ρ φρ ά ά ου ρήσ ηυπάρ ηδυ ό η π λ ή ωορ ω σ οπο θ υμ η άση ωi/os ηβανκ0 ηπ λήυ ήθορ πό ηθση ουβρ υ υλ ω ήρσ ηj9. Τόρ πουμπορούμ π λ ουμ 2.5V 3.3V Επ σηvga Γ ά ηπ υ ήσ δ άσ η σ υάσ η ύ λωμ οοπο οθ συ δ μ σω ηθύρhirose FX2 σ η π υ ήμο άδ. Σ οπό ου π ρπσ ο ρήσ η π ο σ σ μ οθό η ό 24-bit ρωμ ή πλ ηροφορ μσο ηλ ήθύρvga. Αυ όπ ηδημ ουρ ω ρ ού υ λώμ θπρπ 24 οψηφ ο οδο ουfpga πουφρου η ρωμ ήπλ ηροφορ δυ ό η πουδ ηδ ηυπάρ ουσθύρvga σ ημο άδ. Σ η ό 17 φ ο ύ λωμπου σ υάσ η. Μπορ ωρ σ σ ρ βσ ά μήμ. Αυ ά ηθύρfx2 σ ρ σ ρά, ο υρ ω ύ λωμπουβσ σ D/A μ ροπσ ο ροηθύρvga σ δ ά D/A Μ ροπ Ο24 ψηφ ο οδο ουfpga πουφ ρου η ρωμ ήπληροφορ θπρπ μ άπο ο ρόπο μ ρπούσ λ όσήμ σώσ μσω ηθύρvga μ φ ρθ σ η οθό η. Έ ρόπο π υ θυ ό μ η ρήση σ άσ ωδ φορ ή μήπου ο ά ρο ουσυδ σ όδου ουfpga οάλ λοσ ηθύρvga σ ο σ ο ο ρώμ. Σ η ό18 φ ηπρπάω συ δ σμολ. Σ άθρώμθμπορούσμ πούμό σ ο ού4 δ ρ άσηπου σ ημ ου D/A μ ροπ πά λ μβάο υπόψη η σω ρ ή σ ση ηοθό ηπου 75ohm άθρώμ. Α άλ μ ο συ δυσμό ηψηφ ή σόδουδημ ουρού ο σ ο λ άσ ρ ρώμ. Η λύση υ ή η π ο πλ ή η π ο ο ο ομ ή. Σ η πρ μ ό η όμωό υλ οπο ηθ μ ροπή24-bit πλ ηροφορ ό υ ή ηλύσηδ όπ σ η. Ολ ό ο ό ο σ άσ πρ π δ 40

46 π φλ δ2 π φλ δ1 ρ ρώμ άθπόλ σησ η μήμ σ σημπορ προ λ σ δ φοροπο ησησ ηφω ό η συμμ ρ σ η ση ωρωμά ω. Γ η μ ώπ ση υ ώ ω προβλημά ω υπάρου σ η ορά δ ά ολ ο λ ηρωμ πουλμβάου ημ ροπή ουψηφ ούσήμ οσ λ ό ο ομά ο D/A μ ροπ. Σ ηφρμήπ λ θη ρησ μοπο ηθ ο ADV7125 ηanalog DEVICES. Πρ λμβά σω ρ ά ρ D/A μ ροπ άθ ρώμ ωρ σ ά ο άθ πόυ ού 8-bit. Λό ο η σ υή ου πά ω σ ο δ οσ ρώμπυρ ου, οd/a μ ροπ όμο ομ ύ ου σ λ φ οπρόβλ ημ ηδ φοράφω ό η που μσ ο προηούμ ο ύλ ωμλ ό ω ω ο ώ ω σ άσ ω. Ε ό 18 : D/A Μ ρ οπή12-bit Οσυ ρ μ ομ ροπ σ δ σμ φρμ ό θώ η δυ ό η λ ουρ συψηλ ύ η πουφ ά ου 330MHz. Σ η ό 19 φ ομπλ οδ άρμμ ουμ ροπθώ ο σοδο οδο ουο οπο θπ ρ ρφούλ υ άπρ ά ω. 41

47 π φλ δ2 π φλ δ1 Τροφοδοσ (VAA) : Ησωσ ή ροφοδοσ πολύσημ ήό μό η λ ουρ ου ολολ ηρωμ ου λλ ά η β λ σ η πόδοση ου. Το ADV7125 μπορλ ουρ ήσμ3.3v ή5v, άσ που οδύοπρ ο πό ηθύρfx2. Αποφσ σ η λ ά λ ουρήσμ3.3v, άσημ η οπο ροφοδο ηbank0 σ ηοπο ή ουο οδο ουfpga που μ φ ρου η ρωμ ή πληροφορ. Α όμ Θ πρπο θόρυβοσ ου ω ού η ροφοδοσ υμ σόσο οδυ ό μηλ ό ρ π π δ. Γ π υ θυ όθπρπ ρησ μοπο ηθού ρμ οπυ ω πό η ροφοδοσ σ η ωση. Οπρο όμ μ 0.1μf 10nF. Η ρήση ου όμπ οπο λ σμ ήθπρπ οποθ ηθούσάθ πό ου ωού ροφοδοσ όσοπ ο ο άσ οολολ ηρωμ ο. Έ άλλ ο ρόπ ημ ωση ουθορύβουμπορ π υ θμ ο άλ ληλο ρόποορ ά ωση ω ωώσ ο υπωμ ο ύ λωμπό ουοπο ουθ ροφοδο οadv7125. Σ ύμφω μ ο ρ δ ο ρήση ουολο ληρωμ ου θπρ π υπάρ ουδυοδ φορ άplanes ροφοδοσ. Τοπρώ ο η άση ροφοδοσ 3.3V ηοπο σ«λ ήσυ» μ η ροφοδοσ ου FPGA. Ε ό 19 : Block δ άρ μμ ουadv

48 π φλ δ2 π φλ δ1 Θμπορούσ ο ομσ ψηφ ή ροφοδοσ (Digital Plane). Tοδύ ροplane συδ μ οπρώ ομ σω όφ ρ η συ όσυ δ ο όλ pin ροφοδοσ ουadv7125. Αυ όθμπορούσο ομσ λ ή ροφοδοσ.οφ ρ η η δ ό η φή π ράσησυ ή άσηdc μπλ ο άρμφ δρομ η π θύμη συ ό η πουπ άθο πά ωσυ ή. Γ ωση(gnd) : ΤοADV7125 σ δ σμ οώσ πορρ π ο ω ρ ό θόρυβοπουπρορ πό οπ ρ βάλ λολ λάηδυ ό η ουυ ήδ δ όλ ο οφάσμσυ ο ή ωθορύβου. Όσομ λ ύ ρη ησυ ό η ου θορύβου όσο π ο δύσολη η πόρρ ψη η πηρ ά ο λ όσήμ ό.γ ολ όου ό πολύσημ ό σ δ σ ο υπωμ ούλ ωμόσο οδυ όλ υ ρ ηρηθού άπο βσ ρ ά η σ δ ση. Αυ ο ή : Θ πρ πόπω η ροφοδοσ σ δώ η ωσηυπάρ ουδυοplanes. Έ η λ ή ωση ηψηφ ή. Ηψηφ ήσυ δ μ η ωση ουπ υ ού ώη λ ήσυδ μ σω όφρ ημ ηψηφ ή. Ε ό 20 : Επ δρση ο υφ ρ ησ ημ ωση ο υθορύβου Σ η ό 20 φ ο άθρ πο λ σμ πλ ο ήμ που προυσ ά υ ήη ήδ ωρ σμού η ωση. Μπορ ολ ρώμ η 43

49 π φλ δ2 π φλ δ1 ψηφ ή ωσημπλη λ ή. Πρ ηρούμό οθόρυβοπουδημ ουρ σ ηλ ή ωσηδμ φρ σ ηψηφ ή. Ηπουσ ουφ ρ ηθ ω πο λ σμ π ράσ όλ ου όοθόρυβοσ ηψηφ ή ωση λ λο ω η άσ ση ουλ ού«0». Η ψηφ ή ωσηθπρ π π ρ λ ψηφ ά σήμ σόδουώσ μη π άθ συ άθόρυβο. Ψηφ σοδο(24-bit Χρωμ ήπληροφορ ) Η ρωμ ήπληροφορ μ φ ρ πό 24 όδου ουfpga σ 24 σόδου ου ADV7125. Απόυ ο8 ο ό ο,8 οπράσ ο 8 ομπλ σ η ό 19 φ ο σσήμ R7-R0, G7-G0 B7-B0. Λό ο ωυψηλώσυ ο ή ω, μήη ω ρμμώυ ώπάωσ ο υπωμ ο ύ λωμπρ π δ ηρηθούόσο π ο ο ά πρ βάλλ ο πό ηψηφ ή ωσηώσ μηπο ά θόρυβο. Α λ οδο ΤοADV7125 ρ λ όδου άθμ πόυ σ ο σ πό ρ βσ ά ρώμ. Ο οδου οπο λ ού ο λ υ οσ άδ οπρ ηοθόη μ φ ρου η λ ή ρωμ ή πληροφορ μσω ό λ ωδ ου συ ρ μ ωπροδ ρφώ. Ο οδου πη ρ ύμ ουψηλή σ ση όδου. Α ολουθού ηρ ήλ ουρ ωπομπώρ ύμ ημ φρόμ η πλ ηροφορ υπόμορφήρ ύμ ο πηρά πολύπ οδύσ ολπό οθόρυβο.γ μ λ ηθ ούλ ωμμ φορά ηλ ήπληροφορ πρ π πρώ δούμ πο π πδ ω άσω σόπω ου ορ σθ η σ ο η συ δ σμολ. Μ υπ ήυμ ομορφή ρώμ ο όπωφ σ η ό 21. Δ ρ ουμ4 βσ ά π πδ άσ ω. Σ η πρμ ό η π ο δό μο ο όρο π π δ ρυμά ωλλ άθφρόμσ σ άσ υ πουμ δ φ ρου πά ωσ σήμ ηοθό η. Αυ ά ή : Τοπ π δοblank level οοπο ο ό ηοθόη όλ ουρ. Σ ο π π δο υ όο άσ ωρωμά ω μηδ. Το 44

50 π φλ δ2 π φλ δ1 πόμ οπ πδο οblack level ά οοπο οο άσ ω ρωμά ω λ ο πά ω πό ομηδ ώ οψηφ σοδο μηδ. Τ ο π π δοwhite level ό ηλ ή άση ω ρωμά ω ημ σ η μή η υ ήσυ ήθω 0.714V όλοψηφ ο σοδο σ λ ό «1». Το π π δο sync δθ ρησ μοπο ηθ σ η φρμ ή ηπ υ ήπλάφρ ό πολ λ φορ η μ φορά η ρωμ ήπλ ηροφορ δ πρ η 2 σήμ συ ρο σμού (HSYNC,VSYNC). Υπάρ ηδυ ό η ηπληροφορ οσυ ρο σμό η ό σωμ ωθ σ οπράσ ο. Σ ηπ ρ π ωσηυ ήλ μό ουμsync-on-green. Σ η ρ σ ρήπλ υρά η ό 21 βλ πουμ οόροire. To IRE μοάδμ ρηση σύθ ωσημά ω ό ρ άπρο ρο πό οinstitute of Radio Engineers. Μ μήόπω100 IRE ορ ούροπό οblack level μ ρ οwhite level που ο σημ ομ σ ηφω ό η. Αυ όφ σ η ό21. Ε ό 21 : Μ υμ ομορφή ρώμ ο Υπάρ ου4 βσ άvideo Formats πουπροσδ ορ ου ρ βο π π δ άσω, συδ σμολ ρ ύμ. Αυ άπρουσ ά ο σ οπρ ά ωπ. ΤοNTSC ρησ μοπο σ ηαμ ρ ήσ θσημ οpal οsecam που ρησ μοπο ού υρ ωσ ηε υρώπη.γ ό ρόμω π οδ δ δομ RS-343A RS-170. Η π υ ήησ δ ση η π σηvga βσ σ η σ οrs-343a. Όπωφ σ ο π οrs-343a ημ σ ηφω ό η σοδυ μ μ άση0.714v ώ οblack 45

51 π φλ δ2 π φλ δ1 level μ54mv. Σ λ υ δυοσ ήλ φ ο ο σ ο ω άσ ωσρύμ άλμ ησυ δ σμολ που ρησ μοπο.γ μ σ ηφω ό η ορ ύμ όδου άθ ρώμ ο πρπ 19.04mA η συ δσμολ που θ ρησ μοπο ηθ υ ή η ό22. Το λ ώδ οπουμ φρ η λ ή ρωμ ήπλ ηροφορ σύθ η σ ση75ohm θωρ σμ η προσ σ ουσήμ οπό οθόρυβο. Η σ σηz L η σω ρ ή σ ση η οθόη υπάρ σάθ πό ρ ρώμ. Π 4 : Video formats Η μή η 75Ohm η άσηvl σ ά ρ ηπρ π βρ σ σ όρ που ορ οπρπά ω π. Η σ σηzs ρμ ή η π λή η μή η υ ήπουθορ οvideo Format πουθ ρησ μοπο ηθ συ π όρ ω μώ ουiout. Σ υμφώ μ πρπάωη άσηvl δ πό ηπρ ά ωσ ση 46

52 Error! Use the Home t ab to apply Επ φλ δ2 π φλ δ1 Ε ό 22 : Σ υδ σμο λ Doubly terminated line Συ ρο σμό ουσήμ ολ ο ΤοADV7125 μ σοδοclock ηοπο υ μ ησυ ό η σάρωσηρμμή ηοθό η(pixel CLOCK). Η ρήση η οσυ ρο σμόόλω ω σόδω ω όδω ησυσ υήμ ο θ ό μ ωποάθπλμούρο σμού. Λό ο ω υψηλ ώ μώ που π ρ συ σ ά πό η σ υάσ ρ ρ ρησ μοπο buffer μ ύfpga ουadv Π ρ ρφήσ ημ ού Σ οσ ημ ό ηπρά ω ό βλ πουμ οηλ ρο όσ δ ο ου υλ ώμ ο πουσ δ άσ η ηπ ση η π υ ήμο άδο ηπ ό ση24bit ρωμ ήπληροφορ. Βσ ό ομμά ουυλ ώμ ο οconnector FX2 που πο λ οδ υλοπ ο ω μ ύ η σ υή ημο άδ. Οπρώ δύο δ ουconnector η ροφοδοσ ω3.3v. Σ υ δ ο συ ή2 πυ ω μ μ nf ημ ωση ουθορύβου ηύπρ ημ π οθρή συ ή άση ροφοδοσ.σ δ 14 ω21, 22 ω29 31 ω38 συδο ο σοδο ουd/a μ ροπ ο ό ο οπράσ ο ομπλ σ ο. Σ δ σήμ άθ ου ορ ό ουσυ ρο σμούσυδο μ σω σ άσω 82.5Ohm σ ηθύρvga. Η δ39 ροφοδο μσήμ ρο σμού buffer υ ό μ ησ ρά ου η σοδο CLOCK ου μ ροπ. Η ρήση ουbuffer πολύ σημ ή θώη συ ό η ω σημά ω ρο σμού η ά ωάπο ω δ άδω μ άφορά ο άδωmhz. Ηπουσ ουbuffer μπορπρο λ σ λλ ο ωση ουσήμ ορο σμού άσυ π όσυ ρο σμό ω σόδω ω όδω ουμ ροπ. Αυ ό π ο σθη όόσομ λ ύ ρη ηπόσ ση ουfx2 πό ομ ροπ. Τ ρ ηρ σ ά ουbuffer πρ π ο που 47

53 π φλ δ2 π φλ δ1 ου π ρ που λ ουρ συψηλ συ ό η υ ό ολόο π λ η ο 74AHC1G126DBV. Σ ηάλλη πλ υρά βλ πουμ όδου ου μ ροπ ρμ σ άσ R3, R4 R5 οοπο 75Ohm. Ο οδου ου συμπληρωμ οοπο ώο. Οφρ L1 L2 οδ ωρ σμό ω δύοplanes όπω φρθσπροη ούμ η πρά ρφο. Η μ πλ υρά ου συδ σ ηψηφ ή ροφοδοσ ωση ηάλλ ησ η λ ή. Α όμ βλ πουμσ οσημ ό ρ ύ ηπυ ω ώμ μ nf οπο συ δο 48

54 π φλ δ2 π φλ δ1 σ η λ ή ροφοδοσ μ ώ ου π π δθορύβου. Ομ ροπ άλλ σόδουόπω ηvref ηcomp. ΗVREF μ σω ρ ήπη ή άση σ οπό η θορ οπλά ο ουρ ύμ οσ ρ λ όδου ου μ ροπ σώσ μπορ π υ θ ο άλλ ηλ οvideοformat σ ημ φορά η ρωμ ήπληροφορ. Γ η δυ ό η υ ή θ πρ π συδθ μ σ σηπό η σοδου ήσ η ωση. Η μή η σ σηυπολ πό η σ ση: = , ( ) (1) όπουior, IOB ρύμ ω ρωμά ω ουό ου ουμπλ RSET η μή η σ σηπουθσυδ θ. Σ πρ π ωσηόπουη σοδοsync σλ ό «1» ηπλ ηροφορ συ ρο σμού σωμ ώ σ οπράσ ο ό ορ ύμμό οπράσ οδ πό ησ ση: ( )= (2) Λόο ουό δ θρησ μοπο ηθ οσήμ ου πράσ ου μ φορά σημά ω ρο σμού συδ θ οsync σμ pull down σ ση.σ ηπ ρ π ωσηυ ήόλ ρύμ ω ρωμά ωδ ο πό ησ ση(1). 49

55 π φλ δ2 π φλ δ1 2. Ε φρ μο ή- Ε λ ήvga Σ η πρά ρφο υ ή θ φρμοσ ού σ η πρά η όλ όσ φρθη σ προη ούμ πρ ράφου ου ρόπουλ ου μ οθό ημ σο VGA. Θ σ δ σ ύ λωμ οοπο οθπρά σήμ συ ρο σμούπουπ ού ησωσ ήλ ουρ ηοθόηθμπορ λμβά ηρωμ ήπληροφορ πουθπ ο σ πόμ ω ρ ήπη ή. Ησυ ρ μ η φρμ ήσ δ άσ ημ ηπ ρ ρφ ήλ ώσσπρ ρμμ σμούvhdl ηοπο μ λ ώσσπουδ η δυ ό η σ οσ δ σ ήποφύ ησ δ σημ η ρήσησ ημ ού δ υ ολύ ηδ δ σ σύθσηπολύπλο ωσυδυσ ώ υ λωμά ω. Η VHDL δ φρ σ ηλ ή ηπόάλ λ λ ώσσ πρρμμ σμού. Ηβσ ό ρηδ φορά ό μ ηvhdl ουμ ηδυ ό η δημ ουρ ούμ υ λώμ (Hardware) δ ωρ ουμ σ βσ μο άδ(blocks). Αυ ομο άδ μπορού λ ουρούμ ύ ου υ ό ρο ά ωπό ο δ οσήμ ρο σμού ώσω ρ ά λούολουθ άδ άφορλ ουρ ηάθμ. Αυ ό ωπο λ σμ η ύ η σ η λ ση η φρμ ή. Αυ ήηλ ή πολ ύδ φορ ήπόυ ή ωμ ρολ ώόπου οπρόρμμ λ μό οολουθ ά. Γ ολό ου ό ηπρουσ ση ηφρμ ήθ μμπλοδ ράμμ όπω η ό23 όπουβλ πουμ ολ ήvga όλ μοάδ πό οπο πο λ Γ ήπ ρ ρφή Σ ομπλ οδ ά ρμμ η ό 23 βλ πουμ βσ μο άδ(blocks) πό οπο πο λ ολ ήvga (VGA Controller). Δ ρ ουμ ρ σόδουο οπο ο ή : Reset : H σοδοreset πο λ οσήμμηδ σμού ου υ λώμ ο άθ φόρπουλ μβά η μή ουλ ού«1» ο ύ λωμμ φ ρ σμ ρ ή άσ ση. Σ υ δ πυθ σ πό ου δ όπ η π υ ήμοάδ σώσ μπορο ρήσ ηθσοπο δήπο σ μή όλ ουρ ηοθόη. 50

56 π φλ δ2 π φλ δ1 51

57 π φλ δ2 π φλ δ1 Ε ό 23 : Μπλ οδ ά ρμμ η φρ μ ή Χρωμ ήπληροφορ : Η σοδο η ρωμ ήπλ ηροφορ ύρου 24-bit (8-bit πλ ηροφορ άθ ρώμ) μ σο υ ή η σόδου μ φ ρ η πλ ηροφορ η όπου θ π ο σουμσ ηοθόη. Συήθωσ ο φρμ η σοδου ήσυδ σμ μ ήμηπό η οπο λμβά ηπληροφορ η ό άpixel. Π οσυ ρ μ σ η δύ ρη φρμ ή υ ή ηπ υ ή που θ προυσ σ σ πόμ η πρά ρφοθδημ ουρηθμ ROM σ οfpga σ ηοπο θποθη υ μ ό δ σ άσω320x320 pixel θσ δ σ ο σ ο ο ύ λωμπουθ π ρπ ολ ήvga δ βά η ό. Σ η φρμ ήυ ήπλ άθ μ π δ η ησωσ ήλ ουρ ουvga controller ωδυ ο ή ω η π ση VGA π ο σ 24-bit πληροφορ. Αυ ό θ π υ θ συ δ ο ρ μ ρη (0-255) άθρώμσ η σοδο ρωμ ή πλ ηροφορ. Ε σοδοσήμ ο ρο σμού(50mhz) : Η π υ ή μο άδ δ θ ρυσ λλ ό λ ω ή50μhz οοπο οπρ μσήμρο σμού ο ύ λωμ. Σ ομπλ οδ ά ρμμ η ό23 βλ πουμό υπάρ ου οδο. Αυ : Σήμ συ ρο σμού: Τσήμ Vsync Hsync σήμ συ ρο σμού υπύθυ ησωσ ήλ ουρ ηοθό η. Συδο πυθ σ ηθύρvga πουβρ σ σ η σ υήπουσ δ άσ η η π ση ημο άδ. Τδυοσήμ υ ά ύρου1-bit. Σήμ ρώμ ο : Τ σήμ υ ά μ φρου η ψηφ ή ρωμ ή πλ ηροφορ ηοπο 8-bit άθ ρώμ. Αυ όσημ ό άθ ρώμ ηδυ ό η πάρ256 σ άσ φω ό η σ ηοθό η. Ο οδο υ συδ ο μσο ηθύρfx2 σ σόδου ουd/a μ ροπ. 52

58 π φλ δ2 π φλ δ1 D/A Clock: Η οδου ή δ μ ησυ ό η ορ ό σάρωση πρ η η ώσ ροφοδο ήσμσήμ ρο σμού ο μ ροπ D/A. Συδ μ σο όbuffer σ η σοδοclock ουadv Digital Clock Manager Η άλυσησ ηοπο θδουλ ψουμ η640x480 μρυθμό ωση 60Hz. Όπω ουμδ μ ο άλ υσηησυ ό η σάρωσηθ πρπ MHz. Θ πρπλ ο πόμ άπο ο ρόπουποβ βάσουμ η συ ό η ου ρυσ λλ ού λ ω ήώσ ροφοδο ήσουμ ού λωμμ ησωσ ήσυ ό η. Γ ο σ οπό υ ό όπω ουμ δη φρ υπάρ ου δ ά υ λώμ σ ο σω ρ ό ωfpgas που υπ ύθυ ηδ ρ ση ου σ ρ όμ ουσήμ ο ρολ ούο ομά ο DCMs. ΤDCMs π ρ που ηλ ουρ ησύθσημ συ ό η πόμ άλ ληυ ήηλ ουρ πουθ ρησ μοπο ηθ σ φρμ ηπ υ ή. ΤDCMs ο μμο άδhardware οπο λ σμ ηλ ουρ ου ρ ά πολ σ ά πό ο ρόπο σύ δ ση ω σόδω ω όδωπου δ θ ου. Τοπ οσ δ ση ηxilinx ISE 10.1 πολ ύύ ρησ ρφ ό π ρ βάλ λοπου π ρ π σ ο ρήσ ημ άπο π λ μπορ μ βάλ ο ρόποσύδσηυ ώ ω σόδω όδωσύμφω μ ά ηφρμή. Μ ά ο λ ο ω π λ ώπό ρφ όπρ βάλλ οπράο δύορ μ ώδ VHDL. Το ρ οπ ρ ο ώδ μόλ συ δ σ π λ που ά μ ο DCM. Αυ ό ο ρ ο ο ομά HDL source ουμ η δυ ό η ο ροποπο ήσουμ π ρ ρω θ λουμ μ η ρήση VHDL. Ο πρ ά ω ώδ πό οπρόμ ορ οhdl source η φρμ ήμ. Σ ηentity δ ρ ουμ η σοδοclkin_in ουσήμ ο ρο σμούπό ορυσ λλ ό λ ω ή. Η οδοclkfx _OUT ηπρ όμ η π θυμη ήσυ ό η ω25mhz η οπο θ ροφοδο ήσ όλ υπόλ ο πμ ρη ου υ λώμ οόπωφ σ η ό 23. Πολ ύσημ ομ βλ η CLKFX_MULTIPLY CLKFX_DIVIDE. Η σρ όμ ησυ ό η ω50μηz πολλ πλ σ ά μ η μή2 δ ρ μ η μή4. Ι σ ύ δηλδήησ ση: 53

59 π φλ δ2 π φλ δ1 = HDL SOURCE entity clk_m is port ( CLKIN_IN : in CLKFX_OUT : out CLKIN_IBUFG_OUT : out end clk_m; std_logic; std_logic; std_logic); architecture BEHAVIORAL of clk_m is signal CLKFX_BUF : std_logic; signal CLKIN_IBUFG : std_logic; signal GND_BIT : std_logic; begin GND_BIT <= '0'; CLKIN_IBUFG_OUT <= CLKIN_IBUFG; CLKFX_BUFG_INST : BUFG port map (I=>CLKFX_BUF, O=>CLKFX_OUT); CLKIN_IBUFG_INST : IBUFG port map (I=>CLKIN_IN, O=>CLKIN_IBUFG); DCM_SP_INST : DCM_SP generic map( CLK_FEEDBACK => "NONE", CLKDV_DIVIDE => 2.0, CLKFX_DIVIDE => 4, CLKFX_MULTIPLY => 2, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => , CLKOUT_PHASE_SHIFT => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", DFS_FREQUENCY_MODE => "LOW", DLL_FREQUENCY_MODE => "LOW", DUTY_CYCLE_CORRECTION => TRUE, FACTORY_JF => x"c080", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map (CLKFB=>GND_BIT, CLKIN=>CLKIN_IBUFG, DSSEN=>GND_BIT, PSCLK=>GND_BIT, PSEN=>GND_BIT, PSINCDEC=>GND_BIT, RST=>GND_BIT, CLKDV=>open, CLKFX=>CLKFX_BUF, CLKFX180=>open, CLK0=>open, CLK2X=>open, CLK2X180=>open, CLK90=>open, CLK180=>open, 54

60 π φλ δ2 π φλ δ1 CLK270=>open, LOCKED=>open, PSDONE=>open, STATUS=>open); end BEHAVIORAL; HDL SOURCE Η λλ ή ω μώ υ ώ ωμ βλ η ώμπορ δημ ουρήσ οπο δήπο συ ό η ηοπο βρ σ μσ σ όρ πουορ ο σ υσ ή. Τ ο σήμ CLK_FEEDBACK π ρ η μήnone όπω φρθ ηδ δ σ η σύ θ σησυ ό η δ ρ ά μ μορφή άδρση. Υπάρ ου άπο σήμ όμπουδ ρησ μοπο ού πρ π μ ουσύδ δηλώ ο ωopen ώ άπο άλλ πρπ ωθού δηλώ ο ωgnd_bit. Τλ ο ο σήμ CLKIN_PERIOD ηπρ οδο ου σ ρ όμ ουσήμ οσpico seconds η μή Τοδύ ρορ οπουπρά π ρ προσθή πουπρ π ά ουμσ ο υρ ω ώδ ηφρμήμοομά HDL Instantiation template. Δηλώ ουμ σ ηarchitecture ωcomponent σόδου όδου ουdcm μ ο ρόποπου φ πρ ά ω. COMPONENT clk_m PORT( CLKIN_IN : IN std_logic; CLKFX_OUT : OUT std_logic; CLKIN_IBUFG_OUT : OUT std_logic ); END COMPONENT; Σ ησυ συδ ουμ πρ ά ωσήμ μυ άπουθ λουμσ ο υρ ω ώδ ηφρμή. Inst_clk_m: clk_m PORT MAP( CLKIN_IN =>, CLKFX_OUT =>, CLKIN_IBUFG_OUT => ); 2.3. Μ ρη ήpixel 55

61 π φλ δ2 π φλ δ1 Ησυ ό η ω25mhz πουπρά πό οdcm ρησ μοπο ο ρο σμό όλ ω ωμοάδω(blocks) ουυλ ώμ ο. Μ πόυ ομ ρη ήpixel. Μ ά η φρμ ή η ροφοδοσ μ ηάφ η ουθ ούμ ώπου ουπλμού η βσ ή προϋπόθ σηό ο reset σ άσ σηλ ού«0» ρ λ ουρ ομ ρη ή μ ράμ ρ η μή799 (0-799). Ολό ο ό ησάρωσημ πλ ήρηρμμή ηοθό ηπ ρό οπου σοδυμμ η σάρωση 800 pixel. Η μή ου μ ρη ή μ σο ου σήμ ο hcount σ λ σ οπο δήπο άλ λημο άδ πρ η οη μή η πολύσημ ή δ άθσ μή οσημ οόπουβρ σ ηορ ό σάρωση. process(clk_25) begin if (clk_25'event and clk_25 = '1') then if reset = '1' then hcount <= 0; elsif (hcount = whole_line) then hcount <= 0; else hcount <= hcount + 1; end if; end if; end process; 2.4. Μ ρη ή ρμμώ Ομ ρη ήρμμώ, όπω φ ρό πό οό ομ ου,μ ρά ρμμ η οθόη η μή ημ ρησηδ οσημ οόπουβρ σ άθσ μήη άθ η σάρωση. Υπάρ μ ουσ σ ήδ φοράυ ού ουμ ρη ήπό ομ ρη ήpixel. Ο μ ρη ήυ ό υ ηθ δ ρ μό οσ οθ όμ ωπο ου σ ρόμ ου σήμ ο ρο σμούλλ άθπρπ υπάρ υπ ρ λ ση ουμ ρη ήpixel. Αυ ό πρ άσημ ό ομ ρη ήδμ ρά ά ηδ άρ ηορ ό σάρωσή λλ άμό οό λ ώσησάρωσημ ορ ό ρμμή. process(clk_25) begin if (clk_25'event and clk_25 = '1') then if reset = '1' then vcount <= 0; elsif (hcount = whole_line) then if (vcount = whole_frame) then vcount <= 0; else vcount <= vcount + 1; 56

62 π φλ δ2 π φλ δ1 end if; end if; end if; end process; Θ πρπλο πόμ σο ουσήμ οhcount ο μ ρη ή ρμμώ ημ ρώ συ ώ η άσ σησ ηοπο βρ σ ηορ ό σάρωσησύμφωμ υ ήλ ουρ. Σ οδ άρμμ η ό 23 βλ πουμπωσυ δο υ οο δυομ ρη οσήμhcount που ουσυ δ μ ύ ου. Ο μ ρη ήυ ό μ ρά μ ρ η μή 523 (0-523) θώ ουμ ηπλήρησάρωσημ ό (full frame) π ρόο σομ ησάρωση524 ρμμώ. Οπ σ η σ λ δ18 πρ ου ρό ουορ ό άθ ησάρωση Γ ή ρ συ ρο σ ώπλ μώ Ομ ρη πουφρμπο λούπολ ύσημ όομμά ου λ ήvga λ ουρούω φορά όλ ο ουπόλο πού λωμ. Ο μ ωσημά ωhcount vcount προσδ ορ ου άθσ μή η άσ ση ηορ ό άθ ησάρωση. process(clk_25) begin if (clk_25'event and clk_25 = '1') then if (hcount = H_sync_pulse) then hsync <= '1'; end if; if (hcount = whole_line) then hsync <= '0'; end if; end if; end process; process(clk_25) begin if (clk_25'event and clk_25 = '1') then if (vcount = V_sync_pulse) then vsync <= '1'; end if; if (vcount = whole_frame) then vsync <= '0'; end if; end if; end process; 57

ΕΘΝΙΚΟ ΚΑΙ ΚΑΠΟΔΙΣΤΡΙΑΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΘΗΝΩΝ ΕΙΔΙΚΟΣ ΛΟΓΑΡΙΑΣΜΟΣ ΚΟΝΔΥΛΙΩΝ ΕΡΕΥΝΑΣ

ΕΘΝΙΚΟ ΚΑΙ ΚΑΠΟΔΙΣΤΡΙΑΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΘΗΝΩΝ ΕΙΔΙΚΟΣ ΛΟΓΑΡΙΑΣΜΟΣ ΚΟΝΔΥΛΙΩΝ ΕΡΕΥΝΑΣ ANAΡΤΗΤΕΑ ΣΤΟ ΔΙΑΔΙΚΤΥΟ ΕΘΝΙΚΟ ΚΑΙ ΚΑΠΟΔΙΣΤΡΙΑΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΘΗΝΩΝ ΕΙΔΙΚΟΣ ΛΟΓΑΡΙΑΣΜΟΣ ΚΟΝΔΥΛΙΩΝ ΕΡΕΥΝΑΣ ΠΡΟΣΚΛΗΣΗ ΕΚΔΗΛΩΣΗΣ ΕΝΔΙΑΦΕΡΟΝΤΟΣ ΓΙΑ ΥΠΟΒΟΛΗ ΠΡΟΤΑΣΗΣ ΓΙΑ ΚΑΤΑΡΤΙΣΗ ΣΥΜΒΑΣΗΣ ΜΙΣΘΩΣΗΣ ΕΡΓΟΥ Αριθμ.

Διαβάστε περισσότερα

ΕΘΝΙΚΟ ΚΑΙ ΚΑΠΟΔΙΣΤΡΙΑΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΘΗΝΩΝ ΕΙΔΙΚΟΣ ΛΟΓΑΡΙΑΣΜΟΣ ΚΟΝΔΥΛΙΩΝ ΕΡΕΥΝΑΣ

ΕΘΝΙΚΟ ΚΑΙ ΚΑΠΟΔΙΣΤΡΙΑΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΘΗΝΩΝ ΕΙΔΙΚΟΣ ΛΟΓΑΡΙΑΣΜΟΣ ΚΟΝΔΥΛΙΩΝ ΕΡΕΥΝΑΣ ANAΡΤΗΤΕΑ ΣΤΟ ΔΙΑΔΙΚΤΥΟ ΑΔΑ:Β43046ΨΖ2Ν-Φ7Ο ΕΘΝΙΚΟ ΚΑΙ ΚΑΠΟΔΙΣΤΡΙΑΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΘΗΝΩΝ ΕΙΔΙΚΟΣ ΛΟΓΑΡΙΑΣΜΟΣ ΚΟΝΔΥΛΙΩΝ ΕΡΕΥΝΑΣ Αθήνα, 19/10/2012 ΠΡΟΣΚΛΗΣΗ ΕΚΔΗΛΩΣΗΣ ΕΝΔΙΑΦΕΡΟΝΤΟΣ ΓΙΑ ΥΠΟΒΟΛΗ ΠΡΟΤΑΣΗΣ ΓΙΑ

Διαβάστε περισσότερα

> ΣειράΨυκτώνUltima Compact

> ΣειράΨυκτώνUltima Compact ΣράΨυώUltima Compact ΜFree-Cooling ω450kw Δθσμ > ΣράΨυώUltima Compact Τυπφρμ > Close Control > ΚμσμόΆση > Βμηχήψύη ΣράΨυώUltima Compact Σχδσμύρπρβά Σχδσμύρπρβά ΟψύUltima Compact σχδσμβηθύ σηδημυρύρυμ,

Διαβάστε περισσότερα

ΕΘΝΙΚΟ ΚΑΙ ΚΑΠΟΔΙΣΤΡΙΑΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΘΗΝΩΝ ΕΙΔΙΚΟΣ ΛΟΓΑΡΙΑΣΜΟΣ ΚΟΝΔΥΛΙΩΝ ΕΡΕΥΝΑΣ

ΕΘΝΙΚΟ ΚΑΙ ΚΑΠΟΔΙΣΤΡΙΑΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΘΗΝΩΝ ΕΙΔΙΚΟΣ ΛΟΓΑΡΙΑΣΜΟΣ ΚΟΝΔΥΛΙΩΝ ΕΡΕΥΝΑΣ ANAΡΤΗΤΕΑ ΣΤΟ ΔΙΑΔΙΚΤΥΟ Αθήνα, 28/3/2014 ΕΘΝΙΚΟ ΚΑΙ ΚΑΠΟΔΙΣΤΡΙΑΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΘΗΝΩΝ ΕΙΔΙΚΟΣ ΛΟΓΑΡΙΑΣΜΟΣ ΚΟΝΔΥΛΙΩΝ ΕΡΕΥΝΑΣ ΠΡΟΣΚΛΗΣΗ ΕΚΔΗΛΩΣΗΣ ΕΝΔΙΑΦΕΡΟΝΤΟΣ ΓΙΑ ΥΠΟΒΟΛΗ ΠΡΟΤΑΣΗΣ ΓΙΑ ΚΑΤΑΡΤΙΣΗ ΣΥΜΒΑΣΗΣ

Διαβάστε περισσότερα

ΠτυχιακήΕργασία ΧριστοδούλουΣωκράτης (Α.Μ. 05/0124)

ΠτυχιακήΕργασία ΧριστοδούλουΣωκράτης (Α.Μ. 05/0124) ΤΕΧΝΟΛΟΓΙΚΟ ΕΚΠΑΙΔΕΥΤΙΚΟΙΔΡΥΜΑΘΕΣΣΑΛΟΝΙΚΗΣ ΣΧΟΛΗ ΤΕΧΝΟΛΟΓΙΚΩΝΕΦΑΡΜΟΓΩΝ ΤΜΗΜΑΟΧΗΜΑΤΩΝ ΚΑΤΑΣΚΕΥΗ ΚΑΙΠΡΟΣΟΜΟΙΩΣΗ ΛΕΙΤΟΥΡΓΙΑΣ ΗΛΕΚΤΡΟΚΙΝΗΤΟΥΜΟΝΤΕΛΟΥΟΧΗΜΑΤΟΣΜΕΤΟ ΛΟΓΙΣΜΙΚΟ ΠΑΚΕΤΟ SIMULINK/MATLAB ΠυκήΕρσ ΧρσοούλουΣωκράη

Διαβάστε περισσότερα

ΣειράEasiCool 6-60kW. www.airedale.com

ΣειράEasiCool 6-60kW. www.airedale.com Σ 6-60kW Τπφρμ ΕσάσπωώInternet Χώρπσώ «Κθρ» ώρ Σθμμωπωώ Ερσρ www.airedale.com Σ Πρδ ρφ Ηπρόσφ π μ σ σ δ ά ω π ρω δ μρφώσ μμ ώσ θόρ β ύ σ άσ σ. ΤEasiCool σθ σδ ρ μ όμ π ρ βά ρ βύ μ μ ρό ρδ όό. Τσ ω σμπ

Διαβάστε περισσότερα

ΕΘΝΙΚΟ ΚΕΝΤΡΟ ΕΡΕΥΝΑΣ, ΠΡΟΛΗΨΗΣ ΚΑΙ ΘΕΡΑΠΕΙΑΣ ΤΟΥ ΣΑΚΧΑΡΩΔΗ ΔΙΑΒΗΤΗ ΚΑΙ ΤΩΝ ΕΠΙΠΛΟΚΩΝ ΤΟΥ (Ε.ΚΕ.ΔΙ.)

ΕΘΝΙΚΟ ΚΕΝΤΡΟ ΕΡΕΥΝΑΣ, ΠΡΟΛΗΨΗΣ ΚΑΙ ΘΕΡΑΠΕΙΑΣ ΤΟΥ ΣΑΚΧΑΡΩΔΗ ΔΙΑΒΗΤΗ ΚΑΙ ΤΩΝ ΕΠΙΠΛΟΚΩΝ ΤΟΥ (Ε.ΚΕ.ΔΙ.) ΠΛΟΥΤΑΡΧΟΥ 3, 106 75 ΑΘΗΝΑ / ΤΗΛ. 210 7295160, 213 2037400 ΦΑΧ 210 7295168 / email: ANAΡΤΗΤΕΑ ΣΤΟ ΔΙΑΔΙΚΤΥΟ Α.Π. 209 Αθήνα, 01/09/2014 ΕΘΝΙΚΟ ΚΕΝΤΡΟ ΕΡΕΥΝΑΣ, ΠΡΟΛΗΨΗΣ ΚΑΙ ΘΕΡΑΠΕΙΑΣ ΤΟΥ ΣΑΚΧΑΡΩΔΗ ΔΙΑΒΗΤΗ

Διαβάστε περισσότερα

ΕΘΝΙΚΟ ΚΑΙ ΚΑΠΟΔΙΣΤΡΙΑΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΘΗΝΩΝ ΕΙΔΙΚΟΣ ΛΟΓΑΡΙΑΣΜΟΣ ΚΟΝΔΥΛΙΩΝ ΕΡΕΥΝΑΣ

ΕΘΝΙΚΟ ΚΑΙ ΚΑΠΟΔΙΣΤΡΙΑΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΘΗΝΩΝ ΕΙΔΙΚΟΣ ΛΟΓΑΡΙΑΣΜΟΣ ΚΟΝΔΥΛΙΩΝ ΕΡΕΥΝΑΣ ANAΡΤΗΤΕΑ ΣΤΟ ΔΙΑΔΙΚΤΥΟ ΕΘΝΙΚΟ ΚΑΙ ΚΑΠΟΔΙΣΤΡΙΑΚΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΑΘΗΝΩΝ ΕΙΔΙΚΟΣ ΛΟΓΑΡΙΑΣΜΟΣ ΚΟΝΔΥΛΙΩΝ ΕΡΕΥΝΑΣ ΠΡΟΣΚΛΗΣΗ ΕΚΔΗΛΩΣΗΣ ΕΝΔΙΑΦΕΡΟΝΤΟΣ ΓΙΑ ΥΠΟΒΟΛΗ ΠΡΟΤΑΣΗΣ ΓΙΑ ΚΑΤΑΡΤΙΣΗ ΣΥΜΒΑΣΗΣ ΜΙΣΘΩΣΗΣ ΕΡΓΟΥ Αθήνα,

Διαβάστε περισσότερα

Λύσειςάμεσηςψύξηςracks γιαservers υψηλήςπυκνότητας. ΣειράLogiCool. ΣειράΨυκτώνLogiCool 20kW / 40kW

Λύσειςάμεσηςψύξηςracks γιαservers υψηλήςπυκνότητας. ΣειράLogiCool. ΣειράΨυκτώνLogiCool 20kW / 40kW ΣάΨυώ 20kW / 40kW Σά Λύσάμσψύracks servers υψπυό Επάσμψύύωύώυμfreecooling πβάit σσμr410a ΤυπΕφμ > Δωμάυπσώdata centers >Τπω > Πβάσμωφμώ >ΣθμΜω > Κθάωμά >Βμψύ > Ιώσ >Εφμάσ 6p p Χ σ ά : Ηύσ άμσψύ Rack Οψύ

Διαβάστε περισσότερα

Δηθνλνγξαθεκέλν Λεμηθό Σν Πξώην κνπ Λεμηθό

Δηθνλνγξαθεκέλν Λεμηθό Σν Πξώην κνπ Λεμηθό ΤΠΟΤΡΓΔΗΟ ΠΑΗΓΔΗΑ ΚΑΗ ΘΡΖΚΔΤΜΑΣΧΝ, ΠΟΛΗΣΗΜΟΤ ΚΑΗ ΑΘΛΖΣΗΜΟΤ Η.Σ.Τ.Δ. «ΓΗΟΦΑΝΣΟ» Αή Δί Ζίο Γήο Μί Μά Ηί Αύ Δέ Λό Σ Πώ Λό Α, Β, Γ Γύ Σόο 7ο (Σ, Τ, Φ, Υ, Φ,Φ Χ, Πά) Δέ Λό Α, Β, Γ Γύ Σ Πώ Λό Σόο 7ο (Σ, Τ,

Διαβάστε περισσότερα

Δηθνλνγξαθεκέλν Λεμηθό Σν Πξώην κνπ Λεμηθό

Δηθνλνγξαθεκέλν Λεμηθό Σν Πξώην κνπ Λεμηθό ΤΠΟΤΡΓΔΗΟ ΠΑΗΓΔΗΑ ΚΑΗ ΘΡΖΚΔΤΜΑΣΩΝ, ΠΟΛΗΣΗΜΟΤ ΚΑΗ ΑΘΛΖΣΗΜΟΤ Η.Σ.Τ.Δ. «ΓΗΟΦΑΝΣΟ» Αή Δί Ζίο Γήο Μί Μά Ηί Αύ Δέ Λό Σ Πώ Λό Α, Β, Γ Γύ Σόο 4ο (Λ, - Μ, - Ν, - Ξ,) Δέ Λό Α, Β, Γ Γύ Σ Πώ Λό Σόο 4ο (Λ, - Μ, -

Διαβάστε περισσότερα

ΠΡΟΚΗΡΥΞΗ. ΘΕΜΑ: «Προκήρυξη πλήρωσης θέσεων Προϊσταμένων Νηπιαγωγείων και Προϊσταμένων Δημοτικών Σχολείων Π.Ε. Καρδίτσας»

ΠΡΟΚΗΡΥΞΗ. ΘΕΜΑ: «Προκήρυξη πλήρωσης θέσεων Προϊσταμένων Νηπιαγωγείων και Προϊσταμένων Δημοτικών Σχολείων Π.Ε. Καρδίτσας» ΛΛΗ Ι Η ΔΗΜΟ Ρ Ι ΥΠΟΥΡ ΙΟ Π ΙΔ Ι Σ Ρ Υ Σ Ι ΘΡΗΣ ΥΜ Ω Π ΡΙ Ρ Ι Η ΔΙ ΥΘΥ ΣΗ Π/ΘΜΙ Σ & Δ ΘΜΙ Σ Π ΙΔ ΥΣΗΣ Θ ΣΣ ΛΙ Σ ΔΙ ΥΘΥ ΣΗ Π ΘΜΙ Σ Π ΙΔ ΥΣΗΣ ΡΔΙ Σ Σ ΜΗΜ Π ΙΔ Υ Ι Ω Θ Μ Ω χ Δ νση : Πλ σ ή Πόλη : 43132 ί

Διαβάστε περισσότερα

! # % #! # & (! )!! & # # &! # +,!& #. # # & / 0!& # / 12 2 # 3 # 2 ,!& 4556

! # % #! # & (! )!! & # # &! # +,!& #. # # & / 0!& # / 12 2 # 3 # 2 ,!& 4556 ! # % #! # & (! )!! & # # &! # +,!& #. # # & / 0!& # / 12 2 # 3 # 2,!& 4556 ! # % #! # & (! )!! & # # &! # +,!& #. # # & / 0!& # / 12 2 # 3 # 2,!& 4556 ! ! # % &! ( ) &! # + #, ). / # %# # 0!. 1) 1 /,

Διαβάστε περισσότερα

ΑΔΑ: ΒΕΤ49-Ψ4Χ. αθ ός Ασφα ίας:. α ούσι, PROC έφ ο : , α :

ΑΔΑ: ΒΕΤ49-Ψ4Χ. αθ ός Ασφα ίας:. α ούσι, PROC έφ ο : , α : Α Α Α Α Α Α Ω Α Α / Ω ΑΪ Ω Α Ω Α Ω Α Ω Ω Ω Ω Ω Α Α Α. α α έο α ούσι οφο ί ς:. ό ς, Α. Α ι ιώ ς έφ ο : 210 3443427, 2103443252 α : 210 3443127 e-mail: t13pxg2@minedu.gov.gr α ια θ ί έ ι:. αθ ός Ασφα ίας:.

Διαβάστε περισσότερα

ΠΟΛΥΕΛΕΟΣ ''Λόγον Ἀγαθόν''

ΠΟΛΥΕΛΕΟΣ ''Λόγον Ἀγαθόν'' «ΑΕΛΙΟΣ ΧΟΡΟΣ» Ι.. ΣΙΩΟΣ ΕΤΡΑΣ ΟΛΥΕΛΕΟΣ ''Λόγον Ἀγθόν'' Ἦχος 1. ο γο ον γ θο ον Α λ λη η η λ Ε ξη ρ υ ξ το η η η κ ρ δ µ λο ο ο γον γ θον Χ ρ πν τ ν σ σ π νυ υ υ µνη η η η τ µη η η τηρ Χρ στ τ Θ η η η

Διαβάστε περισσότερα

ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο

ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο ΗΥ220: Εργαστήριο Ψηφιακών Κυκλωµάτων Τµήµα Επιστήµης Υπολογιστών Πανεπιστήµιο Κρήτης Χειµερινό Εξάµηνο 2017 2018 Εργαστήριο 1 (2 εβδοµάδες) Εβδοµάδα 6/11 έως 10/11 (αναλόγως το εργαστηριακό τµήµα που

Διαβάστε περισσότερα

Δηθνλνγξαθεκέλν Λεμηθό Σν Πξώην κνπ Λεμηθό

Δηθνλνγξαθεκέλν Λεμηθό Σν Πξώην κνπ Λεμηθό ΤΠΟΤΡΓΔΙΟ ΠΑΙΓΔΙΑ ΚΑΙ ΘΡΗΚΔΤΜΑΣΧΝ, ΠΟΛΙΣΙΜΟΤ ΚΑΙ ΑΘΛΗΣΙΜΟΤ Ι.Σ.Τ.Δ. «ΓΙΟΦΑΝΣΟ» Αή Δί Ηίο Γήο Μί Μά Ιί Αύ Δέ Λό Σ Πώ Λό Α, Β, Γ Γύ Σόο 1ο (Α, Β,) Δέ Λό Α, Β, Γ Γύ Σ Πώ Λό Σόο 1ο (Α, Β,) ΤΓΓΡΑΦΔΙ Αή Δί,

Διαβάστε περισσότερα

Α Α Α Α Α Α 1) Α Α Α Α Α Α 3) Α Α Α Α Α Α Α ο οθ σία -> > ό ος ύ α -> Ύ α η α α αίο φα αίο 4) α ασ άσ ις οβά ω ο οθέ ηση α ασ άσ ω

Α Α Α Α Α Α 1) Α Α Α Α Α Α 3) Α Α Α Α Α Α Α ο οθ σία -> > ό ος ύ α -> Ύ α η α α αίο φα αίο 4) α ασ άσ ις οβά ω ο οθέ ηση α ασ άσ ω 1 ΕΙΣΓΩΓΗ Η οβ ο οφί σ η Κύ ο ο ί ό χιο ω χ ό ω έ ό ο ς ιο ση ι ούς ο ς ης η ο οφίςτ όβ ού η β σ ηση, ις βοσ ές ι ό ό οι οϊό ω ω ι ώ ι ιώ Πέ ό ό ό ως έχ ι ή ης σχό ηση σ 3000 ί ο οι ο έ ι ς ο έχο 325000

Διαβάστε περισσότερα

ΑΛΕΞΑΝΔΡΕΙΟΤΕΧΝΟΛΟΓΙΚΟΕΚΠΑΙΔΕΥΤΙΚΟΙΔΡΥΜΑ ΣΧΟΛΗΤΕΧΝΟΛΟΓΙΚΩΝΕΦΑΡΜΟΓΩΝ ΤΜΗΜΑ: ΠΟΛΙΤΙΚΩΝΕΡΓΩΝΥΠΟΔΟΜΗΣ ΠΤΥΧΙΑΚΗΕΡΓΑΣΙΑ

ΑΛΕΞΑΝΔΡΕΙΟΤΕΧΝΟΛΟΓΙΚΟΕΚΠΑΙΔΕΥΤΙΚΟΙΔΡΥΜΑ ΣΧΟΛΗΤΕΧΝΟΛΟΓΙΚΩΝΕΦΑΡΜΟΓΩΝ ΤΜΗΜΑ: ΠΟΛΙΤΙΚΩΝΕΡΓΩΝΥΠΟΔΟΜΗΣ ΠΤΥΧΙΑΚΗΕΡΓΑΣΙΑ ΑΛΞΑΝΔΟΧΝΟΛΟΟΠΑΔΥΟΜΑ ΧΟΛΗΧΝΟΛΟΩΝΦΑΜΟΩΝ ΜΗΜΑ: ΠΟΛΩΝΟΜΗ ΠΥΧΑΗΑΑ ΥΧΗΑΠΟΛΜΑΩΝΑΜΗ ΔΑΜΗΗΔΜΑΩΝΩΥΛΩΝΑΠΟ ΩΗΗΝΑΑΟΔΟΥ ΠΒΛΠΩΝΑΘΗΗΗ: ΠΑΠΑΛΑΑΘΟΔΟΟ ΦΟΗ: ΔΗΜΗΑΔΟΥΗΛΑΝΑ- ΩΑΜΧΑΗΛ ΘΑΛΟΝΗ ΜΑΪΟ29 ΑΛΞΑΝΔΟΧΝΟΛΟΝΟΠΑΔΥΟΜΑΘΑΛΟΝΗ

Διαβάστε περισσότερα

ΗΥ220: Εργαστήριο ψηφιακών κυκλωμάτων

ΗΥ220: Εργαστήριο ψηφιακών κυκλωμάτων Πανεπιστήμιο Κρήτης Τμήμα Επιστήμης Υπολογιστών ΗΥ220: Εργαστήριο ψηφιακών κυκλωμάτων Γιώργος Δημητρακόπουλος Ελεγκτής VGA οθόνης και αντιμετώπιση μεγαλύτερων κυκλωμάτων Συνεχίζοντας από την 3 η άσκηση,

Διαβάστε περισσότερα

Πανεπιστήμιο Θεσσαλίας- Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών ΗΥ430- Εργαστήριο Ψηφιακών Κυκλωμάτων

Πανεπιστήμιο Θεσσαλίας- Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών ΗΥ430- Εργαστήριο Ψηφιακών Κυκλωμάτων Πανεπιστήμιο Θεσσαλίας- Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών ΗΥ430- Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο- Ακαδημαϊκό Ετος 2018-2019 Εργαστηριακή Εργασία 3η- Υλοποίηση Ελεγκτή

Διαβάστε περισσότερα

«Σχεδίαση Εφαρμογών Ψηφιακδη Συστημάτοη με τη Γλώσσα \ HDL»

«Σχεδίαση Εφαρμογών Ψηφιακδη Συστημάτοη με τη Γλώσσα \ HDL» ΤΕΙ ΚΑΒΑΛΑΣ ΣΧΟΛΗ ΤΕΧΝΟΑΟηΚίίΝ ΕΦΑΡΜΟΓίΣΝ ΤΜΗΜΑ ΒΙΟΜΗΧΑΝΙΚΗΣ ΠΛΗΡΟΦΟΡΙΚΗΣ ΠΤΥΧΙΑΚΗ ΕΡΓΑΣΙΑ «Σχεδίαση Εφαρμογών Ψηφιακδη Συστημάτοη με τη Γλώσσα \ HDL» ΟΝΟΜΑΤΕΠΩΝΥ ΜΟ ΣΙ10ΥΧΛΣΤΩΝ ΕΥΘΥΜΙΑ Μ1ΧΑΗΛΙΔΟΥ ΑΕΜ:

Διαβάστε περισσότερα

Δηθνλνγξαθεκέλν Λεμηθό Σν Πξώην κνπ Λεμηθό

Δηθνλνγξαθεκέλν Λεμηθό Σν Πξώην κνπ Λεμηθό ΤΠΟΤΡΓΔΗΟ ΠΑΗΓΔΗΑ ΚΑΗ ΘΡΖΚΔΤΜΑΣΧΝ, ΠΟΛΗΣΗΜΟΤ ΚΑΗ ΑΘΛΖΣΗΜΟΤ Η.Σ.Τ.Δ. «ΓΗΟΦΑΝΣΟ» Αή Δί Ζίο Γήο Μί Μά Ηί Αύ Δέ Λό Σ Πώ Λό Α, Β, Γ Γύ Σόο 3ο (Ζ, Θ, Η, Κ,) Δέ Λό Α, Β, Γ Γύ Σ Πώ Λό Σόο 3ο (Ζ, Θ, Η, Κ,) ΤΓΓΡΑΦΔΙ

Διαβάστε περισσότερα

13PROC Α /

13PROC Α / Α Α Α / : Α: 13PROC001709766 2013-11-11 Α Α.. 20135639/04 11 2013 Α Α Α Α Α Α Α Α Α Α Α Α Α Α Α Α Α Α Α 1 Α Α : Α: α αο ή & ίο 80 18534, ι αιάς.: 210 2104142239 Fax: 210 4142469 Email: procurements@unipi.gr

Διαβάστε περισσότερα

JEAN-CHARLES BLATZ 02XD34455 01RE52755

JEAN-CHARLES BLATZ 02XD34455 01RE52755 ΟΡΘΗ ΕΠΑΝΑΛΗΨΗ ΤΩΝ ΕΝ Ι ΑΜ ΕΣ ΩΝ ΟΙ Κ ΟΝΟΜ Ι Κ ΩΝ Κ ΑΤΑΣ ΤΑΣ ΕΩΝ ΤΗΣ ΕΤΑΙ ΡΙ ΑΣ Κ ΑΙ ΤΟΥ ΟΜ Ι ΛΟΥ Α Τρίµηνο 2005 ΑΝΩΝΥΜΟΣ Γ ΕΝΙ Κ Η ΕΤ ΑΙ Ρ Ι Α Τ ΣΙ ΜΕΝΤ ΩΝ Η Ρ ΑΚ Λ Η Σ ΑΡ. ΜΗ Τ Ρ. Α.Ε. : 13576/06/Β/86/096

Διαβάστε περισσότερα

για τις δραστηριοτήτων χορηγίας με υπηρεσίες ή / Χώρα δραστηριοτήτων/ έδρας EYΦ /Τρίτα (Άρθρο 3) συμβουλευτικών (Παράρτημα 1) ΕΥΦ παροχής έδρας

για τις δραστηριοτήτων χορηγίας με υπηρεσίες ή / Χώρα δραστηριοτήτων/ έδρας EYΦ /Τρίτα (Άρθρο 3) συμβουλευτικών (Παράρτημα 1) ΕΥΦ παροχής έδρας Σ Γ Ρ Ω ΠΡΟΠΟ μοιβή για συμβουλευτικές και : Πόλη Διεύθυνση ΔΟΗ Χορηγίες σε (Όνομα & πώνυμο) επαγγέλματος / EY /ρίτα σ ΣΟΟ (άρθρο (Άρθρο 1.01) : έδρα μέρη καθ' όστος Μετάβασης & μοιβές ΣΓΡΩΗ ΔΗΜΟΣΟΠΟΗΣΗ

Διαβάστε περισσότερα

Το αμέσως επόμενο διάστημα εκτιμάμε ότι η κατάσταση στον τομέα του δημόσιου συστήμ ατος υγ ε ί ας -πρό νο

Το αμέσως επόμενο διάστημα εκτιμάμε ότι η κατάσταση στον τομέα του δημόσιου συστήμ ατος υγ ε ί ας -πρό νο Ψήφ γ ην Υγ Τρη 10 Ιουλου 2012 11:00 Το έω πόνο δη ό η η ον οέ ου δηόου υή ο υγ -πρό νο 1 / 13 Ψήφ γ ην Υγ Τρη 10 Ιουλου 2012 11:00 θ πδνωθ ό π ρ όρο ω πο έλ ω ν έρων γ ν ν ω π η π λή οονοή ρ η υπέρ ου

Διαβάστε περισσότερα

ΤΜΗΜΑ ΦΩΚΑ/ΤΕΤΑΡΤΗ

ΤΜΗΜΑ ΦΩΚΑ/ΤΕΤΑΡΤΗ ΤΜΗΜΑ ΦΩΚΑ/ΤΕΤΑΡΤΗ 09.00 -.00 5 ZE MI WA 0 0 0 9 0,95 9 ΑΓ ΓΕ ΠΑ 0 0 0 0 0 0 95 ΑΔ ΡΟ ΙΩ 0 0 0 0 0 0 97 ΑΙ ΚΩ ΠΑ 0 0 0 0 0 0 5 507 ΑΛ ΕΥ ΤΖ 0 0 0 0 0 0 6 99 ΑΝ ΟΡ ΚΩ 7 5 0 0 0,65 7 95 ΑΝ ΙΩ ΟΡ 9 9 9 6

Διαβάστε περισσότερα

FAX : 210.34.42.241 spudonpe@ypepth.gr) Φ. 12 / 600 / 55875 /Γ1

FAX : 210.34.42.241 spudonpe@ypepth.gr) Φ. 12 / 600 / 55875 /Γ1 Ε Λ Λ Η Ν Ι Κ Η Η Μ Ο Κ Ρ Α Τ Ι Α Υ ΠΟΥ ΡΓΕΙΟ ΕΘΝ. ΠΑ Ι ΕΙΑ Σ & ΘΡΗΣ Κ/Τ Ω ΕΝΙΑ ΙΟΣ ΙΟΙΚΗΤ ΙΚΟΣ Τ ΟΜ ΕΑ Σ Σ ΠΟΥ Ω Ν ΕΠΙΜ ΟΡΦΩ Σ ΗΣ ΚΑ Ι ΚΑ ΙΝΟΤ ΟΜ ΙΩ Ν /ΝΣ Η Σ ΠΟΥ Ω Τ µ ή µ α Α Α. Πα π α δ ρ έ ο υ 37

Διαβάστε περισσότερα

ΠΟΠΟΚΠ - Ψήφισμα για την Υγεία Παρασκευή, 05 Οκτωβρίου :37

ΠΟΠΟΚΠ - Ψήφισμα για την Υγεία Παρασκευή, 05 Οκτωβρίου :37 ΠΟΠΟΚΠ - Ψήφ γ ην Υγ Πρυή 05 Οωβρου 2012 14:37 Το έω πόνο δη ό η η ον οέ ου δηόου υή ο υγ -πρό νο θ πδνωθ ό π ρ όρο ω πο έλ ω ν έρων γ ν ν 1 / 13 ΠΟΠΟΚΠ - Ψήφ γ ην Υγ Πρυή 05 Οωβρου 2012 14:37 ω π η π

Διαβάστε περισσότερα

Ό α ο ά ος θ α ύ ι ια ι ό α. ύ α σ ο ιβά ο ος, ό α οσφέ ι έ α όσιο α αθό. θ ι ή ά α, ό α θ ί ι ήσ οι ό ό. ο όσι ο ό, ο ί α α ήσ ι οι ο ο ι ή ία αι ό α

Ό α ο ά ος θ α ύ ι ια ι ό α. ύ α σ ο ιβά ο ος, ό α οσφέ ι έ α όσιο α αθό. θ ι ή ά α, ό α θ ί ι ήσ οι ό ό. ο όσι ο ό, ο ί α α ήσ ι οι ο ο ι ή ία αι ό α ά ος- ό οι Ό α ο ά ος θ α ύ ι ια ι ό α. ύ α σ ο ιβά ο ος, ό α οσφέ ι έ α όσιο α αθό. θ ι ή ά α, ό α θ ί ι ήσ οι ό ό. ο όσι ο ό, ο ί α α ήσ ι οι ο ο ι ή ία αι ό α, ι έο, οσφέ ι έ α ι ι ι ό α αθό, ια ό ο

Διαβάστε περισσότερα

Ο Απ λλων αλαμαρι αν ρ εται στην εθνικ κατηυ ρ α γυναικι ν

Ο Απ λλων αλαμαρι αν ρ εται στην εθνικ κατηυ ρ α γυναικι ν Ω α μ Ξ Π ΦΑ ΡΚΩ Ν Ξ Π Γ Τ κνκ Γ μ Ν ψ ο Ω Ω κ ρ Θ Κ ΓΩ Γ Μ ΡΥ χ κ φ Θ Γ Α Ν Ω Γ Π Βθ Ω Π Ν Ω Ν Κ γρ Π Ρ Ρ γ γ Γ Ρ Π Π Φ ΠΡ Φ Γ ΠΕΡ ν ν α Ε μο αν ρ ετα σ ν Γ εθνκ κατγορ α νρ ν ΔΡΩ ΡΔ Τ Μ Γ ΥΡ Χ Ρ Τθ Ρ

Διαβάστε περισσότερα

14SYMV NETSCOPE SOLUTIONS A.E. Α :

14SYMV NETSCOPE SOLUTIONS A.E. Α : Α Η ο ήθ ιας ο ισ ού 14SYMV002183357 2014-07-22 ή α ος Η Ο Ω ΗΧΑ Ω. ο αίσιο o έ ο «ο ήθ ια ο ισ ού ο Αθή ας» ω ι ό MIS 360204 Α Α: 48.585,00 σ ι α βα ο έ ο Α Α ά οχος: NETSCOPE SOLUTIONS A.E. Α : 099940480

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 2: Βασικές Μονάδες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες

Διαβάστε περισσότερα

ΚΑΝΟΝΙΣ ΜΟ Ι ΙΕΞΑΓΩΓΗΣ ΑΓΩΝΩΝ 1 / 8 SCALE IC TRA CK ΕΛ. Μ. Ε

ΚΑΝΟΝΙΣ ΜΟ Ι ΙΕΞΑΓΩΓΗΣ ΑΓΩΝΩΝ 1 / 8 SCALE IC TRA CK ΕΛ. Μ. Ε ΚΑΝΟΝΙΣ ΜΟ Ι ΙΕΞΑΓΩΓΗΣ ΑΓΩΝΩΝ 1 / 8 SCALE IC TRA CK ΕΛ. Μ. Ε. 2 0 1 9 Κλ ά δο ς θερ µ ι κώ ν τη λ εκα τ ευθυ νό µ εν ω ν α υ το κι νή τω ν. Υπ εύ θυνο ς Κ λ ά δ ο υ Ζωτιαδης Κωστας bo d @ e l - m e. gr

Διαβάστε περισσότερα

Η ERASMUS. gr.pdf

Η ERASMUS.  gr.pdf Α Α Α Η Α (1) Α Η οι ι ώ ισ ώ Η Α οι ιο ο ίας Ω Π ο ια ό Ω Α Η Α 602 Α Η Ω 7 ο Α Η Α Α Α Α Η Η σ ί σ ο οι ισ ι ές ο ά ς α ο έ ο αι σ ια ι ά έ ο αθή α ος.. ια έ ις, ασ ια ές Ασ ήσ ις.. Α οι ισ ι ές ο ά

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ ΜΕ ΧΡΗΣΗ ΥΠΟΛΟΓΙΣΤΩΝ (E-CAD) ΑΚΑΔΗΜΑΪΚΟ ΕΤΟΣ Χ. Βέργος Καθηγητής

ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ ΜΕ ΧΡΗΣΗ ΥΠΟΛΟΓΙΣΤΩΝ (E-CAD) ΑΚΑΔΗΜΑΪΚΟ ΕΤΟΣ Χ. Βέργος Καθηγητής ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ ΜΕ ΧΡΗΣΗ ΥΠΟΛΟΓΙΣΤΩΝ (E-CAD) ΑΚΑΔΗΜΑΪΚΟ ΕΤΟΣ 2013 2014 Χ. Βέργος Καθηγητής ΕΡΓΑΣΙΑ ΕΞΑΜΗΝΟΥ Σκοπός της φετινής εργασίας εξαμήνου είναι η σχεδίαση ενός Συστήματος Απεικόνισης Χαρακτήρων

Διαβάστε περισσότερα

Η Η Η : 10/2015 Η : 33.997,20 Ϊ Η Η: Ί ο π ο Ω Ω α ο υπο ογ α υγ ο α α α ογ , ΦΕ Σ 2015

Η Η Η : 10/2015 Η : 33.997,20 Ϊ Η Η: Ί ο π ο Ω Ω  α ο υπο ογ α υγ ο α α α ογ , ΦΕ Σ 2015 Η Η Η Θ Η Η Θ Β Θ Η Ω Η Ω Η Ω & Η Ω ΓΩ. Η : 10/2015 Γ : 33.997,20 Ϊ Η Η Η: Ί ο π ο ο α ΔΗ ο ο υπο ογ α ο υγ ο α α α ογ Ε. Η Θ Η. Γ. Γ Η ΓΓ ΦΗ V. Η ΓΓ ΦΗ - Γ Φ Ε, ΦΕ Υ Σ 2015 Η Η Η Θ Η Η Θ Β Θ Η Ω Η Ω Η

Διαβάστε περισσότερα

---------------------------------------------------------------------------------------- 1.1. --------------

---------------------------------------------------------------------------------------- 1.1. -------------- ΕΚΘΕΣΗ Τ Ο Υ Ι Ο Ι ΚΗΤ Ι ΚΟ Υ ΣΥ Μ Β Ο Υ Λ Ι Ο Υ Π Ρ Ο Σ Τ ΗΝ Τ Α ΚΤ Ι ΚΗ Γ ΕΝ Ι ΚΗ ΣΥ Ν ΕΛ ΕΥ ΣΗ Τ Ω Ν Μ ΕΤ Ο Χ Ω Ν Kύριοι Μ έ τ οχοι, Σ ύµ φ ω ν α µ ε τ ο Ν όµ ο κ α ι τ ο Κα τ α σ τ α τ ικ ό τ ης ε

Διαβάστε περισσότερα

Ε α ο Σ στ α Κο ω ς Ασφά ε ας- Ε Σ στ α Κο ω ς Ασφά σ ς φά αιο Α Α ές αι ό α α ο ιαίο σ ή α ος οι ι ής Ασφά ιας... 3 Ά θ ο ιώ ις α ές ο ιαίο σ ή α ος οι ι ής Ασφά ιας... 3 Ά θ ο θ ι ό βού ιο οι ι ής Ασφά

Διαβάστε περισσότερα

T.: -3332553/4 Fax: 210-3332559 e-mail: press@minfin.gr

T.: -3332553/4 Fax: 210-3332559 e-mail: press@minfin.gr Ο Α Α Ο ΙΟ ΟΙ Ο Ο Ι Ω Α ΙΟ Ο ί ς -7 Αθή α T.: -3332553/4 Fax: 210-3332559 e-mail: press@minfin.gr ί, β ίο 2014 ίο ύ ο ί α έ ι ίσ ι ή οι ο ο ία α έ α ούς θ ούς α ά ς, βασισ έ σ αύ σ ς σ ι ής οι ο ο ι ής

Διαβάστε περισσότερα

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Δομική περιγραφή και περιγραφή Μηχανών Πεπερασμένων Καταστάσεων

Περιγραφή Κυκλωμάτων με χρήση της VHDL. Δομική περιγραφή και περιγραφή Μηχανών Πεπερασμένων Καταστάσεων Περιγραφή Κυκλωμάτων με χρήση της VHDL Δομική περιγραφή και περιγραφή Μηχανών Πεπερασμένων Καταστάσεων Οργάνωση Παρουσίασης Περιγραφή Δομής σε VHDL (Structural Description) Μηχανές Πεπερασμένων Καταστάσεων

Διαβάστε περισσότερα

α κα ρι ι ο ος α α νηρ ος ου ουκ ε πο ρε ε ευ θη εν βου λη η η α α σε ε ε βων και εν ο δω ω α α µαρ τω λω ων ουουκ ε ε ε

α κα ρι ι ο ος α α νηρ ος ου ουκ ε πο ρε ε ευ θη εν βου λη η η α α σε ε ε βων και εν ο δω ω α α µαρ τω λω ων ουουκ ε ε ε Ἦχος Νη α κα ρι ι ο ος α α νηρ ος ου ουκ ε πο ρε ε ευ θη εν βου λη η η α α σε ε ε βων και εν ο δω ω α α µαρ τω λω ων ουουκ ε ε ε στη η και ε πι κα α θε ε ε ε δρα α λοι οι µων ου ουκ ε ε κα θι ι σε ε ε

Διαβάστε περισσότερα

α : 210-6465727 E-mail : support@gcsl.gr

α : 210-6465727 E-mail : support@gcsl.gr Α Α Α Α Α: 65Χ Η-Λ Φ Η Η Η Α Α Α Α : 5PROC002922680 Η Α Α Α Η Αθή α, 6-7-205 Η Η Α ιθ..: 30/002/000/4368 Η Α Έ ισ α ά ς: 30/002/000/4034/26-6-205 Η Α, Η Η Α Η (A Α : Η- ) & Η Η Α Η Α A α. / σ : Α. σό α

Διαβάστε περισσότερα

ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ ΜΕ ΧΡΗΣΗ ΥΠΟΛΟΓΙΣΤΩΝ (E-CAD) ΑΚΑΔΗΜΑΪΚΟ ΕΤΟΣ

ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ ΜΕ ΧΡΗΣΗ ΥΠΟΛΟΓΙΣΤΩΝ (E-CAD) ΑΚΑΔΗΜΑΪΚΟ ΕΤΟΣ ΣΧΕΔΙΑΣΗ ΣΥΣΤΗΜΑΤΩΝ ΜΕ ΧΡΗΣΗ ΥΠΟΛΟΓΙΣΤΩΝ (E-CAD) ΑΚΑΔΗΜΑΪΚΟ ΕΤΟΣ 2016 2017 Χ. Βέργος Καθηγητής ΕΡΓΑΣΙΑ ΕΞΑΜΗΝΟΥ Σκοπός της φετινής εργασίας εξαμήνου είναι η σχεδίαση ενός Συστήματος Απεικόνισης Χαρακτήρων

Διαβάστε περισσότερα

αι ί Η ι ύ ι αι θέ ι βοήθ ια! αι α ό άς! Η Η Αφού ό οι ί ασ σ ο όσ ο ας, ίς α σ φ ό ασ Ο όσ ο ας!! Η Η 4

αι ί Η ι ύ ι αι θέ ι βοήθ ια! αι α ό άς! Η Η Αφού ό οι ί ασ σ ο όσ ο ας, ίς α σ φ ό ασ Ο όσ ο ας!! Η Η 4 Α Ο αθαί ο ας ισ ή η έσα α ό ο έα ο 3 α ι ή ο ά α 2 ο ασίο αίας ύθ ος αθ ής α ά ς ι ό αος «Α ήθ ια, α ήθ ια ι ύ ι αι θέ ι βοήθ ια» Σ α ή ο βα ιού, σ ία ο σ ι ιού αι σ α α ιά ο Μο φέα αι ί ο ίχ ο ό α α

Διαβάστε περισσότερα

Εικονογραφημένο Λεξικό Το Πρώτο μου Λεξικό

Εικονογραφημένο Λεξικό Το Πρώτο μου Λεξικό ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΘΡΗΣΚΕΥΜΑΤΩΝ, ΠΟΛΙΤΙΣΜΟΥ ΚΑΙ ΑΘΛΗΤΙΣΜΟΥ Ι.Τ.Υ.Ε. «ΔΙΟΦΑΝΤΟΣ» Αή Εί Ηίς Δής Μί Μά Ιί Αύ Εέ Λό Τ Πώ Λό Τός 12ς (Π, (ίς- )) Εέ Λό Α, Β, Γ Δύ Τ Πώ Λό Τός 12ς (Π, (ίς- )) ΣΥΓΓΡΑΦΕΙΣ

Διαβάστε περισσότερα

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος Εργαστήριο Οργάνωσης Η/Υ Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Χρησιμοποιούμε τις μηχανές πεπερασμένων καταστάσεων (finite state machines FSMs) για την μοντελοποίηση της συμπεριφοράς ενός κυκλώματος, η

Διαβάστε περισσότερα

Εικονογραφημένο Λεξικό Το Πρώτο μου Λεξικό

Εικονογραφημένο Λεξικό Το Πρώτο μου Λεξικό ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΘΡΗΣΚΕΥΜΑΤΩΝ, ΠΟΛΙΤΙΣΜΟΥ ΚΑΙ ΑΘΛΗΤΙΣΜΟΥ Ι.Τ.Υ.Ε. «ΔΙΟΦΑΝΤΟΣ» Αή Εί Ηίς Δής Μί Μά Ιί Αύ Εέ Λό Τ Πώ Λό Α, Β, Γ Δύ Τός 16ς (Φ, Χ, (ό)) Εέ Λό Α, Β, Γ Δύ Τ Πώ Λό Τός 16ς (Φ, Χ, (ό))

Διαβάστε περισσότερα

Αρχιτεκτονική Σχεδίαση Ασαφούς Ελεγκτή σε VHDL και Υλοποίηση σε FPGA ΙΠΛΩΜΑΤΙΚΗ ΕΡΓΑΣΙΑ

Αρχιτεκτονική Σχεδίαση Ασαφούς Ελεγκτή σε VHDL και Υλοποίηση σε FPGA ΙΠΛΩΜΑΤΙΚΗ ΕΡΓΑΣΙΑ ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ ΣΧΟΛΗ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΤΟΜΕΑΣ ΣΗΜΑΤΩΝ, ΕΛΕΓΧΟΥ ΚΑΙ ΡΟΜΠΟΤΙΚΗΣ Αρχιτεκτονική Σχεδίαση Ασαφούς Ελεγκτή σε VHDL και Υλοποίηση σε FPGA ΙΠΛΩΜΑΤΙΚΗ

Διαβάστε περισσότερα

Volvo ID WHIPS - θ η... 43

Volvo ID WHIPS - θ η... 43 WEB EDITION Ε ΓΧΕ Ρ Δ Ο Α ΟΧΟ VÄLKOMMEN! π ζουµ ό ι θ πο ά γι πο ά χρό- ι ο υ ο η ο που γορά πό η Volvo. ο υ ο η ο υ ό χ ιά η γ ώ ο η φά ι ι η ά η, ό ο η ι ς ό ο ι ω υ πι ώ ς. υ ο η ης Volvo ι πό φ ρ

Διαβάστε περισσότερα

Ω Α Ο Ω - Α (2.000..-148.. Ο Ο Ω ΑΪ Α Ο Α Ο Α (148..-313.. Ο Ο Α Ο Α Ο Α (313-1430) Ο Ο Ο Ω Α Α Ο (1430-1912) Ω Α Ο (1912)

Ω Α Ο Ω - Α (2.000..-148.. Ο Ο Ω ΑΪ Α Ο Α Ο Α (148..-313.. Ο Ο Α Ο Α Ο Α (313-1430) Ο Ο Ο Ω Α Α Ο (1430-1912) Ω Α Ο (1912) σ ι ή Α Ω (2.000..-148. Ο Ο Α έ ι / ισ ι έ Ο Ω - Α Ο ί ι Α.) Ω ΑΪ Α Ο Α Ο Α (148..-313.. Α Α Ο Ο Α Ο Ο Ο Ω Α Ω Α Ο Α Ο Α (313-1430) ΑΟ (1430-1912) Α Ο (1912) Α Ω Α Ο Ω - Α.. Ο Α Α...-148 ιί ή ι ί ώ ισ

Διαβάστε περισσότερα

Θ Ε Μ Α : «ΠΕΡΙ ΕΓΚΡΙΣΗΣ ΕΠΙΒΟΛΗΣ ΠΡΟΣΤΙΜΩΝ ΚΑΙ ΤΕΛΩΝ ΣΥΝ ΕΣΗΣ ΤΟΥ ΚΑΝΟΝΙΣΜΟΥ ΑΡ ΕΥΣΗΣ ΗΜΟΥ ΛΑΜΙΕΩΝ».

Θ Ε Μ Α : «ΠΕΡΙ ΕΓΚΡΙΣΗΣ ΕΠΙΒΟΛΗΣ ΠΡΟΣΤΙΜΩΝ ΚΑΙ ΤΕΛΩΝ ΣΥΝ ΕΣΗΣ ΤΟΥ ΚΑΝΟΝΙΣΜΟΥ ΑΡ ΕΥΣΗΣ ΗΜΟΥ ΛΑΜΙΕΩΝ». ΕΛΛΗΝΙΚΗ ΗΜΟΚΡΑΤΙΑ ΗΜΟΣ ΛΑΜΙΕΩΝ Α Α Α όσ ασµα α ό το ρακτικό της 14 ης συνεδρίασης της Οικονοµικής Ε ιτρο ής. ΑΡΙΘΜ. ΑΠΟΦ. : 76 /2012 Θ Ε Μ Α : «ΠΕΡΙ ΕΓΚΡΙΣΗΣ ΕΠΙΒΟΛΗΣ ΠΡΟΣΤΙΜΩΝ ΚΑΙ ΤΕΛΩΝ ΣΥΝ ΕΣΗΣ ΤΟΥ

Διαβάστε περισσότερα

Η Sigilda. β ίο 2013, Alfred Steinecker,

Η Sigilda. β ίο 2013, Alfred Steinecker, Η Η ύ σ φή ω Θ ώ η έ ης θ ω η ς ησ σ β έ! έ φ ς ής σ ής ς θ ή ή 2013 έσ ς ς Sigilda. Η Sigilda ς θ ή σ ς σ ϊ 2013 ς σ β θ ύ έ ς θ ς σ σ! σ σ Α έ σ σ σ ή φή έ ς 9Ο ς σ ές ς έ ς. θ β 2013, Alfred Steinecker,

Διαβάστε περισσότερα

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers)

ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ. Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΗΜΥ 210 ΣΧΕΔΙΑΣΜΟΣ ΨΗΦΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ Χειµερινό Εξάµηνο 2016 ΔΙΑΛΕΞΗ 15: Καταχωρητές (Registers) ΧΑΡΗΣ ΘΕΟΧΑΡΙΔΗΣ Επίκουρος Καθηγητής, ΗΜΜΥ (ttheocharides@ucy.ac.cy) Περίληψη q Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

14SYMV

14SYMV Α Η Α Η Η ΙΩ ο ο ι ό έ α ο ς α ι ής Α ι ής σή α 07/09/2013 α ύ ά θι σ βα ο έ ώ : 14SYMV002269652 2014-09-03 Aφ ός ο ή ο α ι ής, ο ο οίος ύ ι σ ο αύ ιο, ο ός ο ο ιώ α. 1.. 19500, ό ς οσ ί αι ό ι α ια ο

Διαβάστε περισσότερα

15SYMV

15SYMV INFORMATICS DEVELOPMEN T AGENCY Digitally signed by INFORMATICS DEVELOPMENT AGENCY Date: 0.0. :6:0 EET Reason: Location: Athens ΑΔΑ: 76ΨΧ0Α-Ω0Ν Ο ΡΑ Α ΧΟ Α Ω Ο Ρ Ω Α ΑΡ Α Ο Α Ο Α Ο ΡΩΟ Ω Α Α Ο ια α οχή

Διαβάστε περισσότερα

ΑΓΑΠΗΤΕ ΙΔΙΟΚΤΗΤΗ ΤΟΥ VOLVO

ΑΓΑΠΗΤΕ ΙΔΙΟΚΤΗΤΗ ΤΟΥ VOLVO WEB EDITION Ε ΓΧΕ Ρ Δ Ο Α ΟΧΟ ΑΓΑΠΗΤΕ ΙΔΙΟΚΤΗΤΗ ΤΟΥ VOLVO Χ Ρ Σ Ο Μ ΠΟ Π Λ Ξ VOLVO π ζουµ ό ι θ πο ά γι πο ά χρό- ι ο υ ο η ο που γορά πό η Volvo. ο υ ο η ο υ ό χ ιά η γ ώ ο η φά ι ι η ά η, ό ο η ι ς

Διαβάστε περισσότερα

ΓΕΝΙκΗ Δ ΕΥΘΥΝΣ ΠΡΟ ΑΣ ΔΙΞΥΘΥΝΣΗ ΠΡΟΣ ΑΣΙΑΣ Αλ Α

ΓΕΝΙκΗ Δ ΕΥΘΥΝΣ ΠΡΟ ΑΣ ΔΙΞΥΘΥΝΣΗ ΠΡΟΣ ΑΣΙΑΣ Αλ Α Λ ε Ν ΛΛ Ν Η Δ ΡΑΑ γπ Γ ΓΑΣ Σ ΝΩ ΗΣ ΣΦ Λ ΣΗΣ ΠΡ Ν ΑΣ ΓΕΝκΗ Δ ΕΥΘΥΝΣ ΠΡ ΑΣ ΔΥΘΥΝΣΗ ΠΡΣ ΑΣΑΣ Αλ Α λ Η Α α Δ ν η Πε ρ ωδκα Πληρφ ρ ε Γηλ φων Λ πρ υ Ε η υ ρ πρλ λ α Φ Γ θ Π Σ ΠΝΑ ΑΣ Α Δ Ω Θ Α Νε κα ντκδ λα

Διαβάστε περισσότερα

15PROC

15PROC Η Ι Η Η Α ΙΑ Α Α Η Α ΙΑ Ι Ω Α ιθ.. 1456 Η Α Η Α Α σό 09 02 2015 / Η Ι Ω Η ΙΩ, ΙΑ & Ι Α Η Α Ι Ω Η ΙΩ INFORMATICS DEVELOPMEN T AGENCY Digitally signed by INFORMATICS DEVELOPMENT AGENCY Date: 2015.02.10 11:22:02

Διαβάστε περισσότερα

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος Εργαστήριο Οργάνωσης Η/Υ Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Ρόλος των γλωσσών περιγραφής υλικού σε μια τυπική ροή σχεδίασης: Documentation Simulation Synthesis Οι γλώσσες περιγραφής υλικού μπορούν να

Διαβάστε περισσότερα

ΥΠEΡΙΑ ΟΜΙΛΙΕΣ

ΥΠEΡΙΑ ΟΜΙΛΙΕΣ Η Η Α Α Α Η Η ΧΗ Η Α Η Η Η Η Α Α Η Α Α Ω Η Α Ω ΑΪ Ω Α Ο Ο Ο - ΠΟ Ο Π Η Ο Α α α αφ θού σ α ία ς σ ο ής ς ο ι ής σ α όσ ια α ά οσ ο ι ισ ι ή ο ο ιά αι σ α ία ς «ιφ ια ής» ο ο ιάς σ ο ή ς α οσ ιο οί σ ς αι

Διαβάστε περισσότερα

15SYMV

15SYMV Α Η Ο Α Ω ι ύθ ση: Οι ο ο ι ού ή α : ο ηθ ιώ Α ιθ. βάσ ως : 04/2015 ια ο ήθ ια οι ού ασ ια ού ο ισ ού ια ις α ά ς ο ια ώ ο α ά σ ο ώ ο α ισ ίο ι αιώς. ό ος α ά ισης ης σύ βασης : 21 α ο α ίο 2015 ό ος

Διαβάστε περισσότερα

l C l o s e C o n t r o l R a n g e AlphaCool A l p h a C o oμονάδεςκλειστούελέγχου Τυπικέςεφαρμογές

l C l o s e C o n t r o l R a n g e AlphaCool A l p h a C o oμονάδεςκλειστούελέγχου  Τυπικέςεφαρμογές AlphaCool A l p h a C o oμάςκσύεέγυ l C l o s e C o n t r o l R a n g e 88-100kW - 100KW - Τυπέςφρμγές > ΕγσάσςπωώInternet > Χώρυπγσώ > «Κθρ» ώρ > Σθμμγωγήςπωώ > Εργσήρ www.airedale.com Σρά AlphaCool Πργρφές

Διαβάστε περισσότερα

Κ Ω Δ Ι Κ Α Σ Δ Ε Ο Ν Τ Ο Λ Ο Γ Ι Α Σ

Κ Ω Δ Ι Κ Α Σ Δ Ε Ο Ν Τ Ο Λ Ο Γ Ι Α Σ Κ Ω Δ Ι Κ Α Σ Δ Ε Ο Ν Τ Ο Λ Ο Γ Ι Α Σ Ψ η φ ί σ τ η κ ε α π ό τ η Γ ε ν ι κ ή Σ υ ν έ λ ε υ σ η τ ω ν Μ ε λ ώ ν τ ο υ Σ Ε Π Ε τ η ν 1 9 η Ο κ τ ω β ρ ί ο υ 1 9 9 6 Π ρ ό λ ο γ ο ς Τ ο π ρ ώ τ ο α ι ρ ε

Διαβάστε περισσότερα

ΣΤΟ ΧΟΣ- Ε ΠΙ ΔΙΩ ΞΗ ΠΛΑΙ ΣΙΟ ΧΡΗ ΜΑ ΤΟ ΔΟ ΤΗ ΣΗΣ

ΣΤΟ ΧΟΣ- Ε ΠΙ ΔΙΩ ΞΗ ΠΛΑΙ ΣΙΟ ΧΡΗ ΜΑ ΤΟ ΔΟ ΤΗ ΣΗΣ ΣΤΟ ΧΟΣ- Ε ΠΙ ΔΙΩ ΞΗ Στό χος του Ο λο κλη ρω μέ νου Προ γράμ μα τος για τη βιώ σι μη α νά πτυ ξη της Πίν δου εί ναι η δια μόρ φω ση συν θη κών α ει φό ρου α νά πτυ ξης της ο ρει νής πε ριο χής, με τη δη

Διαβάστε περισσότερα

11:30-12:00 ιά ι α 12:00-14:00 ία: Α αιο ο ία αι α ς Α έ ος. ο ισ ς: ά ο ιο. οβο ή βί α ι έ ο ή ο Αθ αίω, Α φιθέα ο «Α ώ ς ί σ ς» Α α ίας

11:30-12:00 ιά ι α 12:00-14:00 ία: Α αιο ο ία αι α ς Α έ ος. ο ισ ς: ά ο ιο. οβο ή βί α ι έ ο ή ο Αθ αίω, Α φιθέα ο «Α ώ ς ί σ ς» Α α ίας Α ΧΑ Α 9- α ο α ίο ι «Α αιο ο ι οί ιά ο οι» ί αι έ ας έος θ σ ός, έ ας ια ής ι ι ός αι α ασ ο ασ ι ός ιά ο ος ια ις α αιό ς αι α αιο ο ία σ σ ι ή οι ία. βασι ή ο ο φή ί αι έ α ήσιο, α οι ό σ έ ιο / ή σ

Διαβάστε περισσότερα

Α Α: 6ΙΜ9Η-Φ2Φ Α Α : 15PROC002922919. Αθή α, 16-7-2015. α : 210-6465727 E-mail : support@gcsl.gr . 210-6479000, FAX: 210-6479285

Α Α: 6ΙΜ9Η-Φ2Φ Α Α : 15PROC002922919. Αθή α, 16-7-2015. α : 210-6465727 E-mail : support@gcsl.gr . 210-6479000, FAX: 210-6479285 Α Α Α Α Α Α Α: 6ΙΜ9Η-Φ2Φ Α Α : 15PROC002922919 Αθή α, 16-7-2015 Α Α Α Α ιθ..: 30/002/000/4371 / Α Έ ισ α ά ς: 30/002/000/4033/26-6-2015 Α, Α & Α Α A (A Α : Α - ) α. / σ : Α. σό α ος: α. ώ ι ας : 11521-Αθή

Διαβάστε περισσότερα

ο. 3199/2003 αι ο Π.. 51/2007

ο. 3199/2003 αι ο Π.. 51/2007 ι ής ισ ο ίας), σ α ι ά ο ία αι α ιό ο ς α ά ιο ισ έ ς έ ι σή α οσ ασι ό ας α ές. Α ό άς ύ α σ ς αι α οιώσ σ οι ί ς φ σι ής ο ο ιάς, ο ά ο όβ α ί αι ύ α σ ο ιού αι ο α ασ ι ού ό ο, ώ αισθ ι ά οι οι ο ο

Διαβάστε περισσότερα

15PROC

15PROC Digitally signed by Η INFORMATICS Η DEVELOPMEN T AGENCY Ω ΑΪ Α «CIP-pilot Location: Athensactions» DEVELOPMENT AGENCY Date: 2015.01.15 16:21:12 EET Reason: Α Η Α Α Η Α Η Ω Α Η Η Η Α Ω Ω Ω Ω ΑΪ Η Ω Η Ω

Διαβάστε περισσότερα

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1

ΗΜΥ 210: Σχεδιασμός Ψηφιακών Συστημάτων. Καταχωρητές 1 ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης Φόρτωσης Καταχωρητές

Διαβάστε περισσότερα

ΑΛΕΞΑΝΔΡΕΙΟ ΤΕΧΝΟΛΟΓΙΚΟΕΚΠΑΙΔΕΥΤΙΚΟΙΔΡΥΜΑ ΘΕΣΣΑΛΟΝΙΚΗ ΣΧΟΛΗΤΕΧΝΟΛΟΓΙΑΣΓΕΩΠΟΝΙΑΣ ΤΜΗΜΑΦΥΤΙΚΗΣΠΑΡΑΓΩΓΗΣ

ΑΛΕΞΑΝΔΡΕΙΟ ΤΕΧΝΟΛΟΓΙΚΟΕΚΠΑΙΔΕΥΤΙΚΟΙΔΡΥΜΑ ΘΕΣΣΑΛΟΝΙΚΗ ΣΧΟΛΗΤΕΧΝΟΛΟΓΙΑΣΓΕΩΠΟΝΙΑΣ ΤΜΗΜΑΦΥΤΙΚΗΣΠΑΡΑΓΩΓΗΣ ΑΛΕΞΑΝΔΡΕΟ ΤΕΧΝΟΛΟΓΚΟΕΚΠΑΔΕΥΤΚΟΔΡΥΜΑ ΘΕΑΛΟΝΚΗ ΧΟΛΗΤΕΧΝΟΛΟΓΑΓΕΩΠΟΝΑ ΤΜΗΜΑΦΥΤΚΗΠΑΡΑΓΩΓΗ ΕΠΒΛΕΠΩΝΚΑΘΗΓΗΤΗ ΓΕΩΡΓΟΑΘ ΠΑΛΑΤΟ ΚΑΘΗΓΗΤΗΕΦΑΡΜΟΓΩΝ ΠΤΥΧΑΚΗΔΑΤΡΒΗ ΤΩΝ ΠΟΥΔΑΤΩΝ ΔΕΛΗΓΩΡΓΗΚΩΝΤΑΝΤΝΟ48/04 ΚΕΧΑΓΑ ΧΡΤΝΑ168/04

Διαβάστε περισσότερα

14SYMV

14SYMV INFORMATICS DEVELOPMEN T AGENCY Digitally signed by INFORMATICS DEVELOPMENT AGENCY Date: 2014.12.08 08:32:19 EET Reason: Location: Athens ΑΝΑΡΤΗΤΕΑ ι ή η σία,. α ο ού ο, Αθή α, / F 2106988586, asylo@asylo.gov.gr,

Διαβάστε περισσότερα

Πα κ έ τ ο Ε ρ γ α σ ί α ς 4 Α ν ά π τ υ ξ η κ α ι π ρ ο σ α ρ µ ο γ ή έ ν τ υ π ο υ κ α ι η λ ε κ τ ρ ο ν ι κ ο ύ ε κ π α ι δ ε υ τ ι κ ο ύ υ λ ι κ ο

Πα κ έ τ ο Ε ρ γ α σ ί α ς 4 Α ν ά π τ υ ξ η κ α ι π ρ ο σ α ρ µ ο γ ή έ ν τ υ π ο υ κ α ι η λ ε κ τ ρ ο ν ι κ ο ύ ε κ π α ι δ ε υ τ ι κ ο ύ υ λ ι κ ο ΠΑΝΕΠΙΣΤΗΜΙΟ Θ ΕΣΣΑΛ ΙΑΣ ΠΟΛ Υ ΤΕΧ ΝΙΚ Η ΣΧ ΟΛ Η ΤΜΗΜΑ ΜΗΧ ΑΝΟΛ ΟΓ Ω Ν ΜΗΧ ΑΝΙΚ Ω Ν Β ΙΟΜΗΧ ΑΝΙΑΣ ΑΝΑΜΟΡΦΩΣΗ Π Π Σ ΣΥ ΝΟΠ Τ Ι Κ Η Ε Κ Θ Ε ΣΗ ΠΕ 4 Α Ν Α ΠΤ Υ Ξ Η Κ Α Ι ΠΡ Ο Σ Α Ρ Μ Ο Γ Η ΕΝ Τ Υ ΠΟ Υ Κ Α

Διαβάστε περισσότερα

Ο Ι ΙΑ ο Ο Ο ης Α Α Ι ΑΙΩ ΙΧΑ Α Α «αι ο ο ία και η ιο γική ιχει η α ικό η α»

Ο Ι ΙΑ ο Ο Ο ης Α Α Ι ΑΙΩ ΙΧΑ Α Α «αι ο ο ία και η ιο γική ιχει η α ικό η α» Ο Ι ΙΑ ο Ο Ο ης Α Α Ι ΑΙΩ ΙΧΑ Α Α σ ο Α Α Ο Η Η Ο Α Η Ω Α Α Η Η Η Η Ω Η Α Ο Ο Η Η Α Ω Α Ω 29.09.2014 «αι ο ο ία και η ιο γική ιχει η α ικό η α» Ό ς ί, οι ο ο ία ο αίο ιάσ α έ ι ά ι σοβα ά βή α α σ αθ ο

Διαβάστε περισσότερα

Εικονογραφημένο Λεξικό Το Πρώτο μου Λεξικό

Εικονογραφημένο Λεξικό Το Πρώτο μου Λεξικό ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΘΡΗΣΚΕΥΜΑΤΩΝ, ΠΟΛΙΤΙΣΜΟΥ ΚΑΙ ΑΘΛΗΤΙΣΜΟΥ Ι.Τ.Υ.Ε. «ΔΙΟΦΑΝΤΟΣ» Αή Εί Ηίς Δής Μί Μά Ιί Αύ Εέ Λό Τ Πώ Λό Τός 9ς (Μ, (έ) Ν,) Εέ Λό Α, Β, Γ Δύ Τ Πώ Λό Τός 9ς (Μ, (έ) Ν,) ΣΥΓΓΡΑΦΕΙΣ Αή

Διαβάστε περισσότερα

Qwertyuiopasdfghjklzxcvbnmq monitors hdmi vga resolution monitors hdmi vga resolution rgb monitors hdmi vga resolution rgb monitors hdmi

Qwertyuiopasdfghjklzxcvbnmq monitors hdmi vga resolution monitors hdmi vga resolution rgb monitors hdmi vga resolution rgb monitors hdmi Qwertyuiopasdfghjklzxcvbnmq monitors hdmi vga resolution monitors hdmi vga resolution rgb monitors hdmi vga resolution rgb monitors hdmi All about monitors vga resolution Iek Delta rgb project 2015 monitors

Διαβάστε περισσότερα

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ

26-Nov-09. ΗΜΥ 210: Λογικός Σχεδιασμός, Χειμερινό Εξάμηνο Καταχωρητές 1. Διδάσκουσα: Μαρία Κ. Μιχαήλ ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2009 Καταχωρητές Διδάσκουσα: Μαρία Κ. Μιχαήλ Πανεπιστήμιο Κύπρου Τμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Περίληψη Καταχωρητές Παράλληλης

Διαβάστε περισσότερα

(POI 2 ) ι π ηροφοριώ ο ι ής υ οφορί ς

(POI 2 ) ι π ηροφοριώ ο ι ής υ οφορί ς SENSUS NAVIGATION άζ ι η προ ο ή ου χάρ η ξύ 2D ι 3D π ρυθ ίζ ι ο χάρ η ώ ο ουθ ί η ί η η ου υ ο ι- ή ου Ση ίο ι φέρο ος (POI - 1 ) Π ηροφορί ς ο ι ής υ οφορί ς χι οποίη η ( π υγ έ η προ ο ή) ή γι οποίη

Διαβάστε περισσότερα

15SYMV

15SYMV Α Η Ο Α Ω ι ύθ ση: Οι ο ο ι ού ή α: ο ηθ ιώ Α ιθ. βάσ ως : 44/2014 Α Η ια α ο ή σιώ ια α ο ή έ α ισ ασ ι ώ ασιώ ο ί ι ια ώ α ασ άσ ο α ισ ίο ι αιώς Χ ό ος α ά ισης ης σύ βασης :22 β ίο 2014 ό ος : ι ό

Διαβάστε περισσότερα

ές ές ώ ς ς ίς ά ής ί ς ό ς ί ς ς ό ς ό ς ί ς

ές ές ώ ς ς ίς ά ής ί ς ό ς ί ς ς ό ς ό ς ί ς ές ές ώ ς ς ίς ά ής ί ς ό ςί ςς όςό ς ίς ό ίό ς Έ ί ύ έςώς ς ές ί ς ς ίς ές έςές ς ίς έςώς ς ύς ίς ή ή ί ής ί ς ά ό ίό ς Έ ί ύ ές ί ς ς ίς ές έςές ς ίς έςώς ς ύς ίς ή ή ί ής ί ς ά ές ές ώ ς ίέ ςύ όίώώ

Διαβάστε περισσότερα

Η ούσια εκ των οτέ ων ιαφά ια.

Η ούσια εκ των οτέ ων ιαφά ια. ΟΠΟ Η ΙΑΒΟ Η Α ιο ό σ ς α ο σ α ι ό ας ια ά ς Ο ίας / / ια ις ια ι ασί ς οσφ ής σ ο ο έα ς σύ α ς οσί σ βάσ Η σ ή σ ί * ί ο ι ή. α ό η α ερω ηθέν ων * Α αφέ α ο ά ος έ ος σας: * Π οσ ιο ίσ ι ιό ά σας:

Διαβάστε περισσότερα

15PROC

15PROC Δ Ω Δ Δ - Δ Ω Δ Ω & Δ INFORMATICS DEVELOPMEN T AGENCY Digitally signed by INFORMATICS DEVELOPMENT AGENCY Date: 2015.02.09 10:47:54 EET Reason: Location: Athens Ε Δ Δ. Δ/.. Δ/ / π : : : : : :. 11 546 55,

Διαβάστε περισσότερα

13PROC

13PROC Η Α Η Η 13PROC001728266 2013-11-20 Η Α Α Α Α Α Η Α Η Α Η Η ύ ς ι ή φο ί ς:. ά ι ή, 12-11-2013. : Α.. 223 α : 22510 23466 E mail publibmyt@lesvos.aegean.gr Α Η ό ι ο ιο ο ι ού ια ισ ού Α Α όσια ι ή ιβ ιοθή

Διαβάστε περισσότερα

ΑΓΑΠΗΤΕ ΙΔΙΟΚΤΗΤΗ ΤΟΥ VOLVO

ΑΓΑΠΗΤΕ ΙΔΙΟΚΤΗΤΗ ΤΟΥ VOLVO WEB EDITION Ε ΓΧΕ Ρ Δ Ο Α ΟΧΟ ΑΓΑΠΗΤΕ ΙΔΙΟΚΤΗΤΗ ΤΟΥ VOLVO Χ Ρ Σ Ο Μ ΠΟ Π Λ Ξ VOLVO π ζουµ ό ι θ πο ά γι πο ά χρό- ι ο υ ο η ο που γορά πό η Volvo. ο υ ο η ο υ ό χ ιά η γ ώ ο η φά ι ι η ά η, ό ο η ι ς

Διαβάστε περισσότερα

5-21KW. Ecotel Indoor Range. ΣειράEcotel Indoor. Τυπικέςεφαρμογές. Εγκαταστάσειςκινητήςτηλεφωνίας.

5-21KW. Ecotel Indoor Range. ΣειράEcotel Indoor.  Τυπικέςεφαρμογές. Εγκαταστάσειςκινητήςτηλεφωνίας. Ecotel Indoor Range 5-21kW 5-21KW ΣάEcotel Indoor Τυπςφμγς Εγσάσςήςφως ΠάInternet Δωμάυπγσώ Σθμμγωγής, μά www.airedale.com ΣάEcotel Indoor Πγφς Ecotel Indoor ΤEcotel Outdoor μόμμςμάςπςυ σσάγγσάσςπωώσωύ

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 4: Σχεδιασμός Σειριακού Αθροιστή Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

Λίγα Λόγια για ο μήμα Α ομα ισμού

Λίγα Λόγια για ο μήμα Α ομα ισμού Ε ι ίκ ση σ ο ο ισ ό ω ής κι η σιώ σ ο ΕΙ ΕΙ Ι.. Λίγ Λόγι γι ο μήμ ομ ισμού ο ή ι ώ ο ισ ού.. έ ι ς οσ ο ή ί σ ιού ισ έ ά ισ σ ο σι ό ι ί ο ο ο άο έ ο. ο ύ ισ ο ή ι ό ι ώ ώσ ού ι έ ο. ι ύ ι ο ς φοι ές

Διαβάστε περισσότερα

Introduction to IP Cores

Introduction to IP Cores Introduction to IP Cores Part 1: Digital Design -- Using IP Cores to Simplify Design Στον κόσµο του ψηφιακού σχεδίου, µπορούµε να χρησιµοποιήσουµε τις γλώσσες περιγραφής υλικού για να περιγράψουµε σύνθετες

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Προχωρημένα Θέματα Σχεδιασμού με VHDL

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Προχωρημένα Θέματα Σχεδιασμού με VHDL «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Προχωρημένα Θέματα Σχεδιασμού με VHDL Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής

Διαβάστε περισσότερα

ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL

ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL ΕΙΣΑΓΩΓΙΚΟ ΕΓΧΕΙΡΙ ΙΟ ΓΙΑ ΣΧΕ ΙΑΣΜΟ ΜΕ ΧΡΗΣΗ ΤΗΣ ΓΛΩΣΣΑΣ VHDL Προετοιµασία: Παπαδόπουλος Γιώργος Σούρδης Γιάννης Για το µάθηµα Οργάνωσης Υπολογιστών (ΑΡΥ301), 2002 ΕΙΣΑΓΩΓΗ ΣΤΗ STRUCTURAL VHDL Η VHDL είναι

Διαβάστε περισσότερα

2 (4! ((2 (5 /! / Β ;! + %ΧΑ + ((5 % # &

2 (4! ((2 (5 /! / Β ;! + %ΧΑ + ((5 % # & !! # % & # () %# + (, # &,. /01 2 23 () 0 &. 04 3 23 (5 6787%.9 : ; 3!.&6< # (5 2!.& 6 < # ( )!.&+ < # 0= 1 # (= 2 23 0( >? / #.Α( 2= 0( 4 /

Διαβάστε περισσότερα

των ερ γα το τε χνι τών εργοστασίων Τσιµεντολίθων, ό λης της χώρας O41R09

των ερ γα το τε χνι τών εργοστασίων Τσιµεντολίθων, ό λης της χώρας O41R09 των ερ γα το τε χνι τών εργοστασίων Τσιµεντολίθων, ό λης της χώρας O41R09 ΚΩΩ Δ Ι ΚO ΠOΙ Η ΣΗ ΣYΛ ΛO ΓΙ ΚΩΩΝ ΡYΘ ΜΙ ΣΕ ΩΩΝ (ΣΣΕ & Δ Α) ΤΩΩΝ ΕΡ ΓΑ ΤO ΤΕ ΧΝΙ ΤΩΩΝ ΕΡ ΓO ΣΤΑ ΣΙ ΩΩΝ ΤΣΙ ΜΕ ΝΤO ΛΙ ΘΩΩΝ, ΤΣΙ

Διαβάστε περισσότερα

070-A

070-A 764 070-A543-50 www.tektronix.com Copyright Tektronix Japan, Ltd. All rights reserved. 141 0001 5 9 31 TektronixTek Tektronix, Inc. i v ix xi 1 11 12 12 12 13 19 110 110 2 21 21 22 23 24 24 26 211

Διαβάστε περισσότερα

Α ο ω ι ο οιώ ας ο φαι ό ο ς ή α σ ς Charlie Chaplin

Α ο ω ι ο οιώ ας ο φαι ό ο ς ή α σ ς Charlie Chaplin Α ο ω ι ο οιώ ας ο φαι ό ο ς ή α σ ς ί ασ ό οι ασι έχ ς. ού α ά ια α ί ο ά ι ά ο Charlie Chaplin ά ιος αβ α ά ς Ι σ ι ού ο ο ια ής Βιο ο ίας αι Βιο χ ο ο ίας, Ί α χ ο ο ίας Έ ας Ια ι ή χο ή, Πα ισ ή ιο

Διαβάστε περισσότερα

ΕΘΝΙΚΟ ΘΕΜΑΤΙΚΟ ΔΙΚΤΥΟ ΠΕΡΙΒΑΛΛΟΝΤΙΚΗΣ ΕΚΠΑΙΔΕΥΣΗΣ «Βιώσιμη Πόλη: Η πόλη ως πεδίο εκπαίδευσης για την αειφορία»

ΕΘΝΙΚΟ ΘΕΜΑΤΙΚΟ ΔΙΚΤΥΟ ΠΕΡΙΒΑΛΛΟΝΤΙΚΗΣ ΕΚΠΑΙΔΕΥΣΗΣ «Βιώσιμη Πόλη: Η πόλη ως πεδίο εκπαίδευσης για την αειφορία» Εθό Δίτυο «Βώσμη Πόλη: Η πόλη ως πδίο παίδυσης α τη αφορία» Κέτρο Πρβαλλοτής Επαίδυσης Ελυθρίου Κορδλού & Βρτίσου ΕΘΝΙΚΟ ΘΕΜΑΤΙΚΟ ΔΙΚΤΥΟ ΠΕΡΙΒΑΛΛΟΝΤΙΚΗΣ ΕΚΠΑΙΔΕΥΣΗΣ «Βώσμη Πόλη: Η πόλη ως πδίο παίδυσης

Διαβάστε περισσότερα

ΑΔΑ: ΒΙΨ69-ΥΘΙ ΑΝΑΡΣΗΣΕΑ ΣΟ ΙΑ ΙΚΣΤΟ. . : : /15. υ »

ΑΔΑ: ΒΙΨ69-ΥΘΙ ΑΝΑΡΣΗΣΕΑ ΣΟ ΙΑ ΙΚΣΤΟ. . : : /15. υ » Α Α Α Α Α Α / /. &. / / / Α / Α α. / σ : Α α σίας 146 Α ό : ά ι α. : 45444 Email: kainotomes@sch.gr οφο ί ς: ά ς. : 26510 65021 6977 641678 ΑΝΑΡΣΗΣΕΑ ΣΟ ΙΑ ΙΚΣΤΟ ά ι α 20/01/2014 Α ιθ..:.../552 Α Ο Α Η

Διαβάστε περισσότερα

Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι. Εισαγωγή στη VHDL

Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι. Εισαγωγή στη VHDL Εργαστήριο Αρχιτεκτονικής Υπολογιστών Ι Εισαγωγή στη VHDL Εισαγωγή Very High Speed Integrated Circuits Hardware Description Language ιαφορές από γλώσσες προγραμματισμού: παράλληλη εκτέλεση εντολών προσδιορισμός

Διαβάστε περισσότερα

6 Α σ Ε Ε Ε ΓΑ Α Ε Α: Η σ σ ς σ ς & σ ώ : A χ ς: : Σ Π σ

6 Α σ Ε Ε Ε ΓΑ Α Ε Α: Η σ σ ς σ ς & σ ώ : A χ ς: : Σ Π σ 6 Α σ Ε Ε Ε ΓΑ Α Ε Α: Η σ σ ς σ ς & σ ώ : A χ ς: 2016-2017 : Σ Π σ ισα ω ή: Η ο σι ή ο ο ο ί αι ίσσ ι ισ ο ία ς ς α ά ' ί ς ώσσας, αι βασι ό α ς α ά α θ ώ ι έ ι. Καθώς ο έ α θ ος ό ος ς ι ό έσο ο ί α α

Διαβάστε περισσότερα