IV. FUNKCIJE I STRUKTURA PREKIDAČKIH MREŽA IV.1 OSNOVNI POJMOVI IV.2 LOGIČKI ELEMENTI IV.3 STRUKTURA KOMBINACIONIH MREŽA IV.4 MEMORIJSKI ELEMENTI

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "IV. FUNKCIJE I STRUKTURA PREKIDAČKIH MREŽA IV.1 OSNOVNI POJMOVI IV.2 LOGIČKI ELEMENTI IV.3 STRUKTURA KOMBINACIONIH MREŽA IV.4 MEMORIJSKI ELEMENTI"

Transcript

1 IV. OSNOVNI POJMOVI IV.2 LOGIČKI ELEMENTI IV.3 STRUKTURA KOMBINACIONIH MREŽA IV.4 MEMORIJSKI ELEMENTI IV.4. ASINHRONI FLIP-FLOPOVI IV.4.2 TAKTOVANI FLIP-FLOPOVI IV.5 STRUKTURA SEKVENCIJALNIH MREŽA

2 IV. OSNOVNI POJMOVI Prekidačke mreže su osnovne komponente računara i drugih digitalnih sistema i uređaja. Prekidačka mreža se može predstaviti blokom sa n ulaza i m izlaza (slika ). Na ulaze dolaze binarni signali x, x 2,..., x n, a na izlazima se dobijaju binarni signali z, z 2,..., z m. Vektori signala X = x x 2...x n i Z = z z 2...z m predstavljaju ulazne i izlazne vektore prekidačke mreže. x z x 2 z 2 x n Slika Ulazi i izlazi prekidačke mreže Binarni signal dobija dve vrednosti koje se označavaju sa i. z m 2

3 IV. OSNOVNI POJMOVI U prekidačkoj mreži se pojavlju dva tipa binarnih signala i to:. signali potencijalnog tipa i 2. signali impulsnog tipa. Ako trajanje binarnog signala nije ograničeno ni za jednu vrednost, kaže se da je signal potencijalnog tipa. Ako je trajanje binarnog signala ograničeno bar za jednu vrednost, kaže se da je signal impulsnog tipa. Binarni signali su dati na slici 2 i to: a) signal potencijalnog tipa, b) signal impulsnog tipa kod kojeg je trajanje ograničeno i fiksno za vrednost, c) signal impulsnog tipa kod kojeg je trajanje ograničeno i fiksno za vrednost i d) periodičan signal impulsnog tipa kod kojeg je trajanje ograničeno i fiksno za obe vrednosti i. a) b) c) d) Slika 2 Signali potencijalnog (a) i impulsnog (b, c, d) tipa 3

4 IV. OSNOVNI POJMOVI Ako se u nekom trenutku t i promeni ulazni vektor X prekidačke mreže proteći će određeno vreme t dok se na izlazima ne pojavi odgovarajući vektor Z. Vreme t predstavlja kašnjenje signala u prekidačkoj mreži i zavisi od njenih tehnoloških i strukturnih karakteristika. Sledeća promena ulaznog vektora X može se izvršiti u trenutku t i+ ako je zadovoljen uslov t i+ t i t. U intervalu od t i do t i + t u prekidačkoj mreži se odvija prelazni proces, tako da izlazni vektor Z nije definisan i ne može se koristiti. U intervalu od t i + t do t i+ na izlazima prekidačke mreže je prisutan odgovarajući vektor Z i može se koristiti u bilo kojem trenutku tog intervala. Pri razmatranju funkcija prekidačkih mreža kašnjenje t se zanemaruje pa se smatra da se sa promenom ulaznog vektora X istovremeno menja i izlazni vektor Z. Ipak, kašnjenje t se uzima u obzir tako što se promene ulaznog vektora X dozvoljavaju samo u diskretnim vremenskim trenucima t, t 2,..., t i, t i+,... Pritom je t i+ t i t. Kaže se da prekidačke mreže funkcionišu u diskretnom vremenu. Vremenski interval između dva uzastopna trenutka t i i t i+ naziva se intervalom takta ili taktom prekidačke mreže. Veličina takta je određena funkcijama izlaznih vektora prekidačke mreže, pri čemu uvek mora zadovoljavati relaciju t i+ t i t. Trenuci t, t 2,..., t i, t i+,... nazivaju se trenucima takta. 4

5 IV. OSNOVNI POJMOVI Prema funkcijama koje realizuju prekidačke mreže se dele na. kombinacione prekidačke mreže i 2. sekvencijalne prekidačke mreže. Izlazni vektor Z kombinacione mreže jednoznačno je određen ulaznim vektorom X koji je u posmatranom trenutku prisutan na ulazima mreže. Stoga je funkcija kombinacione mreže definisana ako je zadata korespondencija između ulaznih vektora X i izlaznih vektora Z. Ta korespondencija se može zadati skupom prekidačkih funkcija z, z 2,..., z m koje zavise od nezavisno promenljivih x, x 2,..., x n i data je relacijama: z = f (x, x 2,..., x n ), z 2 = f 2 (x, x 2,..., x n )... z m = f m (x, x 2,..., x n ) ili u vektorskom obliku Z = F (X). Svaki ulazni vektor X kombinacione mreže preslikava se u izlazni vektor Z tako da je z j = f j (x, x 2,..., x n ), j =, 2,..., m. Prekidačke funkcije nazivaju se funkcijama izlaza kombinacione mreže. Funkcije izlaza potpuno definišu funkciju, ili kako se često kaže, zakon funkcionisanja kombinacione mreže. 5

6 IV. OSNOVNI POJMOVI Izlazni vektor Z sekvencijalne mreže jednoznačno je određen parom vektora X i Q, gde je X = x x 2...x n vektor prisutan u posmatranom trenutku na ulazima mreže, a Q = Q Q 2...Q k je vektor binarnih signala koji je u posmatranom trenutku prisutan na odgovarajućim unutrašnjim linijama mreže. Vektor Q se naziva vektorom stanja ili stanjem sekvencijalne mreže. Izlazni vektor Z sekvencijalne mreže se može zadati skupom prekidačkih funkcija z, z 2,..., z m koje zavise od nezavisno promenljivih x, x 2,..., x n, Q, Q 2,..., Q k i koje su date relacijama z = f (x, x 2,..., x n, Q, Q 2,..., Q k ), z 2 = f 2 (x, x 2,..., x n, Q, Q 2,..., Q k )... z m = f m (x, x 2,..., x n, Q, Q 2,..., Q k ) ili u vektorskom obliku Z = F (X, Q). Ove prekidačke funkcije nazivaju se funkcijama izlaza sekvencijalne mreže. Potrebno je definisati od kojih signala i kako zavisi vektor stanja. Ta zavisnost je data relacijama Q (t+) = g (x, x 2,..., x n, Q, Q 2,..., Q k ), Q 2 (t+) = g 2 (x, x 2,..., x n, Q, Q 2,..., Q k )... Q k (t+) = g k (x, x 2,..., x n, Q, Q 2,..., Q k ) ili u vektorskom obliku Q (t+) = G (X, Q). Sa t+ je označen trenutak t+ t kada je promena vektora stanja započeta u trenutku t u sekvencijalnoj mreži koja unosi kašnjenje t završena. Trenuci t i t+ se nazivaju sadašnjim i sledećim trenutkom. Ove prekidačke funkcije nazivaju se funkcijama prelaza sekvencijalne mreže. Funkcije izlaza i prelaza potpuno definišu funkciju, ili kako se često kaže, zakon funkcionisanja sekvencijalne mreže. 6

7 IV. OSNOVNI POJMOVI Izlazni vektor Z sekvencijalne mreže u trenutku t i ne mora zavisiti od vektora X prisutnog na ulazima mreže u tom trenutku, ali mora zavisiti od stanja Q u kojem se mreža nalazi. Za sekvencijalnu mrežu kod koje izlazni vektor Z u trenutku t i zavisi od stanja Q u kojem se mreža nalazi i od vektora X prisutnog na njenim ulazima u tom trenutku, kaže se da Mealy-jevog tipa. S toga su funkcije izlaza sekvencijalne mreže Mealy-jevog tipa date relacijama z = f (x, x 2,..., x n, Q, Q 2,..., Q k ), z 2 = f 2 (x, x 2,..., x n, Q, Q 2,..., Q k )... z m = f m (x, x 2,..., x n, Q, Q 2,..., Q k ) ili u vektorskom obliku Z = F (X, Q). Za sekvencijalnu mrežu kod koje izlazni vektor Z u trenutku t i zavisi samo od stanja Q u kojem se mreža nalazi, a ne i od vektora X prisutnog na njenim ulazima u tom trenutku, kaže se da Moor-ovog tipa. S toga su funkcije izlaza sekvencijalne mreže Moor-ovog tipa date relacijama: z = f (Q, Q 2,..., Q k ), z 2 = f 2 (Q, Q 2,..., Q k )... z m = f m (Q, Q 2,..., Q k ) ili u vektorskom obliku Z = F (Q). Funkcije prelaza sekvencijalne mreže su u oba slučaja date relacijama Q (t+) = g (x, x 2,..., x n, Q, Q 2,..., Q k ), Q 2 (t+) = g 2 (x, x 2,..., x n, Q, Q 2,..., Q k )... Q k (t+) = g k (x, x 2,..., x n, Q, Q 2,..., Q k ) ili u vektorskom obliku Q (t+) = G (X, Q). Svako preslikavanje ulaznih vektora u izlazni niz koje realizuje neka sekvencijalna mreža Mealy-jevog tipa može se realizovati i sekvencijalnom mrežom Moor-ovog tipa i obratno. U opštem slučaju, sekvencijalna mreža Moor-ovog tipa ima više stanja od funkcionalno ekvivalentne sekvencijalne mreže Mealy-jevog tipa. 7

8 IV. OSNOVNI POJMOVI Kombinacione prekidačke mreže se realizuju kao kompozicija logičkih elemenata. Sekvencijalne prekidačke mreže se realizuju kao kompozicija logičkih i memorijskih elemenata. Šema koja pokazuje kako su povezani logički elementi u kombinacionoj prekidačkoj mreži ili logički i memorijski elementi u sekvencijalnoj prekidačkoj mreži predstavlja strukturnu šemu prekidačke mreže. Određivanje zakona funkcionisanja prekidačke mreže na osnovu strukturne šeme je predmet analize prekidačkih mreža. Određivanje strukturne šeme prekidačke mreže na osnovu zakona funkcionisanja je predmet sinteze prekidačkih mreža. 8

9 IV.2 LOGIČKI ELEMENTI Logički elementi realizuju neke jednostavne prekidačke funkcije jedne i dve promenljive i imaju samo jedan izlaz. Postoji više logičkih elemenata. Logički element se opisuje. zakonom funkcionisanja koji je dat prekidačkom funkcijom koju realizuje, 2. grafičkim simbolom kojim se označava u strukturnim šemama i 3. nazivom koji predstavlja njegovo ime. Logički elementi su dati na slici 3 koja sadrži:. zakonom funkcionisanja u prvoj koloni, 2. grafički simbol u drugoj koloni i 3. naziv u trećoj koloni. 9

10 IV.2 LOGIČKI ELEMENTI ZAKON FUNKCIONISANJA f = x f = x f = x x 2...x n GRAFIČKI SIMBOL x f x f x x n... x2. f NAZIV POJAČAVAČ (BAFER) NE (INVERTOR) I f = x +x x n x x n + ILI... x2f f = x x 2... x n x x n... x2. f NI f = x + x x n f = x x 2 x x n + NILI x... x2f xor x f 2 EKSILI f = x x 2 x xor x f 2 EKSNILI Slika 3 Osnovni logički elementi

11 IV.2 LOGIČKI ELEMENTI Napomena: Treba uočiti da su na slici 3 samo logički elementi EKSILI i EKSNILI dati sa dva ulaza, dok su logički elementi I, NI, ILI i NILI dati sa n ulaza. Logički elementi EKSILI i EKSNILI su dati sa dva ulaza jer je tada x x 2 = x x 2 i EKSILI i EKSNILI realizuju komplementarne prekidačke funkcije. Logički elementi EKSILI i EKSNILI nisu dati sa n ulaza jer je. x x 2... x n = x x 2... x n ako je n parno, pa EKSILI i EKSNILI realizuju komplementarne prekidačke funkcije, i 2. x x 2... x n = x x 2... x n ako je n neparno, pa EKSILI i EKSNILI realizuju istu prekidačku funkciju. Objašnjenje: Prekidačke funkcija x x 2... x n ima vrednost na vektorima sa neparnim brojem jedinica, dok prekidačke funkcija x x 2... x n ima vrednost na vektorima sa parnim brojem nula. Zbog toga. ako je n parno, onda vektori sa neparnim brojem jedinica imaju i neparan broj nula, pa vredi x x 2... x n = x x 2... x n 2. ako je n neparno, onda vektori sa neparnim brojem jedinica imaju paran broj nula, pa vredi x x 2... x n = x x 2... x n. Napomena: Za logičke elemente NE, NI i NILI ponekad se koriste i alternativni grafički simboli dati na slici 4. x Slika 4.a Alternativni grafički simbol za NE f x x n +... x2f Slika 4.b Alternativni grafički simbol za NI x x n... x2. f Slika 4.c Alternativni grafički simbol za NILI

12 IV.2 LOGIČKI ELEMENTI Sa slike 3 se vidi da. POJAČAVAČ i NE element realizuju obe prekidačke funkcije koje zavise od jedne promenljive, 2. I, ILI, NI, NILI, EKSILI i EKSNILI realizuju šest prekidačkih funkcija koje zavise od dve promenljive, dok se 3. preostale četiri prekidačke funkcije koje zavise od dve promenljive se danas retko realizuju posebnim logičkim elementima. 2

13 IV.2 LOGIČKI ELEMENTI POJAČAVAČ i NE element se često realizuju u verziji poznatoj pod nazivom logički element sa tri stanja (slika 5). x f E Slika 5.a Pojačavač sa "tri stanja" x f E Slika 5.b NE element sa "tri stanja" Logički element sa tri stanja ima:. ulazni signal x, 2. izlazni signal f i 3. upravljački signal E (Enable). Logički element sa tri stanja funkcioniše na sledeći način:. pri vrednosti upravljačkog signala E izlazni signal f ima.. istu vrednost kao ulazni signal x za POJAČAVAČ i.2. invertovanu vrednost ulaznog signala x za NE element 2. pri vrednosti upravljačkog signala E izlazni signal f je u stanju visoke impedanse ("treće stanje") i izlazna linija je odvojena od logičkog elementa ("prekinuta"). Iako se svi logički elementi mogu realizovati i u verziji sa "tri stanja", uglavnom se koriste POJAČAVAČ i NE element. 3

14 IV.2 LOGIČKI ELEMENTI Osnovni parametri logičkog elementa su:. zakon funkcionisanja, 2. broj ulaza, 3. maksimalno opterećenje izlaza i 4. kašnjenje signala. Zakon funkcionisanja je prekidačka funkcija koju element realizuje. Broj ulaza određuje koliko se signala može dovesti na ulaze logičkog elementa. Maksimalno opterećenje izlaza sa daje u obliku celog broja koji pokazuje na koliko se ulaza drugih logičkih elemenata može voditi signal sa izlaza posmatranog logičkog elementa. Kašnjenje signala je vremenski interval između trenutka promene ulaznih signala i trenutka uspostave odgovarajuće vrednosti izlaznog signala. Ostali parametri logičkog elementa su:. napon napajanja, 2. disipacija snage, 3. temperaturni opseg pouzdanog rada itd. 4

15 IV.3 STRUKTURA KOMBINACIONIH MREŽA Osnovni način povezivanja logičkih elemenata je redna veza (slika 6). x x j x n E 2 g x x n E Slika 6 Redna veza Sa E i E 2 označeni su proizvoljni logički elementi koji realizuju prekidačke funkcije f(x, x 2,..., x n ) i g(x, x 2,..., x n ), respektivno. Izlaz elementa E 2 vezan je na ulaz x j elementa E. Redna veza logičkih elemenata E 2 i E daje prekidačku funkciju h h(x, x 2,..., x n ) = f(x,..., g(x, x 2,..., x n ),..., x n ) koja predstavlja superpoziciju prekidačkih funkcija koje ti logički elementi realizuju. f h S obzirom na to da redna veza logičkih elemenata odgovara superpoziciji prekidačkih funkcija koje ti logički elementi realizuju i da se svaka prekidačka funkcija može dobiti superpozicijom onih prekidačkih funkcija pomoću kojih se može napisati sledi da se svaka prekidačka funkcija može realizovati korišćenjem redne veze logičkih elemenata koji realizuju prekidačke funkcije pomoću kojih se prekidačka funkcija može napisati. 5

16 IV.3 STRUKTURA KOMBINACIONIH MREŽA Skup prekidačkih funkcija pomoću kojih se prekidačka funkcija može napisati predstavlja bazis prekidačkih funkcija. Skup logičkih elemenata koji realizuju sve prekidačke funkcije nekog bazisa prekidačkih funkcija predstavlja bazis logičkih elemenata. Logički elementi sa slike 3 obrazuju veliki broj bazisa logičkih elemenata. Najveći praktični značaj imaju bazisi sa. logičkim elementima NE, I i ILI, 2. logičkim elementom NI i 3. logičkim elementom NILI. Razlozi za to su tehnološke prirode jer poluprovodničke tehnologije koje se sada koriste najčešće kao osnovni logički element daju NI ili NILI a sve ostale kao izvedene. 6

17 IV.3 STRUKTURA KOMBINACIONIH MREŽA Mogući način povezivanja logičkih elemenata je i paralelna veza (slika 7). x x j x n E 2 x x j x n E g f Slika 7 Paralelna veza Sa E i E 2 označeni su proizvoljni logički elementi koji realizuju prekidačke funkcije f(x, x 2,..., x n ) i g(x, x 2,..., x n ), respektivno. Izlazi elemenata E 2 i E vezani su direktno međusobno. Paralelna veza logičkih elemenata E 2 i E. u nekim tehnologijama nije dozvoljena, 2. u nekim tehnologijama daje ILI daje prekidačku funkciju pa je h(x, x 2,..., x n ) = f(x, x 2,..., x n ) + g(x, x 2,..., x n ) dok 3. u nekim tehnologijama daje I daje prekidačku funkciju pa je h(x, x 2,..., x n ) = f(x, x 2,..., x n ) g(x, x 2,..., x n ). h Redna veza logičkih elemenata je osnovna i dovoljna. Paralelna veza se koristi samo u posebnim slučajevima. Zato se podrazumeva da se koristi redna veza logičkih elemenata. 7

18 IV.3 STRUKTURA KOMBINACIONIH MREŽA Kompozicija logičkih elemenata u kojoj nema povratnih veza predstavlja kombinacionu mrežu. Pritom se povratnom vezom smatra put kojim signal može proći od izlaza nekog logičkog elementa do njegovog ulaza. Napomena: Kompozicija logičkih elemenata sa povratnim vezama najčešće predstavlja sekvencijalnu mrežu, ali u nekom slučajevima može predstavljati i kombinacionu mrežu. Kombinacione mreže kao kompozicija logičkih elemenata sa povratnim vezama nikada se ne koriste. Strukturna šema kombinacione mreže realizovane kao kompozicija logičkih elemenata bez povratnih veza je data na slici 8. Sa E do E 9 označeni su logički elementi. Kombinaciona mreža realizuje prekidačke funkcije z (x, x 2, x 3, x 4, x 5 ), z 2 (x, x 2, x 3, x 4, x 5 ) i z 3 (x, x 2, x 3, x 4, x 5 ). x x 3 x 4 Ε x 2 Ε 4 x 5 Ε 7 Ε 9 z x 3 Ε 2 x 4 x 5 Ε 5 z 2 x x 4 x 5 x 2 Ε Ε Ε Slika 8 Kombinaciona mreža z 3 8

19 IV.3 STRUKTURA KOMBINACIONIH MREŽA Za logički element se kaže da pripada i-tom stepenu ili i-tom nivou kombinacione mreže ako je i najveći broj logičkih elemenata kroz koje prolazi signal od ulaza mreže do izlaza posmatranog elementa. Na osnovu toga se zaključuje da logički elementi pripada prvom stepenu kombinacione mreže ako na njegove ulaze dolaze samo spoljašnji signali i i-tom stepenu kombinacione mreže ako je i- najveći stepen barem jednog od logičkih elemenata čiji su izlazi vezani na ulaze posmatranog logičkog elementa. Za kombinacionu mrežu se kaže da je i-tog stepena ako je i najveći stepen barem jednog od logičkih elemenata u toj mreži. U kombinacionoj mreži sa slike 8 prvom stepenu pripadaju logički elementi E, E 2 i E 3, drugom stepenu pripadaju logički elementi E 4, E 5 i E 6, trećem stepenu pripadaju logički elementi E 7 i E 8, i četvrtom stepenu pripada logički element E 9. Cela kombinaciona mreža je četvrtog stepena. 9

20 IV.4 MEMORIJSKI ELEMENTI Memorijski elementi su elementarne sekvencijalne mreže sa samo dva stanja i imaju dva izlaza na kojima se pojavljuje direktna i komplementarna vrednost signala stanja. Memorijski elementi se nazivaju i flip-flopovi (FF). Flip-flopovi se mogu podeliti na asinhrone i taktovane (sinhrone). 2

21 IV.4 MEMORIJSKI ELEMENTI IV.4. ASINHRONI FLIP-FLOPOVI Postoji jedan tip asinhronog flip-flopa i to:. asinhroni RS flip-flop Asinhroni flip-flop se opisuje. zakonom funkcionisanja koji je dat funkcijom prelaza i tablicom, 2. grafičkim simbolom kojim se označava u strukturnim šemama i 3. nazivom koji predstavlja njegovo ime. Opis asinhronog RS flip-flop je dat na slici 9 koja sadrži:. zakonom funkcionisanja u prvoj koloni, 2. grafički simbol u drugoj koloni i 3. naziv u trećoj koloni. ZAKON FUNKCIONISANJA Q(t+) = S + RQ SR = R S Q(t+) Q? GRAFICKI SIMBOL Q S Q R NAZIV RS FF Slika 9 Asinhroni RS flip-flop 2

22 IV.4 MEMORIJSKI ELEMENTI IV.4. ASINHRONI FLIP-FLOPOVI Ulazi su označeni sa R (Reset) i S (Set), a izlaz sa Q. Naziv RS je komponovan od oznaka ulaza. Asinhroni flip-flopa RS tipa funkcioniše na sledeći način:. ako je na ulazu S jedinica a na ulazu R nula, na izlazu Q se uspostavlja jedinica, 2. ako je na ulazu S nula a na ulazu R jedinica, na izlazu Q se uspostavlja nula, 3. ako su na ulazima S i R nule, na izlazu Q se ne menja zadnja uspostavljena vrednost signala, dok 4. jedinice na ulazima S i R nisu dozvoljene. 22

23 IV.4 MEMORIJSKI ELEMENTI IV.4. ASINHRONI FLIP-FLOPOVI Osnovni parametri asinhronog flip-flopa su:. ulazni signali, 2. zakon funkcionisanja, 3. maksimalno opterećenje izlaza i 4. vremenski parametri. Ulazni signali određuje koliko ima ulaznih signala i njihove oznake. Zakon funkcionisanja je dat funkcijom prelaza. Maksimalno opterećenje izlaza sa daje u obliku celog broja koji pokazuje na koliko se ulaza drugih logičkih elemenata i/ili memorijskih elemenata se može voditi signal sa izlaza flip-flopa. Vremenski parametri su: Vreme zadržavanja je minimalno vreme zadržavanja vrednosti ulaznih signala posle promene neophodno da bi se flip-flop ponašao saglasno zakonu funkcionisanja. Kašnjenje signala je vremenski interval između trenutka kada započinje promena stanja (to je trenutak promene ulaznog vektora) i trenutka kada se ta promena završi. Ostali parametri asinhronog flip-flopa su:. napon napajanja, 2. disipacija snage, 3. temperaturni opseg pouzdanog rada itd. 23

24 IV.4 MEMORIJSKI ELEMENTI IV.4.2 TAKTOVANI FLIP-FLOPOVI Kod taktovanih flip-flopova pored ulaznih signala koji zavise od tipa flip-flopa postoji obavezno još jedan ulazni signal koji se naziva signal takta. Pri vrednost signala takta flip-flop se zadržava u sadašnjem stanju neograničeno vreme nezavisno od vrednosti preostalih ulaznih signala. Pri vednosti signala takta flip-flop može da pređe iz sadašnjeg u sledeće stanje saglasno funkciji prelaza flip-flopa. Postoje četiri tipa taktovanih flip-flopova i to:. taktovani RS flip-flop 2. taktovani D flip-flop 3. taktovani T flip-flop 4. taktovani JK flip-flop Taktovani flip-flopovi se opisuju. zakonom funkcionisanja koji je dat funkcijom prelaza i tablicom, 2. grafičkim simbolom kojim se označava u strukturnim šemama i 3. nazivom koji predstavlja njegovo ime. Opis taktovanih flip-flopova je dat na slici koja sadrži:. zakonom funkcionisanja u prvoj koloni, 2. grafički simbol u drugoj koloni i 3. naziv u trećoj koloni. 24

25 IV.4 MEMORIJSKI ELEMENTI IV.4.2 TAKTOVANI FLIP-FLOPOVI ZAKON FUNKCIONISANJA Q(t+) = D GRAFICKI SIMBOL D Q NAZIV C D FF D Q(t+) Q Q(t+) = TQ + TQ T Q C T FF T Q(t+) Q Q Q Q(t+) = S + RQ SR = S Q R S Q(t+) Q? C R Q RS FF Q(t+) = JQ + KQ J Q J K Q(t+) Q Q C K Q JK FF Slika Taktovani flip-flopovi 25

26 IV.4 MEMORIJSKI ELEMENTI IV.4.2 TAKTOVANI FLIP-FLOPOVI Taktovani flip-flop RS tipa Taktovani flip-flop RS tipa pored signala takta C ima i još dva ulazna signala i to signale R i S. Izlazni signal je signal stanja Q. Ako je vrednosti signala takta C nula, ulazni signali R i S mogu da se menjaju, ali se vrednost izlaznog signala Q ne menja. Ako je vrednosti signala takta C jedinica, ulazni signali R i S ne smeju da se menjaju i tada:. ako je na ulazu S jedinica a na ulazu R nula, na izlazu Q se uspostavlja jedinica, 2. ako je na ulazu S nula a na ulazu R jedinica, na izlazu Q se uspostavlja nula, 3. ako su na ulazima S i R nule, na izlazu Q se ne menja zadnja uspostavljena vrednost signala, dok 4. jedinice na ulazima S i R nisu dozvoljene. Funkcija prelaza taktovanog RS flip-flopa je za C = Q(t+) = Q, dok je za C = Q(t+) = S + R Q pri čemu mora da bude zadovoljeno da je S R = 26

27 IV.4 MEMORIJSKI ELEMENTI IV.4.2 TAKTOVANI FLIP-FLOPOVI Taktovani flip-flop D tipa Taktovani flip-flop D tipa pored signala takta C ima i još jedan ulazni signal i to signal D. Izlazni signal je signal stanja Q. Ako je vrednosti signala takta C nula, ulazni signal D može da se menja, ali se vrednost izlaznog signala Q ne menja. Ako je vrednosti signala takta C jedinica, ulazni signal D ne sme da se menja i tada:. ako je na ulazu D jedinica, na izlazu Q se uspostavlja jedinica i 2. ako je na ulazu D nula, na izlazu Q se uspostavlja nula. Funkcija prelaza taktovanog D flip-flop je za C = Q(t+) = Q, dok je za C = Q(t+) = D 27

28 IV.4 MEMORIJSKI ELEMENTI IV.4.2 TAKTOVANI FLIP-FLOPOVI Taktovani flip-flop T tipa Taktovani flip-flop T tipa pored signala takta C ima i još jedan ulazni signal i to signal T. Izlazni signal je signal stanja Q. Ako je vrednosti signala takta C nula, ulazni signal T može da se menja, ali se vrednost izlaznog signala Q ne menja. Ako je vrednosti signala takta C jedinica, ulazni signal T ne sme da se menja i tada:. ako je na ulazu T nula, na izlazu Q se ne menja zadnja uspostavljena vrednost signala i 2. ako je na ulazu T jedinica, na izlazu Q se invertuje zadnja uspostavljena vrednost signala. Funkcija prelaza taktovanog T flip-flopa je za C = Q(t+) = Q, dok je za C = Q(t+) = T Q + T Q 28

29 IV.4 MEMORIJSKI ELEMENTI IV.4.2 TAKTOVANI FLIP-FLOPOVI Taktovani flip-flop JK tipa Taktovani flip-flop JK tipa pored signala takta C ima i još dva ulazna signala i to signale J i K. Izlazni signal je signal stanja Q. Ako je vrednosti signala takta C nula, ulazni signali J i K mogu da se menjaju, ali se vrednost izlaznog signala Q ne menja. Ako je vrednosti signala takta C jedinica, ulazni signali J i K ne smeju da se menjaju i tada:. ako je na ulazu J jedinica a na ulazu K nula, na izlazu Q se uspostavlja jedinica, 2. ako je na ulazu J nula a na ulazu K jedinica, na izlazu Q se uspostavlja nula, 3. ako su na ulazima J i K nule, na izlazu Q se ne menja zadnja uspostavljena vrednost signala i 4. ako su na ulazima J i K jedinice, na izlazu Q se invertuje zadnja uspostavljena vrednost signala. Funkcija prelaza taktovanog JK flip-flopa je za C = Q(t+) = Q, dok je za C = Q(t+) = J Q + K Q 29

30 IV.4 MEMORIJSKI ELEMENTI IV.4.2 TAKTOVANI FLIP-FLOPOVI Funkcije prelaza flip-flopova u obliku Bulovih izraza koriste se u analizi sekvencijalnih mreža. Za sintezu sekvencijalnih mreža koriste se kombinacione tablice funkcija pobude flip-flopova koje se nazivaju tablicama pobude flip-flopova. Funkcije pobuda flip-flopova definišu vrednosti ulaznih signala koje treba dovesti na ulaze flip-flopova da bi prešao iz sadašnjeg stanja Q u sledeće stanje Q(t+) i to za sve četiri kombinacije Q i Q(t+) (,, i ). Tablice pobuda su date na slici. 3

31 3 IV. FUNKCIJE I STRUKTURA PREKIDAČKIH MREŽA IV.4 MEMORIJSKI ELEMENTI IV.4.2 TAKTOVANI FLIP-FLOPOVI Q Q(t+) R b S b Q Q(t+) J b b K b b Q Q(t+) D Q Q(t+) T Slika Tablice pobuda flip-flopova

32 IV.4 MEMORIJSKI ELEMENTI IV.4.2 TAKTOVANI FLIP-FLOPOVI Osnovni parametri taktovanih flip-flopova su:. ulazni signali, 2. zakon funkcionisanja, 3. maksimalno opterećenje izlaza i 4. vremenski parametri. Ulazni signali određuje koliko ima ulaznih signala i njihove oznake. Zakon funkcionisanja je dat funkcijom prelaza. Maksimalno opterećenje izlaza sa daje u obliku celog broja koji pokazuje na koliko se ulaza drugih logičkih elemenata i/ili memorijskih elemenata se može voditi signal sa izlaza flip-flopa. Vremenski parametri su (slika 2): Širina impulsa t w se definiše kao minimalno vreme u kojem se mora zadržati aktivna vrednost signala takta da bi flip-flop prešao iz sadašnjeg u sledeće stanje. Vreme postavljanja t su je minimalno vreme između promene ulaznih signala i promene signala takta sa vrednost na vrednost neopodno da bi se flip-flop ponašao saglasno zakonu funkcionisanja. Vreme zadržavanja t h je minimalno vreme zadržavanja vrednosti ulaznih signala posle promene signala takta sa vrednosti na vrednost neopodno da bi se flip-flop ponašao saglasno zakonu funkcionisanja. Kašnjenje t d je vremenski interval između trenutka kada se signal takta promeni sa vrednost na vrednost i trenutka kada sa promena stanja završi. t C w ULAZNI SIGNALI t su t h Q Slika 2 Vremenski parametri taktovanih flip-flopova t d 32

33 IV.4 MEMORIJSKI ELEMENTI IV.4.2 TAKTOVANI FLIP-FLOPOVI Ostali parametri taktovanih flip-flopova su:. napon napajanja, 2. disipacija snage, 3. temperaturni opseg pouzdanog rada itd. 33

34 IV.5 STRUKTURA SEKVENCIJALNIH MREŽA Sekvencijalna mreža se najčešće realizuje prema strukturnoj šemi sa slike 3 za koju se kaže da predstavlja kanonički ili Huffman-Moor-ov model sekvencijalne mreže. x x 2 x n Z = F(X,Q) K z z 2 z m S S Q Q C R S Q Q P = H(X,Q) S k S Q Q k C R k S Q Q k Slika 3 Kanonički model sekvencijalne mreže Po ovom modelu sekvencijalna mreža je kompozicija. kombinacione mreže označene sa K i 2. flip-flopova Q, Q 2,..., Q k. Kombinaciona mreža K realizuje funkcije izlaza i funkcije pobude flip-flopova. Za realizaciju kombinacione mreže može da se koristi bilo koji bazis logičkih elemenata. Flip-flopovi Q, Q 2,..., Q k realizuju stanje sekvencijalne mreže. Za realizaciju stanja sekvencijalne mreže može da se koristi bilo koji tip flop-flopova. 34

35 IV.5 STRUKTURA SEKVENCIJALNIH MREŽA Funkcije izlaza sekvencijalne mreže date su skupom prekidačkih funkcija z, z 2,..., z m koje zavise od nezavisno promenljivih x, x 2,..., x n, Q, Q 2,..., Q k. Funkcije izlaza z, z 2,..., z m su date relacijama z = f (x, x 2,..., x n, Q, Q 2,..., Q k ), z 2 = f 2 (x, x 2,..., x n, Q, Q 2,..., Q k )... z m = f m (x, x 2,..., x n, Q, Q 2,..., Q k ) ili u vektorskom obliku Z = F (X, Q), gde je Z = z z 2... z m izlazni vektor, X = x x 2... x n ulazni vektor i Q = Q, Q 2,..., Q k vektor stanja sekvencijalne mreže. Ove prekidačke funkcije nazivaju se funkcijama izlaza sekvencijalne mreže. 35

36 IV.5 STRUKTURA SEKVENCIJALNIH MREŽA Funkcije pobuda FF-ova u slučaju sekvencijalne mreže realizovane SR FFovima date su skupom prekidačkih funkcija S, R,..., S k, R k koje zavise od nezavisno promenljivih x, x 2,..., x n, Q, Q 2,..., Q k. Funkcije pobuda S, R,..., S k, R k su date relacijama S S = h (x, x 2,..., x n, Q, Q 2,..., Q k ), R R = h (x, x 2,..., x n, Q, Q 2,..., Q k )... S k = h (x, x 2,..., x n, Q, Q 2,..., Q k ) S k R R k = h k (x, x 2,..., x n, Q, Q 2,..., Q k ) ili u vektorskom obliku P = H (X, Q), gde je P = S R... S k R k vektor pobude flip-flopova. Ove prekidačke funkcije nazivaju se funkcijama pobude sekvencijalne mreže. Uvrštavanjem funkcija pobude flip-flopova u funkcije prelaza flip-flopova dobijaju se funkcije prelaza. Za SR flip-flopove funkcija prelaza je Q(t+) = S + RQ. Funkcije prelaza flip-flopova u slučaju sekvencijalne mreže realizovane SR flipflopovima su date relacijama Q (t+) = g (x, x 2,..., x n, Q, Q 2,..., Q k ), Q 2 (t+) = g 2 (x, x 2,..., x n, Q, Q 2,..., Q k )... Q k (t+) = g k (x, x 2,..., x n, Q, Q 2,..., Q k ) ili u vektorskom obliku Q (t+) = G (X, Q). U njima je Q vektor sadašnjeg stanja, a Q(t+) vektor sledećeg stanja sekvencijalne mreže. Ove prekidačke funkcije nazivaju se funkcijama prelaza sekvencijalne mreže Funkcije izlaza i funkcije prelaza definišu zakon funkcionisanja sekvencijalne mreže. 36

37 IV.5 STRUKTURA SEKVENCIJALNIH MREŽA Za sekvencijalnu mrežu sa taktovanim flip-flopovima, kao na slici 3, kaže se da je taktovana ili sinhrona. Vrednost signala takta na ulazima C svih flip-flopova taktovane sekvencijalne mreže menja se u istom trenutku. Dok je signal takta na vrednosti taktovana sekvencijalna mreža ostaje u sadašnjem stanju nezavisno od ulaznog vektora X. To znači da je Q(t+) = Q za svaki ulazni vektor X, pa se kaže da je svako stanje Q stabilno za svaki ulazni vektor X. U stabilnom stanju može se koristiti izlazni vektor Z taktovane sekvencijalne mreže kojeg generiše kombinaciona mreža K saglasno funkcijama izlaza. Prelaz iz sadašnjeg u sledeće stanje kod taktovane sekvencijalne mreže postaje moguć kada se signal takta promeni sa vrednosti na vrednost. Tada sadašnje stanje Q postaje nestabilno jer započinje prelaz flip-flopova u sledeće stanje saglasno funkcijama prelaza i to na osnovu signala pobude na njihovim ulazima koje generiše kombinaciona mreža K saglasno funkcijama pobude. Signal takta zadržava vrednost samo koliko je neophodno da flip-flopovi pređu iz sadašnjeg u sledeće stanje i vraća se na vrednost pre nego što bi mogao da započne novi prelaz. U tom trenutku sledeće stanje postaje stabilno i posmatra se kao sadašnje. Od tog trenutka i kombinaciona mreža K generiše novi izlazni vektor Z. Trenuci promene signala sa vrednosti na vrednost predstavljaju trenutke takta. Signal takta je periodičan signal impulsnog tipa, pa se vremenski interval između dva uzastopna trenutka takta t i i t i- naziva perioda signala takta i oznaćava sa T. 37

38 IV.5 STRUKTURA SEKVENCIJALNIH MREŽA Ako se flip-flopovi na slici 3 zamisle bez signala takta dobija se kompozicija asinhronih flip-flopova RS tipa i kombinacione mreže K koja može predstavljati asinhronu sekvencijalnu mrežu. Asinhrona sekvencijalna mreža ima sve ulazne signale ravnopravne. Za svaki ulazni vektor X mora da postoji barem jedno stabilno stanje Q. Prelaz iz sadašnjeg stabilnog stanja u sledeće stabilno stanje može prouzrokovati samo promena ulaznog vektora. Pritom mreža može proći kroz više nestabilnih stanja. Izlazni vektor Z asinhrone sekvencijalne mreže može se koristiti samo u stabilnom stanju. 38

39 IV.5 STRUKTURA SEKVENCIJALNIH MREŽA Sekvencijalna mreža (taktovana i asinhrona) preslikava svaki niz vektora koji su prisutni na ulazima mreže u diskretnim vremenskim trenucima t, t 2,..., t i, t i+,... u niz izlaznih vektora. Sekvencijalna mreža preslikava vektor prisutan na njenim ulazima u trenutku t i u različite izlazne vektore, što zavisi od stanja u kome se mreža nalazi u trenutku t i. S druge strane, stanje sekvencijalne mreže u trenutku t i jednoznačno je određeno stanjem u kojem se mreža nalazila u trenutku t i nizom vektora koji su bili prisutni na ulazima mreže u trenucima t, t 2,..., t i-. Prema tome, izlazni vektor sekvencijalne mreže u trenutku t i zavisi ne samo od vektora prisutnog na ulazima u tom trenutku već i od niza vektora koji su bili prisutni na ulazima u trenucima t, t 2,..., t i-. Zbog toga se kaže da sekvencijalna mreža pamti predistoriju i da ima memoriju. 39

UNIVERZITET U NIŠU ELEKTRONSKI FAKULTET SIGNALI I SISTEMI. Zbirka zadataka

UNIVERZITET U NIŠU ELEKTRONSKI FAKULTET SIGNALI I SISTEMI. Zbirka zadataka UNIVERZITET U NIŠU ELEKTRONSKI FAKULTET Goran Stančić SIGNALI I SISTEMI Zbirka zadataka NIŠ, 014. Sadržaj 1 Konvolucija Literatura 11 Indeks pojmova 11 3 4 Sadržaj 1 Konvolucija Zadatak 1. Odrediti konvoluciju

Διαβάστε περισσότερα

3.1 Granična vrednost funkcije u tački

3.1 Granična vrednost funkcije u tački 3 Granična vrednost i neprekidnost funkcija 2 3 Granična vrednost i neprekidnost funkcija 3. Granična vrednost funkcije u tački Neka je funkcija f(x) definisana u tačkama x za koje je 0 < x x 0 < r, ili

Διαβάστε περισσότερα

Osnovni primer. (Z, +,,, 0, 1) je komutativan prsten sa jedinicom: množenje je distributivno prema sabiranju

Osnovni primer. (Z, +,,, 0, 1) je komutativan prsten sa jedinicom: množenje je distributivno prema sabiranju RAČUN OSTATAKA 1 1 Prsten celih brojeva Z := N + {} N + = {, 3, 2, 1,, 1, 2, 3,...} Osnovni primer. (Z, +,,,, 1) je komutativan prsten sa jedinicom: sabiranje (S1) asocijativnost x + (y + z) = (x + y)

Διαβάστε περισσότερα

Elementi spektralne teorije matrica

Elementi spektralne teorije matrica Elementi spektralne teorije matrica Neka je X konačno dimenzionalan vektorski prostor nad poljem K i neka je A : X X linearni operator. Definicija. Skalar λ K i nenula vektor u X se nazivaju sopstvena

Διαβάστε περισσότερα

Teorijske osnove informatike 1

Teorijske osnove informatike 1 Teorijske osnove informatike 1 9. oktobar 2014. () Teorijske osnove informatike 1 9. oktobar 2014. 1 / 17 Funkcije Veze me du skupovima uspostavljamo skupovima koje nazivamo funkcijama. Neformalno, funkcija

Διαβάστε περισσότερα

III VEŽBA: FURIJEOVI REDOVI

III VEŽBA: FURIJEOVI REDOVI III VEŽBA: URIJEOVI REDOVI 3.1. eorijska osnova Posmatrajmo neki vremenski kontinualan signal x(t) na intervalu definisati: t + t t. ada se može X [ k ] = 1 t + t x ( t ) e j 2 π kf t dt, gde je f = 1/.

Διαβάστε περισσότερα

Ispitivanje toka i skiciranje grafika funkcija

Ispitivanje toka i skiciranje grafika funkcija Ispitivanje toka i skiciranje grafika funkcija Za skiciranje grafika funkcije potrebno je ispitati svako od sledećih svojstava: Oblast definisanosti: D f = { R f R}. Parnost, neparnost, periodičnost. 3

Διαβάστε περισσότερα

DISKRETNA MATEMATIKA - PREDAVANJE 7 - Jovanka Pantović

DISKRETNA MATEMATIKA - PREDAVANJE 7 - Jovanka Pantović DISKRETNA MATEMATIKA - PREDAVANJE 7 - Jovanka Pantović Novi Sad April 17, 2018 1 / 22 Teorija grafova April 17, 2018 2 / 22 Definicija Graf je ure dena trojka G = (V, G, ψ), gde je (i) V konačan skup čvorova,

Διαβάστε περισσότερα

Poglavlje 7. Blok dijagrami diskretnih sistema

Poglavlje 7. Blok dijagrami diskretnih sistema Poglavlje 7 Blok dijagrami diskretnih sistema 95 96 Poglavlje 7. Blok dijagrami diskretnih sistema Stav 7.1 Strukturni dijagram diskretnog sistema u kome su sve veliqine prikazane svojim Laplasovim transformacijama

Διαβάστε περισσότερα

Zavrxni ispit iz Matematiqke analize 1

Zavrxni ispit iz Matematiqke analize 1 Građevinski fakultet Univerziteta u Beogradu 3.2.2016. Zavrxni ispit iz Matematiqke analize 1 Prezime i ime: Broj indeksa: 1. Definisati Koxijev niz. Dati primer niza koji nije Koxijev. 2. Dat je red n=1

Διαβάστε περισσότερα

2 tg x ctg x 1 = =, cos 2x Zbog četvrtog kvadranta rješenje je: 2 ctg x

2 tg x ctg x 1 = =, cos 2x Zbog četvrtog kvadranta rješenje je: 2 ctg x Zadatak (Darjan, medicinska škola) Izračunaj vrijednosti trigonometrijskih funkcija broja ako je 6 sin =,,. 6 Rješenje Ponovimo trigonometrijske funkcije dvostrukog kuta! Za argument vrijede sljedeće formule:

Διαβάστε περισσότερα

PRAVA. Prava je u prostoru određena jednom svojom tačkom i vektorom paralelnim sa tom pravom ( vektor paralelnosti).

PRAVA. Prava je u prostoru određena jednom svojom tačkom i vektorom paralelnim sa tom pravom ( vektor paralelnosti). PRAVA Prava je kao i ravan osnovni geometrijski ojam i ne definiše se. Prava je u rostoru određena jednom svojom tačkom i vektorom aralelnim sa tom ravom ( vektor aralelnosti). M ( x, y, z ) 3 Posmatrajmo

Διαβάστε περισσότερα

nvt 1) ukoliko su poznate struje dioda. Struja diode D 1 je I 1 = I I 2 = 8mA. Sada je = 1,2mA.

nvt 1) ukoliko su poznate struje dioda. Struja diode D 1 je I 1 = I I 2 = 8mA. Sada je = 1,2mA. IOAE Dioda 8/9 I U kolu sa slike, diode D su identične Poznato je I=mA, I =ma, I S =fa na 7 o C i parametar n= a) Odrediti napon V I Kolika treba da bude struja I da bi izlazni napon V I iznosio 5mV? b)

Διαβάστε περισσότερα

IspitivaƬe funkcija: 1. Oblast definisanosti funkcije (ili domen funkcije) D f

IspitivaƬe funkcija: 1. Oblast definisanosti funkcije (ili domen funkcije) D f IspitivaƬe funkcija: 1. Oblast definisanosti funkcije (ili domen funkcije) D f IspitivaƬe funkcija: 1. Oblast definisanosti funkcije (ili domen funkcije) D f 2. Nule i znak funkcije; presek sa y-osom IspitivaƬe

Διαβάστε περισσότερα

SISTEMI NELINEARNIH JEDNAČINA

SISTEMI NELINEARNIH JEDNAČINA SISTEMI NELINEARNIH JEDNAČINA April, 2013 Razni zapisi sistema Skalarni oblik: Vektorski oblik: F = f 1 f n f 1 (x 1,, x n ) = 0 f n (x 1,, x n ) = 0, x = (1) F(x) = 0, (2) x 1 0, 0 = x n 0 Definicije

Διαβάστε περισσότερα

Iskazna logika 3. Matematička logika u računarstvu. novembar 2012

Iskazna logika 3. Matematička logika u računarstvu. novembar 2012 Iskazna logika 3 Matematička logika u računarstvu Department of Mathematics and Informatics, Faculty of Science,, Serbia novembar 2012 Deduktivni sistemi 1 Definicija Deduktivni sistem (ili formalna teorija)

Διαβάστε περισσότερα

OSNOVI ELEKTRONIKE VEŽBA BROJ 1 OSNOVNA KOLA SA DIODAMA

OSNOVI ELEKTRONIKE VEŽBA BROJ 1 OSNOVNA KOLA SA DIODAMA ELEKTROTEHNIČKI FAKULTET U BEOGRADU KATEDRA ZA ELEKTRONIKU OSNOVI ELEKTRONIKE SVI ODSECI OSIM ODSEKA ZA ELEKTRONIKU LABORATORIJSKE VEŽBE VEŽBA BROJ 1 OSNOVNA KOLA SA DIODAMA Autori: Goran Savić i Milan

Διαβάστε περισσότερα

Zadaci sa prethodnih prijemnih ispita iz matematike na Beogradskom univerzitetu

Zadaci sa prethodnih prijemnih ispita iz matematike na Beogradskom univerzitetu Zadaci sa prethodnih prijemnih ispita iz matematike na Beogradskom univerzitetu Trigonometrijske jednačine i nejednačine. Zadaci koji se rade bez upotrebe trigonometrijskih formula. 00. FF cos x sin x

Διαβάστε περισσότερα

Operacije s matricama

Operacije s matricama Linearna algebra I Operacije s matricama Korolar 3.1.5. Množenje matrica u vektorskom prostoru M n (F) ima sljedeća svojstva: (1) A(B + C) = AB + AC, A, B, C M n (F); (2) (A + B)C = AC + BC, A, B, C M

Διαβάστε περισσότερα

Strukture podataka i algoritmi 1. kolokvij 16. studenog Zadatak 1

Strukture podataka i algoritmi 1. kolokvij 16. studenog Zadatak 1 Strukture podataka i algoritmi 1. kolokvij Na kolokviju je dozvoljeno koristiti samo pribor za pisanje i službeni šalabahter. Predajete samo papire koje ste dobili. Rezultati i uvid u kolokvije: ponedjeljak,

Διαβάστε περισσότερα

18. listopada listopada / 13

18. listopada listopada / 13 18. listopada 2016. 18. listopada 2016. 1 / 13 Neprekidne funkcije Važnu klasu funkcija tvore neprekidne funkcije. To su funkcije f kod kojih mala promjena u nezavisnoj varijabli x uzrokuje malu promjenu

Διαβάστε περισσότερα

M086 LA 1 M106 GRP. Tema: Baza vektorskog prostora. Koordinatni sustav. Norma. CSB nejednakost

M086 LA 1 M106 GRP. Tema: Baza vektorskog prostora. Koordinatni sustav. Norma. CSB nejednakost M086 LA 1 M106 GRP Tema: CSB nejednakost. 19. 10. 2017. predavač: Rudolf Scitovski, Darija Marković asistent: Darija Brajković, Katarina Vincetić P 1 www.fizika.unios.hr/grpua/ 1 Baza vektorskog prostora.

Διαβάστε περισσότερα

IZVODI ZADACI ( IV deo) Rešenje: Najpre ćemo logaritmovati ovu jednakost sa ln ( to beše prirodni logaritam za osnovu e) a zatim ćemo

IZVODI ZADACI ( IV deo) Rešenje: Najpre ćemo logaritmovati ovu jednakost sa ln ( to beše prirodni logaritam za osnovu e) a zatim ćemo IZVODI ZADACI ( IV deo) LOGARITAMSKI IZVOD Logariamskim izvodom funkcije f(), gde je >0 i, nazivamo izvod logarima e funkcije, o jes: (ln ) f ( ) f ( ) Primer. Nadji izvod funkcije Najpre ćemo logarimovai

Διαβάστε περισσότερα

MATRICE I DETERMINANTE - formule i zadaci - (Matrice i determinante) 1 / 15

MATRICE I DETERMINANTE - formule i zadaci - (Matrice i determinante) 1 / 15 MATRICE I DETERMINANTE - formule i zadaci - (Matrice i determinante) 1 / 15 Matrice - osnovni pojmovi (Matrice i determinante) 2 / 15 (Matrice i determinante) 2 / 15 Matrice - osnovni pojmovi Matrica reda

Διαβάστε περισσότερα

Računarska grafika. Rasterizacija linije

Računarska grafika. Rasterizacija linije Računarska grafika Osnovni inkrementalni algoritam Drugi naziv u literaturi digitalni diferencijalni analizator (DDA) Pretpostavke (privremena ograničenja koja se mogu otkloniti jednostavnim uopštavanjem

Διαβάστε περισσότερα

5. Karakteristične funkcije

5. Karakteristične funkcije 5. Karakteristične funkcije Profesor Milan Merkle emerkle@etf.rs milanmerkle.etf.rs Verovatnoća i Statistika-proleće 2018 Milan Merkle Karakteristične funkcije ETF Beograd 1 / 10 Definicija Karakteristična

Διαβάστε περισσότερα

Kaskadna kompenzacija SAU

Kaskadna kompenzacija SAU Kaskadna kompenzacija SAU U inženjerskoj praksi, naročito u sistemima regulacije elektromotornih pogona i tehnoloških procesa, veoma često se primenjuje metoda kaskadne kompenzacije, u čijoj osnovi su

Διαβάστε περισσότερα

Verovatnoća i Statistika I deo Teorija verovatnoće (zadaci) Beleške dr Bobana Marinkovića

Verovatnoća i Statistika I deo Teorija verovatnoće (zadaci) Beleške dr Bobana Marinkovića Verovatnoća i Statistika I deo Teorija verovatnoće zadaci Beleške dr Bobana Marinkovića Iz skupa, 2,, 00} bira se na slučajan način 5 brojeva Odrediti skup elementarnih dogadjaja ako se brojevi biraju

Διαβάστε περισσότερα

numeričkih deskriptivnih mera.

numeričkih deskriptivnih mera. DESKRIPTIVNA STATISTIKA Numeričku seriju podataka opisujemo pomoću Numeričku seriju podataka opisujemo pomoću numeričkih deskriptivnih mera. Pokazatelji centralne tendencije Aritmetička sredina, Medijana,

Διαβάστε περισσότερα

Apsolutno neprekidne raspodele Raspodele apsolutno neprekidnih sluqajnih promenljivih nazivaju se apsolutno neprekidnim raspodelama.

Apsolutno neprekidne raspodele Raspodele apsolutno neprekidnih sluqajnih promenljivih nazivaju se apsolutno neprekidnim raspodelama. Apsolutno neprekidne raspodele Raspodele apsolutno neprekidnih sluqajnih promenljivih nazivaju se apsolutno neprekidnim raspodelama. a b Verovatno a da sluqajna promenljiva X uzima vrednost iz intervala

Διαβάστε περισσότερα

Algoritmi zadaci za kontrolni

Algoritmi zadaci za kontrolni Algoritmi zadaci za kontrolni 1. Nacrtati algoritam za sabiranje ulaznih brojeva a i b Strana 1 . Nacrtati algoritam za izračunavanje sledeće funkcije: x y x 1 1 x x ako ako je : je : x x 1 x x 1 Strana

Διαβάστε περισσότερα

41. Jednačine koje se svode na kvadratne

41. Jednačine koje se svode na kvadratne . Jednačine koje se svode na kvadrane Simerične recipročne) jednačine Jednačine oblika a n b n c n... c b a nazivamo simerične jednačine, zbog simeričnosi koeficijenaa koeficijeni uz jednaki). k i n k

Διαβάστε περισσότερα

Obrada signala

Obrada signala Obrada signala 1 18.1.17. Greška kvantizacije Pretpostavka je da greška kvantizacije ima uniformnu raspodelu 7 6 5 4 -X m p x 1,, za x druge vrednosti x 3 x X m 1 X m = 3 x Greška kvantizacije x x x p

Διαβάστε περισσότερα

PRIMJER 3. MATLAB filtdemo

PRIMJER 3. MATLAB filtdemo PRIMJER 3. MATLAB filtdemo Prijenosna funkcija (IIR) Hz () =, 6 +, 3 z +, 78 z +, 3 z +, 53 z +, 3 z +, 78 z +, 3 z +, 6 z, 95 z +, 74 z +, z +, 9 z +, 4 z +, 5 z +, 3 z +, 4 z 3 4 5 6 7 8 3 4 5 6 7 8

Διαβάστε περισσότερα

5 Ispitivanje funkcija

5 Ispitivanje funkcija 5 Ispitivanje funkcija 3 5 Ispitivanje funkcija Ispitivanje funkcije pretodi crtanju grafika funkcije. Opšti postupak ispitivanja funkcija koje su definisane eksplicitno y = f() sadrži sledeće elemente:

Διαβάστε περισσότερα

7 Algebarske jednadžbe

7 Algebarske jednadžbe 7 Algebarske jednadžbe 7.1 Nultočke polinoma Skup svih polinoma nad skupom kompleksnih brojeva označavamo sa C[x]. Definicija. Nultočka polinoma f C[x] je svaki kompleksni broj α takav da je f(α) = 0.

Διαβάστε περισσότερα

XI dvoqas veжbi dr Vladimir Balti. 4. Stabla

XI dvoqas veжbi dr Vladimir Balti. 4. Stabla XI dvoqas veжbi dr Vladimir Balti 4. Stabla Teorijski uvod Teorijski uvod Definicija 5.7.1. Stablo je povezan graf bez kontura. Definicija 5.7.1. Stablo je povezan graf bez kontura. Primer 5.7.1. Sva stabla

Διαβάστε περισσότερα

radni nerecenzirani materijal za predavanja R(f) = {f(x) x D}

radni nerecenzirani materijal za predavanja R(f) = {f(x) x D} Matematika 1 Funkcije radni nerecenzirani materijal za predavanja Definicija 1. Neka su D i K bilo koja dva neprazna skupa. Postupak f koji svakom elementu x D pridružuje točno jedan element y K zovemo funkcija

Διαβάστε περισσότερα

ELEKTROTEHNIČKI ODJEL

ELEKTROTEHNIČKI ODJEL MATEMATIKA. Neka je S skup svih živućih državljana Republike Hrvatske..04., a f preslikavanje koje svakom elementu skupa S pridružuje njegov horoskopski znak (bez podznaka). a) Pokažite da je f funkcija,

Διαβάστε περισσότερα

IZRAČUNAVANJE POKAZATELJA NAČINA RADA NAČINA RADA (ISKORIŠĆENOSTI KAPACITETA, STEPENA OTVORENOSTI RADNIH MESTA I NIVOA ORGANIZOVANOSTI)

IZRAČUNAVANJE POKAZATELJA NAČINA RADA NAČINA RADA (ISKORIŠĆENOSTI KAPACITETA, STEPENA OTVORENOSTI RADNIH MESTA I NIVOA ORGANIZOVANOSTI) IZRAČUNAVANJE POKAZATELJA NAČINA RADA NAČINA RADA (ISKORIŠĆENOSTI KAPACITETA, STEPENA OTVORENOSTI RADNIH MESTA I NIVOA ORGANIZOVANOSTI) Izračunavanje pokazatelja načina rada OTVORENOG RM RASPOLOŽIVO RADNO

Διαβάστε περισσότερα

APROKSIMACIJA FUNKCIJA

APROKSIMACIJA FUNKCIJA APROKSIMACIJA FUNKCIJA Osnovni koncepti Gradimir V. Milovanović MF, Beograd, 14. mart 2011. APROKSIMACIJA FUNKCIJA p.1/46 Osnovni problem u TA Kako za datu funkciju f iz velikog prostora X naći jednostavnu

Διαβάστε περισσότερα

Kontrolni zadatak (Tačka, prava, ravan, diedar, poliedar, ortogonalna projekcija), grupa A

Kontrolni zadatak (Tačka, prava, ravan, diedar, poliedar, ortogonalna projekcija), grupa A Kontrolni zadatak (Tačka, prava, ravan, diedar, poliedar, ortogonalna projekcija), grupa A Ime i prezime: 1. Prikazane su tačke A, B i C i prave a,b i c. Upiši simbole Î, Ï, Ì ili Ë tako da dobijeni iskazi

Διαβάστε περισσότερα

STATIČKE KARAKTERISTIKE DIODA I TRANZISTORA

STATIČKE KARAKTERISTIKE DIODA I TRANZISTORA Katedra za elektroniku Elementi elektronike Laboratorijske vežbe Vežba br. 2 STATIČKE KARAKTERISTIKE DIODA I TRANZISTORA Datum: Vreme: Studenti: 1. grupa 2. grupa Dežurni: Ocena: Elementi elektronike -

Διαβάστε περισσότερα

Osnovne teoreme diferencijalnog računa

Osnovne teoreme diferencijalnog računa Osnovne teoreme diferencijalnog računa Teorema Rolova) Neka je funkcija f definisana na [a, b], pri čemu važi f je neprekidna na [a, b], f je diferencijabilna na a, b) i fa) fb). Tada postoji ξ a, b) tako

Διαβάστε περισσότερα

Pravilo 1. Svaki tip entiteta ER modela postaje relaciona šema sa istim imenom.

Pravilo 1. Svaki tip entiteta ER modela postaje relaciona šema sa istim imenom. 1 Pravilo 1. Svaki tip entiteta ER modela postaje relaciona šema sa istim imenom. Pravilo 2. Svaki atribut entiteta postaje atribut relacione šeme pod istim imenom. Pravilo 3. Primarni ključ entiteta postaje

Διαβάστε περισσότερα

VJEŽBE 3 BIPOLARNI TRANZISTORI. Slika 1. Postoje npn i pnp bipolarni tranziostori i njihovi simboli su dati na slici 2 i to npn lijevo i pnp desno.

VJEŽBE 3 BIPOLARNI TRANZISTORI. Slika 1. Postoje npn i pnp bipolarni tranziostori i njihovi simboli su dati na slici 2 i to npn lijevo i pnp desno. JŽ 3 POLAN TANZSTO ipolarni tranzistor se sastoji od dva pn spoja kod kojih je jedna oblast zajednička za oba i naziva se baza, slika 1 Slika 1 ipolarni tranzistor ima 3 izvoda: emitor (), kolektor (K)

Διαβάστε περισσότερα

Prikaz sustava u prostoru stanja

Prikaz sustava u prostoru stanja Prikaz sustava u prostoru stanja Prikaz sustava u prostoru stanja je jedan od načina prikaza matematičkog modela sustava (uz diferencijalnu jednadžbu, prijenosnu funkciju itd). Promatramo linearne sustave

Διαβάστε περισσότερα

Linearna algebra 2 prvi kolokvij,

Linearna algebra 2 prvi kolokvij, Linearna algebra 2 prvi kolokvij, 27.. 20.. Za koji cijeli broj t je funkcija f : R 4 R 4 R definirana s f(x, y) = x y (t + )x 2 y 2 + x y (t 2 + t)x 4 y 4, x = (x, x 2, x, x 4 ), y = (y, y 2, y, y 4 )

Διαβάστε περισσότερα

Elektrotehnički fakultet univerziteta u Beogradu 17.maj Odsek za Softversko inžinjerstvo

Elektrotehnički fakultet univerziteta u Beogradu 17.maj Odsek za Softversko inžinjerstvo Elektrotehnički fakultet univerziteta u Beogradu 7.maj 009. Odsek za Softversko inžinjerstvo Performanse računarskih sistema Drugi kolokvijum Predmetni nastavnik: dr Jelica Protić (35) a) (0) Posmatra

Διαβάστε περισσότερα

2log. se zove numerus (logaritmand), je osnova (baza) log. log. log =

2log. se zove numerus (logaritmand), je osnova (baza) log. log. log = ( > 0, 0)!" # > 0 je najčešći uslov koji postavljamo a još je,, > 0 se zove numerus (aritmand), je osnova (baza). 0.. ( ) +... 7.. 8. Za prelazak na neku novu bazu c: 9. Ako je baza (osnova) 0 takvi se

Διαβάστε περισσότερα

Računarska grafika. Rasterizacija linije

Računarska grafika. Rasterizacija linije Računarska grafika Osnovni inkrementalni algoritam Drugi naziv u literaturi digitalni diferencijalni analizator (DDA) Pretpostavke (privremena ograničenja koja se mogu otkloniti jednostavnim uopštavanjem

Διαβάστε περισσότερα

Linearna algebra 2 prvi kolokvij,

Linearna algebra 2 prvi kolokvij, 1 2 3 4 5 Σ jmbag smjer studija Linearna algebra 2 prvi kolokvij, 7. 11. 2012. 1. (10 bodova) Neka je dano preslikavanje s : R 2 R 2 R, s (x, y) = (Ax y), pri čemu je A: R 2 R 2 linearan operator oblika

Διαβάστε περισσότερα

Zadaci iz trigonometrije za seminar

Zadaci iz trigonometrije za seminar Zadaci iz trigonometrije za seminar FON: 1. Vrednost izraza sin 1 cos 6 jednaka je: ; B) 1 ; V) 1 1 + 1 ; G) ; D). 16. Broj rexea jednaqine sin x cos x + cos x = sin x + sin x na intervalu π ), π je: ;

Διαβάστε περισσότερα

PID: Domen P je glavnoidealski [PID] akko svaki ideal u P je glavni (generisan jednim elementom; oblika ap := {ab b P }, za neko a P ).

PID: Domen P je glavnoidealski [PID] akko svaki ideal u P je glavni (generisan jednim elementom; oblika ap := {ab b P }, za neko a P ). 0.1 Faktorizacija: ID, ED, PID, ND, FD, UFD Definicija. Najava pojmova: [ID], [ED], [PID], [ND], [FD] i [UFD]. ID: Komutativan prsten P, sa jedinicom 1 0, je integralni domen [ID] oblast celih), ili samo

Διαβάστε περισσότερα

6. BULOVA ALGEBRA I LOGIČKA KOLA

6. BULOVA ALGEBRA I LOGIČKA KOLA 6. ULOVA ALGERA I LOGIČKA KOLA Poznato je da se pojam algebre odnosi na oblast matematike koja se bavi proučavanjem opštih svojstava brojnih sistema i opštih metoda rešavanja problema pomoću jednačina.

Διαβάστε περισσότερα

Veleučilište u Rijeci Stručni studij sigurnosti na radu Akad. god. 2011/2012. Matematika. Monotonost i ekstremi. Katica Jurasić. Rijeka, 2011.

Veleučilište u Rijeci Stručni studij sigurnosti na radu Akad. god. 2011/2012. Matematika. Monotonost i ekstremi. Katica Jurasić. Rijeka, 2011. Veleučilište u Rijeci Stručni studij sigurnosti na radu Akad. god. 2011/2012. Matematika Monotonost i ekstremi Katica Jurasić Rijeka, 2011. Ishodi učenja - predavanja Na kraju ovog predavanja moći ćete:,

Διαβάστε περισσότερα

INTELIGENTNO UPRAVLJANJE

INTELIGENTNO UPRAVLJANJE INTELIGENTNO UPRAVLJANJE Fuzzy sistemi zaključivanja Vanr.prof. Dr. Lejla Banjanović-Mehmedović Mehmedović 1 Osnovni elementi fuzzy sistema zaključivanja Fazifikacija Baza znanja Baze podataka Baze pravila

Διαβάστε περισσότερα

1. zadatak , 3 Dakle, sva kompleksna re{ewa date jedna~ine su x 1 = x 2 = 1 (dvostruko re{ewe), x 3 = 1 + i

1. zadatak , 3 Dakle, sva kompleksna re{ewa date jedna~ine su x 1 = x 2 = 1 (dvostruko re{ewe), x 3 = 1 + i PRIPREMA ZA II PISMENI IZ ANALIZE SA ALGEBROM. zadatak Re{avawe algebarskih jedna~ina tre}eg i ~etvrtog stepena. U skupu kompleksnih brojeva re{iti jedna~inu: a x 6x + 9 = 0; b x + 9x 2 + 8x + 28 = 0;

Διαβάστε περισσότερα

Otpornost R u kolu naizmjenične struje

Otpornost R u kolu naizmjenične struje Otpornost R u kolu naizmjenične struje Pretpostavimo da je otpornik R priključen na prostoperiodični napon: Po Omovom zakonu pad napona na otporniku je: ( ) = ( ω ) u t sin m t R ( ) = ( ) u t R i t Struja

Διαβάστε περισσότερα

Matematička analiza 1 dodatni zadaci

Matematička analiza 1 dodatni zadaci Matematička analiza 1 dodatni zadaci 1. Ispitajte je li funkcija f() := 4 4 5 injekcija na intervalu I, te ako jest odredite joj sliku i inverz, ako je (a) I = [, 3), (b) I = [1, ], (c) I = ( 1, 0].. Neka

Διαβάστε περισσότερα

I.13. Koliki je napon između neke tačke A čiji je potencijal 5 V i referentne tačke u odnosu na koju se taj potencijal računa?

I.13. Koliki je napon između neke tačke A čiji je potencijal 5 V i referentne tačke u odnosu na koju se taj potencijal računa? TET I.1. Šta je Kulonova sila? elektrostatička sila magnetna sila c) gravitaciona sila I.. Šta je elektrostatička sila? sila kojom međusobno eluju naelektrisanja u mirovanju sila kojom eluju naelektrisanja

Διαβάστε περισσότερα

Pismeni ispit iz matematike Riješiti sistem jednačina i diskutovati rješenja sistema u zavisnosti od parametra: ( ) + 1.

Pismeni ispit iz matematike Riješiti sistem jednačina i diskutovati rješenja sistema u zavisnosti od parametra: ( ) + 1. Pismeni ispit iz matematike 0 008 GRUPA A Riješiti sistem jednačina i diskutovati rješenja sistema u zavisnosti od parametra: λ + z = Ispitati funkciju i nacrtati njen grafik: + ( λ ) + z = e Izračunati

Διαβάστε περισσότερα

IZVODI ZADACI (I deo)

IZVODI ZADACI (I deo) IZVODI ZADACI (I deo) Najpre da se podsetimo tablice i osnovnih pravila:. C`=0. `=. ( )`= 4. ( n )`=n n-. (a )`=a lna 6. (e )`=e 7. (log a )`= 8. (ln)`= ` ln a (>0) 9. = ( 0) 0. `= (>0) (ovde je >0 i a

Διαβάστε περισσότερα

MATEMATIKA 2. Grupa 1 Rexea zadataka. Prvi pismeni kolokvijum, Dragan ori

MATEMATIKA 2. Grupa 1 Rexea zadataka. Prvi pismeni kolokvijum, Dragan ori MATEMATIKA 2 Prvi pismeni kolokvijum, 14.4.2016 Grupa 1 Rexea zadataka Dragan ori Zadaci i rexea 1. unkcija f : R 2 R definisana je sa xy 2 f(x, y) = x2 + y sin 3 2 x 2, (x, y) (0, 0) + y2 0, (x, y) =

Διαβάστε περισσότερα

Trigonometrija 2. Adicijske formule. Formule dvostrukog kuta Formule polovičnog kuta Pretvaranje sume(razlike u produkt i obrnuto

Trigonometrija 2. Adicijske formule. Formule dvostrukog kuta Formule polovičnog kuta Pretvaranje sume(razlike u produkt i obrnuto Trigonometrija Adicijske formule Formule dvostrukog kuta Formule polovičnog kuta Pretvaranje sume(razlike u produkt i obrnuto Razumijevanje postupka izrade složenijeg matematičkog problema iz osnova trigonometrije

Διαβάστε περισσότερα

DRUGI KOLOKVIJUM IZ MATEMATIKE 9x + 6y + z = 1 4x 2y + z = 1 x + 2y + 3z = 2. je neprekidna za a =

DRUGI KOLOKVIJUM IZ MATEMATIKE 9x + 6y + z = 1 4x 2y + z = 1 x + 2y + 3z = 2. je neprekidna za a = x, y, z) 2 2 1 2. Rešiti jednačinu: 2 3 1 1 2 x = 1. x = 3. Odrediti rang matrice: rang 9x + 6y + z = 1 4x 2y + z = 1 x + 2y + 3z = 2. 2 0 1 1 1 3 1 5 2 8 14 10 3 11 13 15 = 4. Neka je A = x x N x < 7},

Διαβάστε περισσότερα

Neka su A i B proizvoljni neprazni skupovi. Korespondencija iz skupa A u skup B definiše se kao proizvoljan podskup f Dekartovog proizvoda A B.

Neka su A i B proizvoljni neprazni skupovi. Korespondencija iz skupa A u skup B definiše se kao proizvoljan podskup f Dekartovog proizvoda A B. Korespondencije Neka su A i B proizvoljni neprazni skupovi. Korespondencija iz skupa A u skup B definiše se kao proizvoljan podskup f Dekartovog proizvoda A B. Pojmovi B pr 2 f A B f prva projekcija od

Διαβάστε περισσότερα

Zadaci iz Osnova matematike

Zadaci iz Osnova matematike Zadaci iz Osnova matematike 1. Riješiti po istinitosnoj vrijednosti iskaza p, q, r jednačinu τ(p ( q r)) =.. Odrediti sve neekvivalentne iskazne formule F = F (p, q) za koje je iskazna formula p q p F

Διαβάστε περισσότερα

RAČUNSKE VEŽBE IZ PREDMETA POLUPROVODNIČKE KOMPONENTE (IV semestar modul EKM) IV deo. Miloš Marjanović

RAČUNSKE VEŽBE IZ PREDMETA POLUPROVODNIČKE KOMPONENTE (IV semestar modul EKM) IV deo. Miloš Marjanović Univerzitet u Nišu Elektronski fakultet RAČUNSKE VEŽBE IZ PREDMETA (IV semestar modul EKM) IV deo Miloš Marjanović MOSFET TRANZISTORI ZADATAK 35. NMOS tranzistor ima napon praga V T =2V i kroz njega protiče

Διαβάστε περισσότερα

Riješeni zadaci: Nizovi realnih brojeva

Riješeni zadaci: Nizovi realnih brojeva Riješei zadaci: Nizovi realih brojeva Nizovi, aritmetički iz, geometrijski iz Fukciju a : N R azivamo beskoači) iz realih brojeva i ozačavamo s a 1, a,..., a,... ili a ), pri čemu je a = a). Aritmetički

Διαβάστε περισσότερα

Program testirati pomoću podataka iz sledeće tabele:

Program testirati pomoću podataka iz sledeće tabele: Deo 2: Rešeni zadaci 135 Vrednost integrala je I = 2.40407 42. Napisati program za izračunavanje koeficijenta proste linearne korelacije (Pearsonovog koeficijenta) slučajnih veličina X = (x 1,..., x n

Διαβάστε περισσότερα

Enkodiranje i dekodiranje

Enkodiranje i dekodiranje Kombinaciona kola Vanr.prof.dr.Lejla Banjanović Mehmedović Enkodiranje i dekodiranje Enkodiranje je proces postavljanja sekvenc ekarkatera (slova, brojevi i određeni simboli)u specijalizirani digitalni

Διαβάστε περισσότερα

Primjeri sinteze sekvencijalnih mreža. Vanr.prof.dr.Lejla Banjanović- Mehmedović

Primjeri sinteze sekvencijalnih mreža. Vanr.prof.dr.Lejla Banjanović- Mehmedović Logički automati Primjeri sinteze sekvencijalnih mreža Vanr.prof.dr.Lejla Banjanović- Mehmedović Definicija sekvencijalnih mreža x 1 (t) x 2 (t) x N (t)... DIGITALNI SISTEM... z 1 (t) z 2 (t) z p (t) Opšti

Διαβάστε περισσότερα

radni nerecenzirani materijal za predavanja

radni nerecenzirani materijal za predavanja Matematika 1 Funkcije radni nerecenzirani materijal za predavanja Definicija 1. Kažemo da je funkcija f : a, b R u točki x 0 a, b postiže lokalni minimum ako postoji okolina O(x 0 ) broja x 0 takva da je

Διαβάστε περισσότερα

( , 2. kolokvij)

( , 2. kolokvij) A MATEMATIKA (0..20., 2. kolokvij). Zadana je funkcija y = cos 3 () 2e 2. (a) Odredite dy. (b) Koliki je nagib grafa te funkcije za = 0. (a) zadanu implicitno s 3 + 2 y = sin y, (b) zadanu parametarski

Διαβάστε περισσότερα

Funkcije dviju varjabli (zadaci za vježbu)

Funkcije dviju varjabli (zadaci za vježbu) Funkcije dviju varjabli (zadaci za vježbu) Vidosava Šimić 22. prosinca 2009. Domena funkcije dvije varijable Ako je zadano pridruživanje (x, y) z = f(x, y), onda se skup D = {(x, y) ; f(x, y) R} R 2 naziva

Διαβάστε περισσότερα

- pravac n je zadan s točkom T(2,0) i koeficijentom smjera k=2. (30 bodova)

- pravac n je zadan s točkom T(2,0) i koeficijentom smjera k=2. (30 bodova) MEHANIKA 1 1. KOLOKVIJ 04/2008. grupa I 1. Zadane su dvije sile F i. Sila F = 4i + 6j [ N]. Sila je zadana s veličinom = i leži na pravcu koji s koordinatnom osi x zatvara kut od 30 (sve komponente sile

Διαβάστε περισσότερα

a M a A. Može se pokazati da je supremum (ako postoji) jedinstven pa uvodimo oznaku sup A.

a M a A. Može se pokazati da je supremum (ako postoji) jedinstven pa uvodimo oznaku sup A. 3 Infimum i supremum Definicija. Neka je A R. Kažemo da je M R supremum skupa A ako je (i) M gornja meda skupa A, tj. a M a A. (ii) M najmanja gornja meda skupa A, tj. ( ε > 0)( a A) takav da je a > M

Διαβάστε περισσότερα

Pismeni ispit iz matematike GRUPA A 1. Napisati u trigonometrijskom i eksponencijalnom obliku kompleksni broj, zatim naći 4 z.

Pismeni ispit iz matematike GRUPA A 1. Napisati u trigonometrijskom i eksponencijalnom obliku kompleksni broj, zatim naći 4 z. Pismeni ispit iz matematike 06 007 Napisati u trigonometrijskom i eksponencijalnom obliku kompleksni broj z = + i, zatim naći z Ispitati funkciju i nacrtati grafik : = ( ) y e + 6 Izračunati integral:

Διαβάστε περισσότερα

KOMUTATIVNI I ASOCIJATIVNI GRUPOIDI. NEUTRALNI ELEMENT GRUPOIDA.

KOMUTATIVNI I ASOCIJATIVNI GRUPOIDI. NEUTRALNI ELEMENT GRUPOIDA. KOMUTATIVNI I ASOCIJATIVNI GRUPOIDI NEUTRALNI ELEMENT GRUPOIDA 1 Grupoid (G, ) je asocijativa akko važi ( x, y, z G) x (y z) = (x y) z Grupoid (G, ) je komutativa akko važi ( x, y G) x y = y x Asocijativa

Διαβάστε περισσότερα

Reverzibilni procesi

Reverzibilni procesi Reverzbln proces Reverzbln proces: proces pr koja sste nkada nje vše od beskonačno ale vrednost udaljen od ravnoteže, beskonačno ala proena spoljašnjh uslova ože vratt sste u blo koju tačku, proena ože

Διαβάστε περισσότερα

Slika 1.1 Tipičan digitalni signal

Slika 1.1 Tipičan digitalni signal 1. DIGITALNA KOLA Kola u digitalnim sistemima i digitalnim računarima su napravljena da rade sa signalima koji su digitalne prirode, što znači da ovi signali mogu da imaju samo dve moguće vrednosti u datom

Διαβάστε περισσότερα

Elementi elektronike septembar 2014 REŠENJA. Za vrednosti ulaznog napona

Elementi elektronike septembar 2014 REŠENJA. Za vrednosti ulaznog napona lementi elektronike septembar 2014 ŠNJA. Za rednosti ulaznog napona V transistor je isključen, i rednost napona na izlazu je BT V 5 V Kada ulazni napon dostigne napon uključenja tranzistora, transistor

Διαβάστε περισσότερα

1.4 Tangenta i normala

1.4 Tangenta i normala 28 1 DERIVACIJA 1.4 Tangenta i normala Ako funkcija f ima derivaciju u točki x 0, onda jednadžbe tangente i normale na graf funkcije f u točki (x 0 y 0 ) = (x 0 f(x 0 )) glase: t......... y y 0 = f (x

Διαβάστε περισσότερα

Riješeni zadaci: Limes funkcije. Neprekidnost

Riješeni zadaci: Limes funkcije. Neprekidnost Riješeni zadaci: Limes funkcije. Neprekidnost Limes funkcije Neka je 0 [a, b] i f : D R, gdje je D = [a, b] ili D = [a, b] \ { 0 }. Kažemo da je es funkcije f u točki 0 jednak L i pišemo f ) = L, ako za

Διαβάστε περισσότερα

FAKULTET PROMETNIH ZNANOSTI

FAKULTET PROMETNIH ZNANOSTI SVUČILIŠT U ZAGU FAKULTT POMTNIH ZNANOSTI predmet: Nastavnik: Prof. dr. sc. Zvonko Kavran zvonko.kavran@fpz.hr * Autorizirana predavanja 2016. 1 Pojačala - Pojačavaju ulazni signal - Zahtjev linearnost

Διαβάστε περισσότερα

1 UPUTSTVO ZA IZRADU GRAFIČKOG RADA IZ MEHANIKE II

1 UPUTSTVO ZA IZRADU GRAFIČKOG RADA IZ MEHANIKE II 1 UPUTSTVO ZA IZRADU GRAFIČKOG RADA IZ MEHANIKE II Zadatak: Klipni mehanizam se sastoji iz krivaje (ekscentarske poluge) OA dužine R, klipne poluge AB dužine =3R i klipa kompresora B (ukrsne glave). Krivaja

Διαβάστε περισσότερα

I Pismeni ispit iz matematike 1 I

I Pismeni ispit iz matematike 1 I I Pismeni ispit iz matematike I 27 januar 2 I grupa (25 poena) str: Neka je A {(x, y, z): x, y, z R, x, x y, z > } i ako je operacija definisana sa (x, y, z) (u, v, w) (xu + vy, xv + uy, wz) Ispitati da

Διαβάστε περισσότερα

OSNOVI ELEKTRONIKE. Vežbe (2 časa nedeljno): mr Goran Savić

OSNOVI ELEKTRONIKE. Vežbe (2 časa nedeljno): mr Goran Savić OSNOVI ELEKTRONIKE Vežbe (2 časa nedeljno): mr Goran Savić savic@el.etf.rs http://tnt.etf.rs/~si1oe Termin za konsultacije: četvrtak u 12h, kabinet 102 Referentni smerovi i polariteti 1. Odrediti vrednosti

Διαβάστε περισσότερα

INTEGRALNI RAČUN. Teorije, metodike i povijest infinitezimalnih računa. Lucija Mijić 17. veljače 2011.

INTEGRALNI RAČUN. Teorije, metodike i povijest infinitezimalnih računa. Lucija Mijić 17. veljače 2011. INTEGRALNI RAČUN Teorije, metodike i povijest infinitezimalnih računa Lucija Mijić lucija@ktf-split.hr 17. veljače 2011. Pogledajmo Predstavimo gornju sumu sa Dodamo još jedan Dobivamo pravokutnik sa Odnosno

Διαβάστε περισσότερα

TRIGONOMETRIJSKE FUNKCIJE I I.1.

TRIGONOMETRIJSKE FUNKCIJE I I.1. TRIGONOMETRIJSKE FUNKCIJE I I Odredi na brojevnoj trigonometrijskoj kružnici točku Et, za koju je sin t =,cost < 0 Za koje realne brojeve a postoji realan broj takav da je sin = a? Izračunaj: sin π tg

Διαβάστε περισσότερα

Konstruisati efikasan algoritam znači dati skup preciznih uputstava kako doći do rešenja zadatog problema Algoritmi se mogu opisivati:

Konstruisati efikasan algoritam znači dati skup preciznih uputstava kako doći do rešenja zadatog problema Algoritmi se mogu opisivati: Staša Vujičić Konstruisati efikasan algoritam znači dati skup preciznih uputstava kako doći do rešenja zadatog problema Algoritmi se mogu opisivati: pseudo jezikom prirodnim jezikom dijagramom toka. 2

Διαβάστε περισσότερα

Cauchyjev teorem. Postoji više dokaza ovog teorema, a najjednostvniji je uz pomoć Greenove formule: dxdy. int C i Cauchy Riemannovih uvjeta.

Cauchyjev teorem. Postoji više dokaza ovog teorema, a najjednostvniji je uz pomoć Greenove formule: dxdy. int C i Cauchy Riemannovih uvjeta. auchyjev teorem Neka je f-ja f (z) analitička u jednostruko (prosto) povezanoj oblasti G, i neka je zatvorena kontura koja čitava leži u toj oblasti. Tada je f (z)dz = 0. Postoji više dokaza ovog teorema,

Διαβάστε περισσότερα

PARCIJALNI IZVODI I DIFERENCIJALI. Sama definicija parcijalnog izvoda i diferencijala je malo teža, mi se njome ovde nećemo baviti a vi ćete je,

PARCIJALNI IZVODI I DIFERENCIJALI. Sama definicija parcijalnog izvoda i diferencijala je malo teža, mi se njome ovde nećemo baviti a vi ćete je, PARCIJALNI IZVODI I DIFERENCIJALI Sama definicija parcijalnog ivoda i diferencijala je malo teža, mi se njome ovde nećemo baviti a vi ćete je, naravno, naučiti onako kako vaš profesor ahteva. Mi ćemo probati

Διαβάστε περισσότερα

Mašinsko učenje. Regresija.

Mašinsko učenje. Regresija. Mašinsko učenje. Regresija. Danijela Petrović May 17, 2016 Uvod Problem predviđanja vrednosti neprekidnog atributa neke instance na osnovu vrednosti njenih drugih atributa. Uvod Problem predviđanja vrednosti

Διαβάστε περισσότερα

RIJEŠENI ZADACI I TEORIJA IZ

RIJEŠENI ZADACI I TEORIJA IZ RIJEŠENI ZADACI I TEORIJA IZ LOGARITAMSKA FUNKCIJA SVOJSTVA LOGARITAMSKE FUNKCIJE OSNOVE TRIGONOMETRIJE PRAVOKUTNOG TROKUTA - DEFINICIJA TRIGONOMETRIJSKIH FUNKCIJA - VRIJEDNOSTI TRIGONOMETRIJSKIH FUNKCIJA

Διαβάστε περισσότερα

Dvanaesti praktikum iz Analize 1

Dvanaesti praktikum iz Analize 1 Dvaaesti praktikum iz Aalize Zlatko Lazovi 20. decembar 206.. Dokazati da fukcija f = 5 l tg + 5 ima bar jedu realu ulu. Ree e. Oblast defiisaosti fukcije je D f = k Z da postoji ula fukcije a 0, π 2.

Διαβάστε περισσότερα

ELEMENTARNE FUNKCIJE dr Jelena Manojlović Prirodno-matematički fakultet, Niš

ELEMENTARNE FUNKCIJE dr Jelena Manojlović Prirodno-matematički fakultet, Niš 1 1. Osnovni pojmovi ELEMENTARNE FUNKCIJE dr Jelena Manojlović Prirodno-matematički fakultet, Niš Jedan od najvažnijih pojmova u matematici predstavlja pojam funkcije. Definicija 1.1. Neka su X i Y dva

Διαβάστε περισσότερα

Algebarske strukture sa jednom operacijom (A, ): Ako operacija ima osobine: zatvorenost i asocijativnost, onda je (A, ) polugrupa

Algebarske strukture sa jednom operacijom (A, ): Ako operacija ima osobine: zatvorenost i asocijativnost, onda je (A, ) polugrupa Binarne operacije Binarna operacija na skupu A je preslikavanje skupa A A u A, to jest : A A A. Pišemo a b = c. Označavanje operacija:,,,. Poznate operacije: sabiranje (+), oduzimanje ( ), množenje ( ).

Διαβάστε περισσότερα

(P.I.) PRETPOSTAVKA INDUKCIJE - pretpostavimo da tvrdnja vrijedi za n = k.

(P.I.) PRETPOSTAVKA INDUKCIJE - pretpostavimo da tvrdnja vrijedi za n = k. 1 3 Skupovi brojeva 3.1 Skup prirodnih brojeva - N N = {1, 2, 3,...} Aksiom matematičke indukcije Neka je N skup prirodnih brojeva i M podskup od N. Ako za M vrijede svojstva: 1) 1 M 2) n M (n + 1) M,

Διαβάστε περισσότερα