7. SEKVENCIJALNA KOLA

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "7. SEKVENCIJALNA KOLA"

Transcript

1 7 SEKVENIJALNA KOLA 7 Odnos između kombinacionih i sekvencijalnih kola Na logičkom nivou digitalna kola se dele na dve velike klase: ona koja ne poseduju memoriju nazivamo kombinacionim kolima, dok su ona koja poseduju memoriju poznata pod imenom sekvencijalna kola Ponašanje kombinacionog kola u potpunosti je specificirano istinitosnom tablicom ili skupom jednačina koje za svaku ulaznu kombinaciju daju odgovarajuću izlaznu kombinaciju Ova kola preslikavaju ulazne podatke u izlazne, tj obavljaju izračunavanje u jednom koraku U praksi postoji neznatno kašnjenje pre nego što izlazni signali promene svoje stanje kao odziv na promene vrednosti signala na ulazu Vreme odziva je obično veoma kratko, najčešće reda nanosekunde ili kraće, tako da se sa tog aspekta za odziv kombinacionih kola kaže da je trenutan U idealnom slučaju, kombinaciono kolo se definiše kao kolo čije je vreme odziva nula U opštem slučaju, ponašanje kombinacionog kola sa n ulaza koje koristi binarne signale se može opisati istinitosnom tablicom koja ima 2 n vrsta, po jednu za svaku moguću ulaznu kombinaciju Ilustracije radi, dvobitni sabirač sa slike 7a ima četiri ulazna signala, a shodno prethodnom zaključku, njegov rad se opisuje istinitosnom tablicom koja ima 6 vrsta (slika 7b) X x x dvobitni z 2 y sabirač z Y z y a) b) Z Ulazi Izlazi x x y y z 2 z z Sl 7 (a) vobitni sabirač i (b) njegova istinitosna tablica a bi opisali rad sabirača dva 6bitna broja, kakve obično srećemo u praksi, potrebna je istinitosna tablica koja ima astronomskih 2 32 = 4,294,967,296 vrsta Imajući ovo u vidu, logično se nameće potreba za efikasnijim opisom ponašanja kombinacionih kola va moćna sredstva koja se koriste za ovu namenu su Bulova algebra i jezici za opis hardvera 72 Pojam sekvencijalnih kola Projektanti najčešće razlažu složena izračunavanja na sekvencu jednostavnih koraka U tom slučaju, umesto da se rezultat odredi odjednom, u datom trenutku dobijamo samo mali deo tog rezultata Isti princip važi i kod digitalnih sistema Naime, digitalna kola koja izvršavaju specificirani zadatak obavljaju aktivnost kao sekvencu koraka Zbog toga za ova kola kažemo da su sekvencijalna Sekvencijalna kola moraju da pamte parcijalne rezultate između koraka Pojmovi sekvencijalno kolo i kolo koje poseduje memoriju predstavljaju sinonime Prednost sekvencijalnog rada je ta što je za izvršenje svakog koraka potrebno ugraditi jednostavniji hardver, ali sa druge strane, treba da protekne znatno duži period dok se ne dobije konačan rezultat To znači da implementacija nekog

2 6 RAČUNARSKI SISTEMI: Principi digitalnih sistema zadataka pomoću kombinacione logike rezultira kraćem vremenu generisanja rezultata u odnosu na implementaciju zasnovanu na sekvencijalnoj logici, ali će zato obim hardvera biti veći a bi ukazali na kompromis između obima ugrađenog hardvera i brzine izračunavanja, analiziraćemo primer sabiranja dva ntobitna broja Koristeći princip "papira i olovke" primenićemo višekoračni metod kod koga se u datom trenutku sabira odgovarajući par cifara, počev od cifre najmanje težine Kada suma izračunata u tekućem koraku premaši vrednost najveće cifre "prenosimo jedinicu" ka narednom paru cifara To znači da je za sabiranje n cifara potrebna sekvenca od n koraka, pri čemu se u svakom koraku cifra x i prvog broja sabira sa po težini odgovarajućom cifrom y i drugog broja Takođe, sumi se dodaje cifra prenosa ( ili ) koja je generisana u toku prethodnog koraka Ilustracije radi, na slici 72 je prikazan sekvencijalni način sabiranja binarnih brojeva Kao što se vidi sa slike 72a, u svakom koraku se sabiraju tri bita, x i, y i i c ul, gde je c ul bit prenosa iz prethodnog koraka, i određuje bit sume z i i novi bit prenosa c iz Na slici 72bd prikazani su koraci kod izračunavanja 2+3=5 (+=) x i y i potpuni sabirač z i c ul jednobitna memorija c iz a) sekvencijalno kolo za implementaciju binarnog sabiranja x = y = potpuni sabirač z = x = z = x 2 = z 2 = potpuni potpuni y = sabirač y 2 = sabirač c = c = c 2 = c ul = jednobitna memorija c = jednobitna memorija c = jednobitna memorija b) Prvi korak c) rugi korak d) Treći korak Sl 72 Korišćenje sekvencijalnog sabirača za izračunavanje 2+3=5 73 Struktura sekvencijalnih kola Sekvencijalni sabirač sa slike 72 karakterišu osobine koje su tipične za sva sekvencijalna kola Naime, struktura sa slike 72a se može razložiti na sledeća dva dela: kombinacioni deo (potpuni sabirač) i memorijski deo (deo za pamćenje prenosa) Postoji povratna veza iz memorije ka kombinacionom kolu, tako da prethodni događaji imaju uticaj na tekuću operaciju Kod kombinacionih kola ne postoje ovakve povratne veze U opštem slučaju, struktura sekvencijalnog kola je prikazana na slici 73 Memorijski deo M može da pamti veću, ali konačnu, količinu informacija (p bitova), a kombinacioni deo može takođe da bude složen Informacija koja se čuva u M naziva se stanje kola, tako da je alternativno ime za sekvencijalno kolo mašina sa konačnim brojem stanja (FSM finite state machine) Kao što se vidi sa slike 73, kombinaciono kolo prima dva skupa ulaznih signala: sekundarni (dolaze iz memorije) i primarni (dolaze iz okruženja kola) Odgovarajuća kombinacija sekundarnih ulaznih promenljivih u datom trenutku se naziva tekuće stanje kola, dok su sekundarne promenljive poznate kao promenljive stanja

3 7 Sekvencijalna kola 7 primarni ulazni signali sekundarni ulazni signali x x 2 x n tekuće stanje kombinaciono kolo pbitna memorija M z z 2 z m primarni izlazni signali sekundarni izlazni signali takt samo kod sinhronih sekvencijalnih kola Sl 73 Opšta struktura sekvencijalnog kola ili mašine sa konačnim brojem stanja Ako postoji m sekundarnih ulaznih promenljivih, tada sekvencijalno kolo može da se nađe u jednom od 2 m različitih tekućih stanja Izlazi kombinacionog dela kola se dele na dva skupa Primarni izlazi se koriste za upravljanje radom okruženja kola dok se sekundarni izlazi koriste da specificiraju naredno stanje koje će se pamtiti u memoriji Broj sekundarnih izlaznih promenljivih, koje se često nazivaju ekscitacionie promenljive, zavisi od tipa memorije i korišćenog memorijskog elementa 73 Podela sekvencijalnih kola Sekvencijalna logička kola se mogu podeliti na sinhrona i asinhrona Kod sinhronih kola interna stanja se menjaju u diskretnim vremenskim trenucima pod kontrolom impulsa za sinhronizaciju koga nazivamo takt (isprekidane linije na slici 73) Talasni oblik taktnog impulsa je obično pravougaoni (slika 74) "ON" vreme se definiše kao period dok je signal u stanju, a "OFF" vreme kao period dok je signal u stanju pozitivna ivica negativna ivica "ON" vreme perioda "OFF" vreme Sl 74 Taktni signal Prelazi stanja kod sinhronih sekvencijalnih kola se obično javljaju u trenutku kada postoje prelazi taktnih impulsa bilo sa na ili sa na Prelaz sa na se naziva pozitivna ivica ili usponska ivica, dok prelaz sa na odgovara negativnoj ili opadajućoj ivici taktnog signala Između sukcesivnih taktnih impulsa ne dolazi do promene informacije koja se čuva u memoriji Sinhrona sekvencijalna kola su takođe poznata i kao taktovana sekvencijalna kola Kod asinhronih sekvencijalnih kola ne postoji spoljna sinhronizacija (taktni signal) tako da se prelazi kola sa jednog stanja u drugo iniciraju promenom primarnih ulaza S obzirom da se promene stanja ne dešavaju u specificiranim vremenskim trenucima, asinhrona kola rade sopstvenom brzinom

4 8 RAČUNARSKI SISTEMI: Principi digitalnih sistema 74 Lečevi 74 SR leč Kao što se vidi sa slike 73, memorija predstavlja ključni deo sekvencijalnog kola Ovaj blok se obično sastoji od niza lečeva Leč je logičko kolo memorijskog tipa sa dva izlaza koji su komplementarni jedan u odnosu na drugi Osnovni leč se može realizovati unakrsnim povezivanjem dva NOR kola kako je to prikazano na slici 75 Reset G Set G2 Sl 75 Leč kolo sa NOR logičkim kolima Ulazi leč kola se označavaju sa Set i Reset U toku normalnog rada izlazi i su uvek komplementarni jedan u odnosu na drugi Usvojimo da su oba ulaza na, izlaz na a na Izlaz kola G biće na, pa kako izlaz preko povratne grane pobuđuje ulaz kola G2, izlaz G2 biće Kolo će zbog toga biti stabilno sa na i na, kako smo i pretpostavili na početku Ako se sada Reset ulaz postavi na, izlaz G će se promeniti na Oba ulaza kola G2 biće na tako da će se njegov izlaz promeniti na Leč kolo će sada postati stabilno sa = i = Ponašanje leč kola se može opisati istinitosnom tablicom datoj na slici 76a Ukršteni NOR je poznat kao SR (SetReset) leč Logički simbol koji se koristi za predstavljanje SR leča prikazan je na slici 76b Set Rese t nema promene a) nedefinisano b) R S Sl 76 (a) Istinitosna tablica i (b) logički simbol SR leča Analizirajući istinitosnu tablicu sa slike 76a uočavamo sledeće Ulazna kombinacija Set= i Reset= nije dozvoljena, jer će oba izlaza i biti postavljena na, što je u kontradikciji sa uslovom da su i komplementarni Set Set Reset nedefinisano nema promene Reset a) NAN leč Set b) Tablica istinitosti Reset c) Logički simbol Sl 77 Leč sa NAN kolima

5 7 Sekvencijalna kola 9 SR leč se može, kako je to prikazano na slici 77, realizovati i pomoću međusobno ukrštenih NAN kola Kod velikog broja aplikacija leč treba setovati ili resetovati u sinhronizmu sa upravljačkim signalom Na slici 78a prikazano je kako se NAN leč sa slike 77a može modifikovati uradnjom dodatnog upravljačkog signala ontrol koji je obično povezan na taktni signal Rezultantno kolo je poznato kao gejtovani leč ili taktovani leč Sve dok je ontrol= (slika 78a) izlazi G3 i G4 biće na i leč neće menjati stanje Kada je ontrol=, ulazi Set i Reset će imati efekat na rad leča Set ontrol Reset G3 G4 NAN le~ ontrol Set Reset G3 G4 t+ t t nedefinisano a) Logički simbol b) Istinitosna tablica Sl 78 Gejtovani NAN leč (Napomena: t odgovara tekućem stanju leča a t+ narednom stanju) Kako je to prikazano na slici 79, moguća je i realizacija gejtovanog leča i sa NOR lečom Set ontrol Reset G3 G4 NOR le~ ontrol Set Reset G3 G4 t+ t t nedefinisano a) Logički simbol b) Istinitosna tablica Sl 79 Gejtovani NOR leč Tipovi lečeva sa slika 78 i 79 nazivaju se transparentnim, jer se njihovi izlazi menjaju (nakon propagacionog kašnjenja kroz leč) kako se i ulazi menjaju, pod uslovom da je ulaz dozvole (enable input ontrol) na visoko SR lečeve je moguće realizovati sa više od dva ulaza Na slici 7 je prikazan SR leč koji je konstruisan od dva četvoroulazna NOR kola Izlaz će biti na ako je bilo koji Set ulaz na a Reset ulazi na Takođe, izlaz će biti na ako je bilo koji Reset ulaz na a svi Set ulazi na, itd Reset Reset2 Reset3 G Set Set2 Set3 G2 Sl 7 Troulazni NOR SR leč 742 leč Jedan od načina da se eliminiše neželjeno nedefinisano stanje kod SR leča je da se obezbedi da ulazi S i R ne budu nikada istovremeno jednaki Ovo se izvodi kod leča kako je to prikazano na slici 7

6 2 RAČUNARSKI SISTEMI: Principi digitalnih sistema S R naredno stanje za x nema promene =; Reset stanje =; Set stanje a) Logički dijagram b) Funkcionalna tabela Sl 7 leč Leč ima samo dva ulaza: (ata podaci) i (ontrol upravljački) Komplement ulaza dovodi se preko NI kola na ulaz S, a ulaz preko invertora i NI kola na ulaz R Sve dok je upravljački ulaz = oba ulaza SR leča su na visoko i kolo ne može da promeni svoje stanje nezavisno od vrednosti Ulaz se uzorkuje (odmerava) kada je = Ako je =, se postavlja na, tj za kolo kažemo da je u stanju set Kada je =, izlaz = i kolo je u stanju reset Logički simbol leča prikazan je na slici 72 Sl 72 Logički simbol leča SR i lečevi su sigurno najjednostavniji i najjevtiniji tipovi memorijskih elemenata koji se koriste kod logičkih kola Oni se koriste kao elementi za memorisanje (pamćenje) u kolima gde ne postoji direktna povratna veza sa izlaza bilo kog leča preko spoljnih kola na ulaze lečeva (u okviru leča postoje povratne veze) Ovaj zahtev je ispunjen kod strukture prikazane na slici 73 Kao što se vidi sa slike 73, računar prihvata podatke sa ulaznog uređaja (tastatura), a generiše podatke na izlaznom uređaju (LE displej) koristeći lečeve Svaka kolona lečeva ima zajedničku upravljačku liniju Lečevi se koriste kao privremni memorijski elementi podataka na putu ulazni uređaj računar ili računar izlazni uređaj Podatak se pamti u leč (lečuje) i ostaje tamo sve dok ne naiđe novi podatak i zameni ga tastatura ulazni lečevi izlazni lečevi LE displej S S2 Ra~unar 2 Sk k dirka na tastaturi dioda koja emituje svetlo Sl 73 Tipičan način korišćenja lečeva kod računarskih ulazno/izlaznih kola

7 7 Sekvencijalna kola 2 Kao što smo napomenuli, zbog svoje transparentnosti u radu (kada je =, izlaz prati stanje na ulazu ) lečevi nisu pogodni za korišćenje kod sekvencijalnih kola koja imaju povratnu strukturu, kao što je ona prikazana na slici 74, tj kada se izlaz leča preko kombinacione logike dovodi na njegov ulaz Naime, kada je =, izlazni signal ( ili ) se vraća preko povratne grane na ulaz Neželjene kombinacije propagacionog kašnjenja (kašnjenja signala kroz kola) mogu da uzrokuju višestruke promene, što rezultira novom neodređenom stanju leča Ovaj fenomen se naziva problem trke (race problem) i direktna je posledica transparentnosti leča koja omogućava da kada je = (rad leča dozvoljen) kroz leč prođe neograničen broj promena podataka a bi ograničili memorijski element na samo jednu promenu stanja po koraku kada je leču dozvoljen rad, neophodno je koristiti netransparentne memorijske elemente kao što su flipflopovi "trka" oko spoljne povratne veze le~ kombinaciono kolo rad leča dozvoljen kada je = Sl 74 Problem trke zbog transparentnosti leča kod kola koje sadrži globalnu povratnu spregu 75 Flipflopovi Posmatrajmo bistabilno memorijsko kolo ME koje ima ulaz(e) X, izlaz podataka i upravljački(e) ulaz(e) (takt) Broj ulaznih i upravljačkih linija, kao i način na koji se upravlja kolom, zavisi od tipa memorije Kada je rad MEu dozvoljen, prelaz iz jednog stabilnog stanja u drugo opisuje se relacijom X() t t () t ( +τ ) () Za ME smatramo da je pouzdana komponenta ako se () uvek izvršava korektno rugim rečima, ako se (t) i X(t) postave na specifične vrednosti, a ME korektno radi, naredno stanje (t+τ) biće uvek isto U prethodnoj diskusiji smo ukazali da leč radi pouzdano samo kod kola koja nemaju povratne puteve sa izlaza leča na njegove ulaze (slika 74) a bi prelaz iz jednog stanja u drugo, definisan sa (), bio pouzdan, važno je da novo stanje novo = (t+τ) ne interferira sa starom vrednošću staro = (t) u toku promene stanja ok izračunavamo novo memorijsko kolo mora da ima na raspolaganju dostupne stabilne vrednosti za staro i X Flipflop se definiše kao bistabilno kolo koje koristi specijalni upravljački signal (može i nekoliko takvih signala) radi specificiranja trenutaka u kojima se memorija odaziva na promene ulaznih podataka i trenutaka u kojima memorija menja svoje izlazne podatke, tako da se postigne pouzdan netransparentan način rada S obzirom da signal, kada je u pitanju flipflop, ima sinhronizirajuću ulogu, on se naziva taktni signal (clock signal) 75 Metodi taktovanja Postoji već broj tipova flipflopova koji se razlikuju po načinu taktovanja Uloga signala kod leča prikazana je na slici 75a U toku perioda t :t 2, kada je =, bilo kakva promena signala podataka prenosi se kroz leč Nakon određenog propagacionog kašnjenja ove promene utiču na izlaz leča (a takođe i na ) u toku perioda t 3 :t 4 Ako se ignoriše jedan kratak period neodređenosti kada signali podataka i takta menjaju vrednosti, za leč kažemo da se odaziva na sve ulazne promene kada je na nivou Kada je na logičkoj nuli promene podataka na ulazu nemaju efekat na promene podataka na izlazu Iz ovih razloga za lečeve kažemo da su osetljivi na nivo (level sensitive) ili da se okidaju na nivo (level triggered)

8 22 RAČUNARSKI SISTEMI: Principi digitalnih sistema takt t promenljive ulaznih podataka se prihvataju t 2 t t ata lock izlaz se može menjati t 3 t 4 t 3 a) Leč osetljiv na nivo takt okidačka ivica t t 2 promenljive ulaznih t 2 podataka se prihvataju t 3 t 4 izlaz se može menjati t t 3 t 4 t ata lock dinamički ulazni simbol b) Flipflop koji se okida pozitivnom ivicom takt okidačka ivica t t 2 promenljive ulaznih t 2 podataka se prihvataju izlaz se može menjati t t ata lock inverzni simbol t 3 t 4 t 3 t 4 c) Flipflop koji se okida negativnom ivicom Sl 75 Osnovni metodi taktovanja i korišćeni simboli kod flipflopova a bi ostvarili ponašanje flipflopa moramo da obezbedimo da ne dođe do preklapanja između vremenskog perioda t :t 2 (kada se prihvataju promene ulaznih signala) i perioda t 3 :t 4 (kada se menjaju izlazni podaci) Jedan od načina da flipflop ispuni ove zahteve sastoji se u sledećem Ulazne promene treba prihvatati kada je =, a menjati izlaze kada je = Ovaj impulsni metod rada je bio korišćen kod nekih ranijih verzija bistabilnih kola Kod najvećeg broja današnjih rešenja koristi se tehnika okidanja na ivicu (edge triggering) Na slici 75b je definisano ponašanje flipflopa koji se okida pozitivnom ivicom, a na slici 75c ponašanje flipflopa koji se okida na negativnu ivicu Flipflopovi imaju isti simbol kao i lečevi sa izuzetkom jedne male, ali ključne, modifikacije koja se odnosi na specifikaciju načina taktovanja Simbol '>' koji se nalazi na kraju linije za taktovanje ukazuje da se okidanje flipflopa vrši pri prelazu signala sa na Simbol '>' se naziva dinamički ulazni simbol Okidanje negativnom ivicom se označava kombinovanjem dinamičkog ulaznog simbola '>' i simbola inverzije 'o'

9 7 Sekvencijalna kola Kombinovanje lečeva kod flipflopova Postoje dva načina na koje se kombinuju lečevi kod flipflopova Kod prvog načina lečevi se kombinuju tako da se: važećim ulaznim podacima koji su prisutni na ulazima flipflopa smatraju samo oni koji su prisutni u trenutku kada je važeći i upravljački signal; 2 stanje flipflopa menja samo kada stanje upravljačkog impulsa nije aktivno Ovaj tip flipflopa se naziva masterslave Kod drugog načina važi sledeći princip Flipflop se okida samo u toku promene taktnog impulsa sa na (ili sa na ), a u ostatku perioda promene nisu dozvoljene uključujući i period taktnog signala Ovaj flipflop se naziva ivičnookidani flipflop 753 Masterslave flipflop okidanje na nivo Strukturu masterslave flipflopa čine dva leča i jedan invertor Struktura jednog masterslave RS flipflop prikazana je na slici 76 Levi flipflop naziva se master, a desni slave S S m Y S s m master leč s slave leč R R m Y R s Sl 76 SR masterslave flipflop (okida se na nivo) Struktura master (slave) leča je ekvivalentna onoj koja je prikazana na slici 78 Kada je = izlaz invertora je i rad slave leča je dozvoljen, tako da njegov izlaz prati vrednost na izlazu mastera Y U tom trenutku rad master leča je zabranjen, jer je = Kada je =, signali prisutni na ulazima S m i R m definišu na koje će se vrednosti postaviti Y Rad slavea je zabranjen sve dok je =, tj s = Bilo kakve promene na ulazima S i R menjaju master izlaz Y, ali nemaju efekat na slave izlaz Kada ponovo bude = rad mastera se zabranjuje, tako da se promene na ulazima S m i R m više ne prihvataju, ali je istovremeno rad slavea dozvoljen tako da se tekuća vrednost Y prenosi na izlaz Vremenski dijagrami karakteristični za rad masterslave RS flipflopa su prikazani na slici 77 S R Y nepoznato inicijalno stanje Sl 77 Vremenski dijagrami masterslave RS flipflopa 754 Masterslave JK flipflop okidanje na nivo Analizom istinitosne tablice SR leča na slici 78b vidimo da je izlaz leča nedefinisan kada su pri = oba ulaza S=R= a bi se eliminisao neželjeni uslov koji dovodi do toga da izlazi budu nedefinisani koristi se JK flipflop Kod ovog flipflopa uslov kada su oba ulaza jednaka ukazuje da izlaz primi komplementarnu vrednost Struktura JK flipflopova i odgovarajuće istinitosne tablice na osnovu koje se opisuje njegovo ponašanje prikazane su na slici 78

10 24 RAČUNARSKI SISTEMI: Principi digitalnih sistema J S S K R R J K Naredno stanje a) Struktura b) Istinitosna tablica Sl 78 Masterslave JK flipflop (okida se na nivo) 755 Flipflop koji se okida na ivicu Flipflop koji se okida na ivicu ignoriše upravljački signal dok je na konstantnom nivou a okida se samo u toku prelaza taktnog signala sa jednog nivoa na drugi Neki od ivično okidanih flipflopova okidaju se na pozitivnu ivicu (prelaz sa na ), dok se drugi okidaju na negativnu ivicu (prelaz sa na ) Jedan tipičan predstavnik flipflopova iz ove grupe je ivično okidani flipflop 756 Ivično okidani flipflop Logički dijagram tipa flipflopa koji se okida na prednju ivicu prikazan je na slici 79 Flipflop čine dve celine: master deo realizovan lečom i slave deo koji može biti SR ili leč Na ulazu taktnog signala se dodaje invertor S obzirom da je master leč leč, flipflop ima osobinu da se okida na ivicu, a ne na nivo kao što je to slučaj sa masterslave Kada je =, rad master leča je dozvoljen i transparentan, tj njegov izlaz sledi stanje na ulazu Rad slave leča je zabranjen i on održava nepromenjeno stanje flipflopa Kada se javi pozitivna ivica taktni ulaz se promeni na Rad master leča se zabranjuje, njegov izlaz zamrzava, a slave leču je dozvoljen rad tako da on kopira na svom izlazu stanje koje je prisutno na izlazu master leča Stanje master leča koje treba kopirati je stanje koje je prisutno u trenutku pojave pozitivne ivice taktnog impulsa Zbog ovoga se ponašanje flipflopa opisuje kao okidanje na ivicu Kada je =, rad master leča je zabranjen i on ne može da se promeni, tako da stanja oba leča (i mastera i slavea) ostaju nepromenjena Konačno, kada se promeni sa na, rad mastera je dozvoljen i njegov izlaz počinje da sledi vrednost na ulazu U toku prelaza sa na rad slavea se zabranjuje tako da bilo kakva promena na masteru nema efekta na izlaz slavea Zbog toga, vrednost koja je memorisana u slaveu ostaje nepromenjena u toku ove promene S master slave R Sl 79 tip flipflopa koji se okida na pozitivnu ivicu Kao što smo napomenuli, ivično okidani flipflop (ili delay flipflop) se može realizovati i pomoću dva leča i jednog invertora (slika 72) Ovo rešenje se uglavnom koristi kod logičkih kola koja pripadaju MOS tehnologiji, kao što je 74H74 Flipflopovi se obično projektuju sa jednim ili dva dodatna upravljačka ulaza koji su namenjeni za inicijalizaciju početnog stanja flipflopa Upravljački signal koji dovodi flipflop u stanje = se naziva clear (LR) ulaz, a onaj koji postavlja flipflop u stanje = se naziva preset (PR) ulaz Uticaj PR i LR upravljačkih signala je nezavisan od taktnog signala pa zbog toga za PR i LR kažemo da su kao ulazi asinhroni Nasuprot njima, je sinhroni ulaz u odnosu na taktni signal Logički simbol za flipflop koji se okida pozitivnom ivicom sa Reset i Set ulazima, kao i odgovarajuća funkcionalna tabela, prikazani su na slici 72

11 7 Sekvencijalna kola 25 m master slave a) Logičko kolo b) Grafički simbol okidačka ivica taktnog impulsa takt (t) t ulazni podaci (t) t m (t) t propagaciono kašnjenje izlazni podaci (t) t c) Vremenski dijagram tekuće stanje ulazi t () (t) (t) t () (t+) = (t) karakterisitika flipflopa d) Karnoova mapa flipflopa PR PR = postavi = Sl 72 flipflop koji se okida ivično LR LR = postavi = PR LR a) Logički simbol b) Funkcionalna tabela Sl 72 flipflop koji se okida pozitivnom ivicom sa Preset i lear ulazima

12 26 RAČUNARSKI SISTEMI: Principi digitalnih sistema 757 Ivično okidani JK flipflop Već smo razmatrali (slika 78) implementaciju masterslave JK flipflopa kod koga je masterslave SR flipflopu dodata logika i, kao rezultat toga, dobijen je JK flipflop koji se okida na nivo Razmotrimo sada implementaciju JK flipflopa koji se okida pozitivnom ivicom impulsa a dobija se dodavanjem logike flipflopu koji se okida pozitivnom ivicom taktnog impulsa Rezultujuće kolo je prikazano na slici 722 J S R K Sl 722 JK flipflop koji se okida pozitivnom ivicom Logički simbol, važeći talasni dijagrami, Karnoova mapa i istinitosna tablica ivično okidanog flipflopa dati su na slici 723 ulaz J takt ulaz K PR tekuće naredno stanje ulazi stanje J() t K() t J() t K() t J() t K() t () () J (t) J(t) K(t) (t) (t+) () t () t K LR t ( + ) = Jtt () () + Ktt () () a) Logički simbol b) Istinitosna tablica c) Karnoova mapa J(t) t K(t) t (t) t (t) propagaciono kašnjenje t d) Vremenski dijagram Sl 723 Ivično okidani JK flipflop

13 7 Sekvencijalna kola T flipflop T flipflop je poznat pod nazivom trigerski (trigger ili toggle), a karakteriše se jedinstvenom ulaznom linijom Simbol T flipflopa je prikazan na slici 724a Ako je T= kada se taktni impuls menja sa na, izlaz flipflopa prelazi u komplementarno stanje u odnosu na tekuće, a kada je T= flipflop ne menja svoje stanje Istinitosna tablica T flipflopa je prikazana na slici 724b, a Karnoova mapa na slici 724c ulaz takt T tekuće stanje naredno ulazi stanje (t) T takt (t+) a) Logički simbol b) Istinitosna tablica tekuće stanje () t ulazi T T t ( + ) = tt () () t + ttt () () (t) c) Karnoova mapa Sl 724 T flipflop Kako je to prikazano na slici 725, T flipflop se može konstruisati od JK flipflopa (slika 725a) ili od flipflopa (slika 725b) Treba naglasiti da T flipflopovi nisu dostupni kao komponente nego se oni konstruišu od JK i flipflopova T takt J K T takt a) Konstrukcija T flipflopa od JK flipflopa a) Konstrukcija T flipflopa od flipflopa Sl 725 Izvedene verzije T flipflopa

14 28 RAČUNARSKI SISTEMI: Principi digitalnih sistema Primer 7 Ukaži na standardno korišćene grafičke simbole za lečeve i flipflopove Odgovor: S S R R SR SR sa kao upravljački sa kao upravljački a) lečevi J J J J K K K K okidani SR okidani SR okidani JK okidani JK b) Masterslave flipflopovi (okidanje na nivo) J J K K okidani okidani okidani JK okidani JK c) Ivično okidani flipflopovi Sl 726 Grafički simboli lečeva i flipflopova 76 Analiza rada sekvencijalnih kola Ponašanje sekvencijalnog kola određeno je stanjem na ulazima, izlazima i tekućim stanjem kola Izlazi i naredno stanje su funkcija ulaza i tekućeg stanja Analiza rada sekvencijalnog kola sastoji se u dobijanju pogodnog opisa kojim je određena vremenska sekvenca ulaza, izlaza i tekućeg i narednog stanja Za neku logičku šemu kažemo da opisuje sinhrono sekvencijalno kolo ako ona sadrži flipflopove koji se direktno ili indirektno pobuđuju taktnim signalom, a direktni Preset i Reset signali se ne koriste u toku normalnog rada kola Flipflopovi mogu biti bilo kog tipa, a logički dijagram može da sadrži, ali i ne mora, kombinacionu logiku U ovom odeljku ćemo uvesti algebarsku prezentaciju kojom se specificira logički dijagram sekvencijalnog kola, a predstavićemo i tabelu stanja i dijagram stanja kao načine za opis ponašanja kola 76 Tabela stanja i dijagram stanja Opšti model sekvencijalnog kola opisan je na slici 73 Kod ovog modela, efekat svih prethodnih promena na ulazu na stanje na izlazu predstavlja se stanjem kola To znači da u bilo kom trenutku izlaz kola zavisi od

15 7 Sekvencijalna kola 29 njegovog tekućeg stanja i ulaza, a da se na osnovu njih određuje naredno stanje kola Zavisnosti koje postoje između primarno ulaznih promenljivih, promenljive tekućeg stanja, promenljive narednog stanja i izlazne promenljive mogu se specificirati preko tabele stanja ili preko dijagrama stanja Kada se sekvencijalno kolo predstavlja tabelom stanja kolone tabele odgovaraju primarnim ulazima, a vrste tekućem stanju kola Ilustracije radi, posmatrajmo sekvencijalno kolo sa slike 727 Ovo kolo ima jedan ulaz x, jedan izlaz z i dve promenljive stanja Y i Y 2 (to znači da kolo ima četiri tekuća stanja,,, ) Ponašanje kola određeno je sledećim jednačinama: z= xy Y = x+ y Y = xy + xy 2 2 x z flipflop y Y y y 2 Y 2 y 2 takt Sl 727 Sekvencijalno kolo Na osnovu ovih jednačina može se formirati tabela stanja Usvojimo da je prethodno stanje bilo Y Y 2 =, a ulaz x = Pod ovim uslovima dobićemo z =, Y = i Y 2 = To znači da je naredno stanje kola Y Y 2 = (naredno stanje odgovaraće tekućem stanju kola nakon dovođenja taktnog impulsa) Izlaz kola koji odgovara tekućem stanju Y Y 2 = pri x = je z = Ovi podaci, kako je prikazano na slici 728a, se unose u tabelu stanja Uobičajeno je da se svaka kombinacija promenljivih tekućeg stanja zameni slovom (slika 728b), što je izvedeno iz slike 728a zamenom stanja,,, i sa A, B, i, respektivno U opštem slučaju, mašina sa m ulaza i n stanja će imati n vrsta i jednu kolonu za svaku od 2 m kombinacija ulaza tekuće stanje ulazi tekuće stanje ulazi y y 2 x = x = x = x =,, A, B,,, B, A,,,,,,,, naredno stanje, izlaz a) b) Sl 728 Tabela stanja sekvencijalnog kola sa slike 727

16 3 RAČUNARSKI SISTEMI: Principi digitalnih sistema Kao što smo naglasili, rad sekvencijalnog kola se može predstaviti pomoću dijagrama stanja ili grafa prelaza stanja (state diagram ili state transition graph) ijagram stanja predstavlja usmereni graf kod koga svaki čvor odgovara stanju kola, a svaki poteg prelazu iz jednog u drugo stanje ijagram stanja sekvencijalnog kola sa slike 728 prikazan je na slici 729 Stanjima,, i pridružena su slova A, B, i, respektivno Na primer, potegu od B ka A je pridružena oznaka / koja ukazuje da ako je B tekuće stanje a ulaz je, tada je naredno stanje A a izlaz je A / / B / / Sl 729 ijagram stanja kola sa slike 728 Za definisanje rada sekvencijalnih kola ravnopravno se mogu koristiti dijagrami stanja i tabela stanja, jer oni u potpunosti pružaju istu informaciju Ipak, u većini slučajeva dijagram stanja se više koristi za predstavljanje rada kola, dok se tabela stanja koristi kao sredstvo za projektovanje sekvencijalnog kola 762 Strukture sinhronih sekvencijalnih kola Gradivni blokovi sinhronih sekvencijalnih kola su logička kola i flipflopovi Tipovi logičkih kola koji se koriste su AN, OR, NOT, NAN, NOR, ExOR i ExNOR koje smo već izučavali, a od flipflopova srećemo i JK flipflopove Logika kola i flipflopovi se mogu povezati na veliki broj načina sa ciljem da se formira sekvencijalno kolo Pri ovome, skup flipflopova čini memoriju M, a logička kola formiraju kombinaciona podkola, 2,, q Tipični predstavnici struktura sekvencijalnih sinhronih kola prikazani su na slici 73

17 7 Sekvencijalna kola 3 memorija M primarni ulazi X kombinaciona ulazna logika FF FF2 FFp kombinaciona ulazna logika 2 primarni izlazi Z(Y) flipflop takt LK promenljive stanja Y(X) a) Sekvencijalno kolo bez globalnih povratnih veza Y X FF FF2 FFp 2 Z(Y) takt LK b) Sekvencijalno kolo kod koga su primarni izlazi nezavisni od primarnih ulaza (Murov model) Sl 73 Strukture sinhronih sekvencijalnih kola

18 32 RAČUNARSKI SISTEMI: Principi digitalnih sistema X FF FF2 Y(X) 2 Z(X,Y) FFp takt LK povratne veze c) Opšti slučaj (Milijev model) Sl 73 (nastavak) Strukture sinhronih sekvencijalnih kola Na slici 73a je prikazano kolo kod kojeg ne postoje povratne veze Naredno stanje ovog kola Y(X) (t+) Y(t+) je nezavisno od tekućeg stanja Y(X) (t) Y(t) Naredno stanje Y(t+) je funkcija samo primarnih ulaza X(t) i određeno je od strane kombinacione ulazne logike Primarni izlazi Z(t) zavise samo od Y(t) i određeni su od strane izlazne logike 2 U pogledu ponašanja primena sekvencijalnih kola ovog tipa je veoma ograničena Kod realnih aplikacija ovog tipa kola umesto flipflopova srećemo lečeve Generalnija struktura sinhronog sekvencijalnog kola prikazana je na slici 73b Kod ovog kola postoje globalne povratne veze iz memorije M ka ulaznom podkolu koje obezbeđuju da naredno stanje bude funkcija, kako od X, tako i od Y Ipak, u konkretnom rešenju (slika 73b) Z zavisi samo od Y Najopštiji slučaj sekvencijalnog kola prikazan je na slici 73c, gde su Z, kao i Y(t+), funkcije od X i Y Model sekvencijalnog kola sa slike 73c se naziva Milijev (Mealy) model, a onaj sa slike 73b Murov (Moore) model Tipovi ovih kola dobili su nazive po istraživačima G H Miliju i E F Muru koji su AT&T Bell Laboratories 95 godine izučavali njihove osobine Ako se i 2 sa slike 73c zajedno sa pripadajućim vezama kombinuju u jedinstveno kobinaciono kolo, dobija se Hafmanov (Huffman) model koji je prikazan na slici 73 U suštini, kola sa slika 73c i 73 su "skoro" identična, a od njih se mogu izvesti kola sa slike 73a i 73b Na primer, ako se izlaz Z od 2 ili učini nezavisnim od X, dobija se tip Murovog kola Tabela stanja i dijagram stanja kojim se opisuje Murov model jednog sekvencijalnog kola prikazani su na slici 732

19 7 Sekvencijalna kola 33 primarni ulazi X kombinaciona izlazna logika primarni izlazi Z(Y) Sekundarni ulazi Y (promenljive stanja) y (t) y 2 (t) FF FF2 y (t+) y 2 (t+) Sekundarni izlazi Y(X,Y) (t+) y p (t) FFp y p (t+) Reset LK Sl 73 Hafmanov model tekuće ulaz izlaz stanje x = x = A B B A B naredno stanje A/ / B/ / a) Tabela stanja b) ijagram stanja Sl 732 Tabela stanja i dijagram stanja Murovog modela jednog sekvencijalnog kola Sekvencijalna kola se mogu predstaviti Murovim ili Milijevim modelom, a konverzija iz jednog modela u drugi je uvek moguća Kroz dva primera, koji slede u daljem tekstu, analiziraćemo slučajeve konverzije Milijevog modela sekvencijalnog kola u Murov model Primer 72 Tabela stanja Milijevog tipa nekog sekvencijalnog kola prikazana je na slici 733, a odgovarajuća ekvivalentna tabela stanja Murovog tipa za isto sekvencijalno kolo prikazana je na slici 734

20 34 RAČUNARSKI SISTEMI: Principi digitalnih sistema tekuće ulazi stanje x = x = A B, A, B,, B,, E, E, E A, B, Sl 733 Tabela stanja jednog sekvencijalnog kola Milijevog tipa tekuće ulaz izlaz stanje x = x = A, B A, A, B A, B,, B,, B, E E E A, B Sl 734 Tabela stanja ekvivalentnog kola Murovog tipa Primer 73 Tabela stanja Murovog tipa nekog sekvencijalnog kola prikazana je na slici 735, odgovarajuća ekvivalentna tabela stanja Milijevog tipa za isto sekvencijalno kolo prikazana je na slici 736 tekuće ulaz izlaz stanje x = x = A B B A E B E A F F A E naredno stanje Sl 735 Tabela stanja Murovog tipa sekvencijalnog kola tekuće ulazi stanje x = x = A B,, B A,,, E,, B, E A, F, F A, E, naredno stanje, izlaz Sl 736 Tabela stanja jednog sekvencijalnog kola Milijevog tipa

21 7 Sekvencijalna kola Opis rada sekvencijalnih kola U toku dosadašnje analize razmatrali smo principe rada nekoliko osnovnih modela sekvencijalnih kola U principu, od ovakvih kola je moguće konstruisati i kompleksnija kola koja će biti u stanju da obavljaju složenije funkcije Na osnovu analize ponašanja ovakvih kola pokušaćemo da identifikujemo procese koji su potrebni za njihovu sintezu Razmatraćemo sekvencijalno kolo sa slike 737 koje ima jeda ulaz x, jedan izlaz z i dva JK flipflopa koji se koriste kao memorijski elementi (dva memorijska elementa definišu četiri moguća stanja kola y y 2 =,, i ) J y J 2 y 2 z x K y K 2 y 2 Sl 737 Tipičan primer sekvencijalnog kola Analizom slike 737 uočavamo da su jednačine kojima se opisuje rad kola J = x+ y2 K = x+ y2 J2 = xy K2 = x z= yy2 Ove jednačine ćemo nazvati jednačine dizajna Kao što je poznato, karakteristična jednačina kojom se opisuje rad JK flipflopa je oblika t ( + ) = Jt () () t + Ktt () (), gde su (t) i (t+) tekuće i naredno stanje flipflopa, respektivno Zamenom J, K i J 2, K 2 u ovu jednačinu, funkcije narednog stanja koje se odnose na oba flipflopa dobijaju oblik y( t+ ) = ( x + y2) y + ( x+ y ) y = xy + yy2 + xyy2 = xy + xy2 y2( t+ ) = xyy2 + xy2 = xyy2 + xy2 = xy + xy 2 Na osnovu ovih jednačina je moguće definisati tabelu prelaza (slika 738) za kolo sa slike tekuće stanje ulaz izlaz y y 2 x = x = z (kada je x = ) z (kada je x = ) naredno stanje Sl 738 Tabela prelaza za kolo sa slike 737 Zamenom y y 2 =,,, sa A, B, i respektivno možemo na osnovu tabele prelaza sa slike 737 izvesti tabelu stanja koja je prikazana na slici 739

22 36 RAČUNARSKI SISTEMI: Principi digitalnih sistema tekuće ulaz stanje x = x = A A,, B,, A, B,, B, Sl 739 Tabela stanja za kolo sa slike 737 ijagram stanja kola sa slike 737 izvodi se na osnovu tabele stanja sa slike 739 i prikazan je na slici 74 B A / / / / / Primer 74 Sl 74 ijagram stanja za kolo sa slike 737 Na slici 74 prikazan je dijagram stanja jednog taktovanog sekvencijalnog kola Koristeći flipflopove kao memorijske komponente projektovati logiku sekvencijalnog kola / / / / Odgovor: Sl 74 ijagram stanja jednog sekvencijalnog kola Na osnovu dijagrama stanja kola sa slike 74 izvodi se tabela stanja Ulazne jednačine za flipflopove dobijaju se na osnovu vrednosti narednog stanja iz tabele stanja (slika 742), a jednačine koje odgovaraju izlazu dobijaju se na osnovu binarne vrednosti koja odgovara Y iz iste tabele kada je Y= Tri Bulove jednačine za kombinacionu logiku mogu se izraziti kao suma mintermova promenljivih tekućeg stanja A i B, kao i ulazne promenljive X, na sledeći način: A(t+) = A (A,B,X) = Σm(2,4,5,6) B(t+) = B (A,B,X) = Σm(,3,5,6) Y(A,B,X) = Σm(,5)

23 7 Sekvencijalna kola 37 tekuće stanje ulaz naredno stanje izlaz A B X A B Y Sl 742 Tabela stanja za kolo čiji je dijagram stanja dat na slici 74 A Bulove jednačine se mogu pojednostaviti pomoću Karnoovih mapa prikazanih na slici 743 B B BX BX BX A A B A A A X X X = AB+ BX = AX + BX + ABX Y = BX A B Sl 743 Karnoove mape za ulazne jednačine i izlaz Y Pojednostavljene funkcije su = A AB + BX, = AX + B BX + ABX, Y = BX Logički dijagram sekvencijalnog kola prikazan je na slici 744 X A A B B Y takt Sl 744 Logički dijagram sekvencijalnog kola sa flipflopovima

24 38 RAČUNARSKI SISTEMI: Principi digitalnih sistema 77 Procedura za projektovanje sekvencijalnih kola Glavni koraci u procesu sinteze sekvencijalnih kola prikazani su na slici 745 ilj prvog koraka je da da preciznu definiciju ponašanja kola U ovoj fazi kolo se opisuje na nivou crne kutije čije je ponašanje poznato, a interna struktura nije U drugom koraku se specifikacija kola opisuje na osnovu stanja kola Treći korak je poznat kao minimizacija stanja a sastoji se u eliminisanju ekvivalentnih stanja (ako postoje) iz tabele stanja izvedene u drugom koraku Četvrti korak se naziva dodela stanja Suština ovog koraka se sastoji u sledećem Različitim stanjima se dodeljuju kodovi sa krajnjim ciljem da se dobije ekonomično rešenje za logiku U petom koraku se formira tabela prelaza U šestom koraku se biraju tipovi flipflopova, pa shodno tome i izvode odgovarajuće jednačine za pobudu tih flipflopova kao jednačine izlazne logike U poslednjem, sedmom, koraku se crta logički dijagram sekvencijalnog kola korak Specificira se problem korak 2 Izvodi se dijagram stanja ili tabela stanja korak 3 Redukuje se broj stanja korak 4 Vr{i se dodela stanja korak 5 Konstrui{e se tabela prelaza korak 6 Izvode se jedna~ine pobude korak 7 Implementira se kolo Sl 745 Procedura projektovanja sekvencijalnih kola U tekstu koji sledi ukazaćemo sa nešto više detalja na neke od ključnih koraka elokupan postupak projektovanja sprovešćemo na jednom konkretnom primeru 77 Specifikacija problema Projektovati sinhrono sekvencijalno kolo (slika 746) koje prepoznaje četvorobitnu serijsku ulaznu sekvencu, tako da uvek kada se ova sekvenca pojavi na serijskom ulazu kola, na izlazu se generiše jedinica Pri tome je dopušteno preklapanje sekvenci serijski ulaz sekvencijalno kolo za prepoznavanje serijski izlaz takt Sl 746 Sekvencijalno kolo za prepoznavanje ulazne sekvence specificirano na nivou crne kutije

25 7 Sekvencijalna kola Konstrukcija dijagrama stanja i tabele stanja Ovaj korak uglavnom predstavlja jedan intuitivni proces i jako je zavisan od znanja i iskustva projektanta Na primer, projektant donosi odluku da li će rad kola predstaviti Murovim ili Milijevim modelom Imajući u vidu da je opštiji, u praksi se Milijev model češće sreće Usvojimo da je inicijalno stanje kola A (postavljeno nakom Reseta sistema) Reset A U stanju A kolo očekuje prvi ulazni signal koji može biti ili Ako je ulaz, kolo ostaje u stanju A i na izlazu se generiše, a ako je ulaz, prelazi se u stanje B i generiše na izlazu A / B Kada je kolo u stanju B, ako na ulazu primi (sekvenca ) kolo prelazi u stanje, a ako primi, vraća se u stanje A A B / / ok je u stanju, ako se na ulazu pojavi, kolo ostaje u istom stanju, a ako primu prelazi u stanje (sekvenca ) A B / / / Kada je kolo u stanju, a primi, prelazi u stanje B i generiše na svom izlazu, a kada primi prelazi u stanje A i generiše na izlazu Kompletan dijagram stanja za detektor sekvence prikazan je na slici 747 A B / / / / Sl 747 ijagram stanja detektora sekvence Ilustracije radi, za ulaznu sekvencu, izlazna sekvenca će biti Na osnovu dijagrama stanja sa slike 747 moguće je konstruisati tabelu stanja (slika 748)

26 4 RAČUNARSKI SISTEMI: Principi digitalnih sistema 773 Redundantna stanja tekuće ulaz stanje x = x = A A, B, B A,,,, A, B, naredno stanje, izlaz Sl 748 Tabela stanja detektora sekvence Neka smo kao projektanti dobili zadatak da sintetizujemo sinhrono sekvencijalno kolo sa jednim ulazom x i jednim izlazom z koje testira serijske ulazne podatke u sekvenci od po četiri bita Izlaz kola će imati vrednost ako i samo ako se u četvorobitnoj sekvenci pojave dve jedinice (uzastopne ili ne) a bi nacrtali dijagram stanja usvojićemo sledeće: a) početno stanje kola je A; b) s obzirom da kolo ima samo jedan serijski ulaz, svako stanje u dijagramu stanja će imati dva prelaza, jedan koji odgovara ulazu, a drugi ulazu ; c) ulaznu sekvencu čine četiri bita, tako da se nakon ispitivanja sva četiri bita vraćamo u početno stanje A Odgovarajući dijagram stanja je prikazan na slici 749 Na osnovu dijagrama stanja sa slike 749 moguće je kreirati tabelu stanja (slika 75) koja odgovara kolu za detekciju dve jedinice u četvorobitnoj sekvenci A / B / / E F G / / / / H I J K L M N P / / / / / / / / / / / Sl 749 ijagram stanja detektora dve jedinice u četvorobitnoj sekvenci tekuće ulaz stanje x = x = A B,, B, E, F, G, A, I, E J, K, F L, M, G N, P, H A, A, I A, A, J A, A, K A, A, L A, A, M A, A, N A, A, P A, A, Sl 75 Tabela stanja kola koje detektuje dve jedinice u četvorobitnoj sekvenci Analizom slike 749 dolazimo do sledećih zaključaka:

27 7 Sekvencijalna kola 4 Kod kreiranja dijagrama stanja svaka kombinacija od četiri bita je razmatrana 2 U dijagramu stanja (slika 749) i tabeli stanja (slika 75) postoji nekoliko redundantnih stanja Za jedno stanje kažemo da je redundantno, ako se njegova funkcija može obaviti od strane drugog stanja u kolu Glavni cilj u sledećem koraku sinteze sekvencijalnog kola svodi se na određivanje redudantnih stanja i njihovu eliminaciju A Redukcija broja stanja U principu važi sledeće pravilo: Što sekvencijalno kolo ima veći broj stanja to je ono složenije Eliminisanjem ekvivalentnih ili redundantnih stanja iz dijagrama stanja ili tabele stanja pojednostavljuje se logika kola Ovaj proces se naziva redukcija broja stanja, a odgovara procesu minimizacije logičkih funkcija u kombinacinom delu sekvencijalnog kola a bi ukazali na postupak redukcije stanja analizirajmo tabelu stanja jednog proizvoljnog sekvencijalnog kola koja je data na slici 75 Analizom slike 75 dolazimo do sledećeg zaključka: stanja A i F imaju identična ponašanja (za iste ulaze generišu iste izlaze i prelaze u ista naredna stanja) To znači da se jedno od stanja može eliminisati Na primer, ako eliminišemo vrstu F, u svim kolonama tabele sa slike 75 gde se javlja F treba upisati A Na ovaj način se dobija nova tabela stanja (slika 752) tekuće Ulaz stanje x = x = A B,, B F,,, E, F, E, E A,, F B,, naredno stanje, izlaz Sl 75 Tabela stanja nekog proizvoljnog sekvencijalnog kola tekuće Ulaz stanje x = x = A B,, B A,,, E, A, E, E A,, Sl 752 Tabela stanja kod koje je stanje F eliminisano aljom analizom slike 752 uočavamo da su stanja B i E ekvivalentna Eliminisaćemo stanje E tako da će nova tabela stanja (slika 753) imati samo četiri stanja tekuće ulaz stanje x = x = A B,, B A,,, B, A, B, naredno stanje, izlaz Sl 753 Redukovana tabela stanja Zaključak bi bio sledeći: Za dva stanja smatramo da su ekvivalentna ako i samo ako za svaku ulaznu sekvencu kolo generiše istu izlaznu sekvencu nezavisno od toga koje je od ta dva stanja početno stanje, tj ako su stanja A i B ekvivalentna i stanja B i takođe ekvivalentna, tada su i A i ekvivalentna stanja, pa za sva tri stanja kažemo da formiraju skup ekvivalentnih stanja B Particija Određivanje broja ekvivalentnih stanja kod sekvencijalnih kola se vrši postupkom koji se zasniva na particiji

28 42 RAČUNARSKI SISTEMI: Principi digitalnih sistema Aktivnost prvog koraka je sledeća Izdvajaju se skupovi stanja kola u blokove tako da sva stanja u bloku imaju identičan izlaz za svaki mogući ulaz Ilustracije radi, posmatrajmo primer sa slike 754 tekuće ulaz stanje x = x = A B, A, B,, A,, B, F, E B, E, F, E, naredno stanje, izlaz Sl 754 Tabela stanja proizvoljnog sekvencijalna kola Analizom slike 754 zaključujemo da su generisani izlazi za svako od stanja A, i E identični za obe kombinacije ulaza x = i x = Sa druge strane, B, i F generišu iste izlaze za oba ulaza x = i x = Shodno prethodnom, prva particija kola biće P = (AE)(BF) Naredni korak se odnosi na izvođenje particije P 2 a sastoji se u sledećem: va stanja se smeštaju u isti blok ako se za svaku ulaznu vrednost njihova naredna stanja nalaze u zajedničkom bloku particije P U primeru sa slike 754 naredna stanja za A, i E (pripadaju prvom koraku P ) koja odgovaraju x = su B, A i B, respektivno S obzirom da A i B, što se tiče P, pripadaju različitim blokovima, particija P 2 mora da izdvoji od A i E Za x = naredna stanja A, i E se nalaze u istom bloku Na identičan način se razmatra i drugi blok particije P tako da ćemo konačno za particiju P 2 imati: P 2 = ()(AE)(F)(B) Particija P 3 se može formirati sličnim postupkom Naredna stanja za A i E za oba x = i x = se nalaze u istom bloku tako da se ne mogu razdvajati Ali, to ne važi za B i, jer se pri x = nalaze u različitim blokovima P 2 tako da se blok (B) deli na (B) i (), pa je P 3 = ()(AE)(F)(B)() Naredna particija P 4 je identična kao P 3, tj P 4 = ()(AE)(F)(B)() Isti zaključak važi i za ostale particije P 5, P 6, U principu važi sledeći zaključak: Onog trenutka, kada je particija P j+ identična sa particijom P j proces particije se završava, a za particiju P j kažemo da je ekvivalentna particija Sva stanja koja pripadaju bloku u ekvivalentnoj particiji su ekvivalentna Na primer, P 3 je ekvivalentna particija i stanja A i E su ekvivalentna Zbog toga se na slici 754 vrsta E može zameniti vrstom A, kako je to prikazano na slici 755 tekuće ulaz stanje x = x = A B, A, B,, A,, B, F, F, A, naredno stanje, izlaz Sl 755 Redukovana tabela stanja Ovaj postupak se često radi tablično, zbog bolje preglednosti Grupišu se stanje koja imaju identičan izlaza za isti ulaz, te se, slično prethodnom dolazi do minimalnog broja stanja Ovaj postupak za isti primer je prikazan u sledećim tabelema stanje x A B E F B/ / A/ B/ B/ / A/ / / F/ E/ E/ stanje a b c d x A E B F B c B c A a c c c A a E a b c F d E a stanje a b x A E B F B b A a B b b B b b A a a E a b F b E a stanje a b c d e x A E B F B c B c A a d d d A a A a b d F e E a

29 7 Sekvencijalna kola 43 Implikaciona tabela Implikaciona tabela predstavlja alternativni metod za nalaženje ekvivalentnih stanja a bi objasnili ovaj metod usvojićemo da je rad nekog sekvencijalnog kola opisan tabelom stanja sa slike 756 tekuće ulaz stanje x = x = A B, A, B,, A,, B, F, E B, E, F, E, naredno stanje, izlaz Sl 756 Tabela stanja nekog sekvencialnog kola Prvi korak ove procedure je sledeći Formira se nova tabela koju čine sve vrste sa izuzetkom prvog stanja, i sve kolone koje čine sva stanja sa izuzetkom zadnjeg Na slici 757 prikazana je implikaciona tabela za primer sa slike 756 B E F A B E Sl 757 Implikaciona tabela Nakon konstrukcije implikacione tabele analizira se i ustanovljava da li je par stanja implikacione tabele ekvivalentan ili nije Par stanja ne može biti ekvivalentan ako stanja imaju različite izlaze Svaki kvadratić i implikacionoj tabeli se popunjava krstićem (šrafira se) ako odgovarajući par ima različite izlaze (slika 758) Za parove stanja koji nisu ekvivalentni kažemo da su nekompatibilni B E F A B E Sl 758 Implikaciona tabela nakon prvog koraka U daljem postupku u prazne kvadratiće sa slike 758 se upisuju parovi stanja čije ekvivalencije ukazuju na ekvivalenciju paru stanja koji definiše nepopunjeni kvadratić Na primer u kvadratić koji odgovara paru A unosi se par AB koji mora biti ekvivalentan kako bi stanja A i bila (postala) ekvivalentna (slika 759) Simbol " " se unosi u kvadratić ako je odgovarajući par (stvarno) ekvivalentan Na slici 759 "stvarno" ekvivalentna stanja su A i E Tabela sa slike 759 se popunjava kolona po kolonu Analizirajući sliku 759 uočavamo da kvadratić definisan parom stanja i F sadrži parove B EF Kako je kvadratić definisan sa E i F već precrtan, to znači da i bilo koji drugi par, čija ekvivalencija sledi iz ekvivalencije E i F, mora takođe da bude precrtan (markiran), kako je to prikazano na slici 76 Procedura se ponavlja proverom za sve ostale kvadratiće Jedini ekvivalentan par sa slike 76 je par AE Prema tome, particija koja odgovara ekvivalenciji je oblika P = (AE)(B)()()(F)

30 44 RAČUNARSKI SISTEMI: Principi digitalnih sistema B E F AB F E AB A B B EF E Sl 759 Implikaciona tabela nakon popunjavanja ekvivalentnih parova stanja B E F AB F AB E A B B EF E 774 Izvođenje jednačine stanja Sl 76 Konačni izgled implikacione tabele Nakon što smo dobili redukovanu tabelu stanja sledeći korak u procesu projektovanja sekvencijalnog kola odnosi se na kodiranje stanja u binarnom obliku Ova aktivnost je poznata kao dodela stanja (state assignment) Suština se sastoji u sledećem: Svakom stanju se dodeljuje jedinstvena binarna kombinacija Kod kola koja imaju n ulaza, da bi dobili različitu kombinaciju za svako stanje, potrebno je s sekundarnih ulaznih promenljivih, gde je s = log 2 n, tj s log 2 n Svaku sekundarnu promenljivu generiše flipflop Zbog toga je potreban broj flipflopova da se implementira sekvencijalno kolo sa n stanja jednak log 2 n a bi sekvencijalno kolo prelazilo iz jednog stanja u drugo po određenom redosledu, neophodno je da se flipflopovi korektno pobuđuju Način pobude flipflopa određuje njegov tip,, JK ili T a bi ukazali na ovaj postupak detaljnije, analiziraćemo kolo čija je tabela stanja prikazana na slici 76 tekuće ulaz stanje x x 2 A,, A,, B,, A,, A, B, A, B, A, B, A, B, naredno stanje, izlaz Sl 76 Tabela stanja sekvencijalnog kola Za memorijske elemente sekvencijalnog kola usvojićemo da su JK flipflopovi, a izvršićemo sledeću dodelu stanja: A =, B =, =, = Odgovarajuća tabela prelaza prikazana je na slici 762 ulaz x x 2 y y 2,,,,,,,,,,,,,,,, naredno stanje, izlaz Sl 762 Tabela stanja sekvencijalnog kola posle izvršene dodele stanja S obzirom da sekvencijano kolo ima četiri stanja, to znači da su potrebna dva JK flipflopa Jednačine pobude za oba flipflopa izvode se iz Karnoovih mapa (slika 764) za njihove J i K ulaze (vidi odeljak 767; mape se formiraju na osnovu ekscitacionie tabele sa slike 763)

UNIVERZITET U NIŠU ELEKTRONSKI FAKULTET SIGNALI I SISTEMI. Zbirka zadataka

UNIVERZITET U NIŠU ELEKTRONSKI FAKULTET SIGNALI I SISTEMI. Zbirka zadataka UNIVERZITET U NIŠU ELEKTRONSKI FAKULTET Goran Stančić SIGNALI I SISTEMI Zbirka zadataka NIŠ, 014. Sadržaj 1 Konvolucija Literatura 11 Indeks pojmova 11 3 4 Sadržaj 1 Konvolucija Zadatak 1. Odrediti konvoluciju

Διαβάστε περισσότερα

3.1 Granična vrednost funkcije u tački

3.1 Granična vrednost funkcije u tački 3 Granična vrednost i neprekidnost funkcija 2 3 Granična vrednost i neprekidnost funkcija 3. Granična vrednost funkcije u tački Neka je funkcija f(x) definisana u tačkama x za koje je 0 < x x 0 < r, ili

Διαβάστε περισσότερα

Osnovni primer. (Z, +,,, 0, 1) je komutativan prsten sa jedinicom: množenje je distributivno prema sabiranju

Osnovni primer. (Z, +,,, 0, 1) je komutativan prsten sa jedinicom: množenje je distributivno prema sabiranju RAČUN OSTATAKA 1 1 Prsten celih brojeva Z := N + {} N + = {, 3, 2, 1,, 1, 2, 3,...} Osnovni primer. (Z, +,,,, 1) je komutativan prsten sa jedinicom: sabiranje (S1) asocijativnost x + (y + z) = (x + y)

Διαβάστε περισσότερα

Elementi spektralne teorije matrica

Elementi spektralne teorije matrica Elementi spektralne teorije matrica Neka je X konačno dimenzionalan vektorski prostor nad poljem K i neka je A : X X linearni operator. Definicija. Skalar λ K i nenula vektor u X se nazivaju sopstvena

Διαβάστε περισσότερα

Teorijske osnove informatike 1

Teorijske osnove informatike 1 Teorijske osnove informatike 1 9. oktobar 2014. () Teorijske osnove informatike 1 9. oktobar 2014. 1 / 17 Funkcije Veze me du skupovima uspostavljamo skupovima koje nazivamo funkcijama. Neformalno, funkcija

Διαβάστε περισσότερα

41. Jednačine koje se svode na kvadratne

41. Jednačine koje se svode na kvadratne . Jednačine koje se svode na kvadrane Simerične recipročne) jednačine Jednačine oblika a n b n c n... c b a nazivamo simerične jednačine, zbog simeričnosi koeficijenaa koeficijeni uz jednaki). k i n k

Διαβάστε περισσότερα

DISKRETNA MATEMATIKA - PREDAVANJE 7 - Jovanka Pantović

DISKRETNA MATEMATIKA - PREDAVANJE 7 - Jovanka Pantović DISKRETNA MATEMATIKA - PREDAVANJE 7 - Jovanka Pantović Novi Sad April 17, 2018 1 / 22 Teorija grafova April 17, 2018 2 / 22 Definicija Graf je ure dena trojka G = (V, G, ψ), gde je (i) V konačan skup čvorova,

Διαβάστε περισσότερα

Iskazna logika 3. Matematička logika u računarstvu. novembar 2012

Iskazna logika 3. Matematička logika u računarstvu. novembar 2012 Iskazna logika 3 Matematička logika u računarstvu Department of Mathematics and Informatics, Faculty of Science,, Serbia novembar 2012 Deduktivni sistemi 1 Definicija Deduktivni sistem (ili formalna teorija)

Διαβάστε περισσότερα

Kontrolni zadatak (Tačka, prava, ravan, diedar, poliedar, ortogonalna projekcija), grupa A

Kontrolni zadatak (Tačka, prava, ravan, diedar, poliedar, ortogonalna projekcija), grupa A Kontrolni zadatak (Tačka, prava, ravan, diedar, poliedar, ortogonalna projekcija), grupa A Ime i prezime: 1. Prikazane su tačke A, B i C i prave a,b i c. Upiši simbole Î, Ï, Ì ili Ë tako da dobijeni iskazi

Διαβάστε περισσότερα

PRAVA. Prava je u prostoru određena jednom svojom tačkom i vektorom paralelnim sa tom pravom ( vektor paralelnosti).

PRAVA. Prava je u prostoru određena jednom svojom tačkom i vektorom paralelnim sa tom pravom ( vektor paralelnosti). PRAVA Prava je kao i ravan osnovni geometrijski ojam i ne definiše se. Prava je u rostoru određena jednom svojom tačkom i vektorom aralelnim sa tom ravom ( vektor aralelnosti). M ( x, y, z ) 3 Posmatrajmo

Διαβάστε περισσότερα

MATRICE I DETERMINANTE - formule i zadaci - (Matrice i determinante) 1 / 15

MATRICE I DETERMINANTE - formule i zadaci - (Matrice i determinante) 1 / 15 MATRICE I DETERMINANTE - formule i zadaci - (Matrice i determinante) 1 / 15 Matrice - osnovni pojmovi (Matrice i determinante) 2 / 15 (Matrice i determinante) 2 / 15 Matrice - osnovni pojmovi Matrica reda

Διαβάστε περισσότερα

IV. FUNKCIJE I STRUKTURA PREKIDAČKIH MREŽA IV.1 OSNOVNI POJMOVI IV.2 LOGIČKI ELEMENTI IV.3 STRUKTURA KOMBINACIONIH MREŽA IV.4 MEMORIJSKI ELEMENTI

IV. FUNKCIJE I STRUKTURA PREKIDAČKIH MREŽA IV.1 OSNOVNI POJMOVI IV.2 LOGIČKI ELEMENTI IV.3 STRUKTURA KOMBINACIONIH MREŽA IV.4 MEMORIJSKI ELEMENTI IV. OSNOVNI POJMOVI IV.2 LOGIČKI ELEMENTI IV.3 STRUKTURA KOMBINACIONIH MREŽA IV.4 MEMORIJSKI ELEMENTI IV.4. ASINHRONI FLIP-FLOPOVI IV.4.2 TAKTOVANI FLIP-FLOPOVI IV.5 STRUKTURA SEKVENCIJALNIH MREŽA IV.

Διαβάστε περισσότερα

Računarska grafika. Rasterizacija linije

Računarska grafika. Rasterizacija linije Računarska grafika Osnovni inkrementalni algoritam Drugi naziv u literaturi digitalni diferencijalni analizator (DDA) Pretpostavke (privremena ograničenja koja se mogu otkloniti jednostavnim uopštavanjem

Διαβάστε περισσότερα

III VEŽBA: FURIJEOVI REDOVI

III VEŽBA: FURIJEOVI REDOVI III VEŽBA: URIJEOVI REDOVI 3.1. eorijska osnova Posmatrajmo neki vremenski kontinualan signal x(t) na intervalu definisati: t + t t. ada se može X [ k ] = 1 t + t x ( t ) e j 2 π kf t dt, gde je f = 1/.

Διαβάστε περισσότερα

IZVODI ZADACI ( IV deo) Rešenje: Najpre ćemo logaritmovati ovu jednakost sa ln ( to beše prirodni logaritam za osnovu e) a zatim ćemo

IZVODI ZADACI ( IV deo) Rešenje: Najpre ćemo logaritmovati ovu jednakost sa ln ( to beše prirodni logaritam za osnovu e) a zatim ćemo IZVODI ZADACI ( IV deo) LOGARITAMSKI IZVOD Logariamskim izvodom funkcije f(), gde je >0 i, nazivamo izvod logarima e funkcije, o jes: (ln ) f ( ) f ( ) Primer. Nadji izvod funkcije Najpre ćemo logarimovai

Διαβάστε περισσότερα

Ispitivanje toka i skiciranje grafika funkcija

Ispitivanje toka i skiciranje grafika funkcija Ispitivanje toka i skiciranje grafika funkcija Za skiciranje grafika funkcije potrebno je ispitati svako od sledećih svojstava: Oblast definisanosti: D f = { R f R}. Parnost, neparnost, periodičnost. 3

Διαβάστε περισσότερα

SISTEMI NELINEARNIH JEDNAČINA

SISTEMI NELINEARNIH JEDNAČINA SISTEMI NELINEARNIH JEDNAČINA April, 2013 Razni zapisi sistema Skalarni oblik: Vektorski oblik: F = f 1 f n f 1 (x 1,, x n ) = 0 f n (x 1,, x n ) = 0, x = (1) F(x) = 0, (2) x 1 0, 0 = x n 0 Definicije

Διαβάστε περισσότερα

Zadaci sa prethodnih prijemnih ispita iz matematike na Beogradskom univerzitetu

Zadaci sa prethodnih prijemnih ispita iz matematike na Beogradskom univerzitetu Zadaci sa prethodnih prijemnih ispita iz matematike na Beogradskom univerzitetu Trigonometrijske jednačine i nejednačine. Zadaci koji se rade bez upotrebe trigonometrijskih formula. 00. FF cos x sin x

Διαβάστε περισσότερα

Strukture podataka i algoritmi 1. kolokvij 16. studenog Zadatak 1

Strukture podataka i algoritmi 1. kolokvij 16. studenog Zadatak 1 Strukture podataka i algoritmi 1. kolokvij Na kolokviju je dozvoljeno koristiti samo pribor za pisanje i službeni šalabahter. Predajete samo papire koje ste dobili. Rezultati i uvid u kolokvije: ponedjeljak,

Διαβάστε περισσότερα

OSNOVI ELEKTRONIKE VEŽBA BROJ 1 OSNOVNA KOLA SA DIODAMA

OSNOVI ELEKTRONIKE VEŽBA BROJ 1 OSNOVNA KOLA SA DIODAMA ELEKTROTEHNIČKI FAKULTET U BEOGRADU KATEDRA ZA ELEKTRONIKU OSNOVI ELEKTRONIKE SVI ODSECI OSIM ODSEKA ZA ELEKTRONIKU LABORATORIJSKE VEŽBE VEŽBA BROJ 1 OSNOVNA KOLA SA DIODAMA Autori: Goran Savić i Milan

Διαβάστε περισσότερα

Zavrxni ispit iz Matematiqke analize 1

Zavrxni ispit iz Matematiqke analize 1 Građevinski fakultet Univerziteta u Beogradu 3.2.2016. Zavrxni ispit iz Matematiqke analize 1 Prezime i ime: Broj indeksa: 1. Definisati Koxijev niz. Dati primer niza koji nije Koxijev. 2. Dat je red n=1

Διαβάστε περισσότερα

numeričkih deskriptivnih mera.

numeričkih deskriptivnih mera. DESKRIPTIVNA STATISTIKA Numeričku seriju podataka opisujemo pomoću Numeričku seriju podataka opisujemo pomoću numeričkih deskriptivnih mera. Pokazatelji centralne tendencije Aritmetička sredina, Medijana,

Διαβάστε περισσότερα

5. Karakteristične funkcije

5. Karakteristične funkcije 5. Karakteristične funkcije Profesor Milan Merkle emerkle@etf.rs milanmerkle.etf.rs Verovatnoća i Statistika-proleće 2018 Milan Merkle Karakteristične funkcije ETF Beograd 1 / 10 Definicija Karakteristična

Διαβάστε περισσότερα

IZRAČUNAVANJE POKAZATELJA NAČINA RADA NAČINA RADA (ISKORIŠĆENOSTI KAPACITETA, STEPENA OTVORENOSTI RADNIH MESTA I NIVOA ORGANIZOVANOSTI)

IZRAČUNAVANJE POKAZATELJA NAČINA RADA NAČINA RADA (ISKORIŠĆENOSTI KAPACITETA, STEPENA OTVORENOSTI RADNIH MESTA I NIVOA ORGANIZOVANOSTI) IZRAČUNAVANJE POKAZATELJA NAČINA RADA NAČINA RADA (ISKORIŠĆENOSTI KAPACITETA, STEPENA OTVORENOSTI RADNIH MESTA I NIVOA ORGANIZOVANOSTI) Izračunavanje pokazatelja načina rada OTVORENOG RM RASPOLOŽIVO RADNO

Διαβάστε περισσότερα

Algoritmi zadaci za kontrolni

Algoritmi zadaci za kontrolni Algoritmi zadaci za kontrolni 1. Nacrtati algoritam za sabiranje ulaznih brojeva a i b Strana 1 . Nacrtati algoritam za izračunavanje sledeće funkcije: x y x 1 1 x x ako ako je : je : x x 1 x x 1 Strana

Διαβάστε περισσότερα

IZVODI ZADACI (I deo)

IZVODI ZADACI (I deo) IZVODI ZADACI (I deo) Najpre da se podsetimo tablice i osnovnih pravila:. C`=0. `=. ( )`= 4. ( n )`=n n-. (a )`=a lna 6. (e )`=e 7. (log a )`= 8. (ln)`= ` ln a (>0) 9. = ( 0) 0. `= (>0) (ovde je >0 i a

Διαβάστε περισσότερα

nvt 1) ukoliko su poznate struje dioda. Struja diode D 1 je I 1 = I I 2 = 8mA. Sada je = 1,2mA.

nvt 1) ukoliko su poznate struje dioda. Struja diode D 1 je I 1 = I I 2 = 8mA. Sada je = 1,2mA. IOAE Dioda 8/9 I U kolu sa slike, diode D su identične Poznato je I=mA, I =ma, I S =fa na 7 o C i parametar n= a) Odrediti napon V I Kolika treba da bude struja I da bi izlazni napon V I iznosio 5mV? b)

Διαβάστε περισσότερα

Računarska grafika. Rasterizacija linije

Računarska grafika. Rasterizacija linije Računarska grafika Osnovni inkrementalni algoritam Drugi naziv u literaturi digitalni diferencijalni analizator (DDA) Pretpostavke (privremena ograničenja koja se mogu otkloniti jednostavnim uopštavanjem

Διαβάστε περισσότερα

XI dvoqas veжbi dr Vladimir Balti. 4. Stabla

XI dvoqas veжbi dr Vladimir Balti. 4. Stabla XI dvoqas veжbi dr Vladimir Balti 4. Stabla Teorijski uvod Teorijski uvod Definicija 5.7.1. Stablo je povezan graf bez kontura. Definicija 5.7.1. Stablo je povezan graf bez kontura. Primer 5.7.1. Sva stabla

Διαβάστε περισσότερα

2log. se zove numerus (logaritmand), je osnova (baza) log. log. log =

2log. se zove numerus (logaritmand), je osnova (baza) log. log. log = ( > 0, 0)!" # > 0 je najčešći uslov koji postavljamo a još je,, > 0 se zove numerus (aritmand), je osnova (baza). 0.. ( ) +... 7.. 8. Za prelazak na neku novu bazu c: 9. Ako je baza (osnova) 0 takvi se

Διαβάστε περισσότερα

MATEMATIKA 2. Grupa 1 Rexea zadataka. Prvi pismeni kolokvijum, Dragan ori

MATEMATIKA 2. Grupa 1 Rexea zadataka. Prvi pismeni kolokvijum, Dragan ori MATEMATIKA 2 Prvi pismeni kolokvijum, 14.4.2016 Grupa 1 Rexea zadataka Dragan ori Zadaci i rexea 1. unkcija f : R 2 R definisana je sa xy 2 f(x, y) = x2 + y sin 3 2 x 2, (x, y) (0, 0) + y2 0, (x, y) =

Διαβάστε περισσότερα

INTELIGENTNO UPRAVLJANJE

INTELIGENTNO UPRAVLJANJE INTELIGENTNO UPRAVLJANJE Fuzzy sistemi zaključivanja Vanr.prof. Dr. Lejla Banjanović-Mehmedović Mehmedović 1 Osnovni elementi fuzzy sistema zaključivanja Fazifikacija Baza znanja Baze podataka Baze pravila

Διαβάστε περισσότερα

Konstruisati efikasan algoritam znači dati skup preciznih uputstava kako doći do rešenja zadatog problema Algoritmi se mogu opisivati:

Konstruisati efikasan algoritam znači dati skup preciznih uputstava kako doći do rešenja zadatog problema Algoritmi se mogu opisivati: Staša Vujičić Konstruisati efikasan algoritam znači dati skup preciznih uputstava kako doći do rešenja zadatog problema Algoritmi se mogu opisivati: pseudo jezikom prirodnim jezikom dijagramom toka. 2

Διαβάστε περισσότερα

Operacije s matricama

Operacije s matricama Linearna algebra I Operacije s matricama Korolar 3.1.5. Množenje matrica u vektorskom prostoru M n (F) ima sljedeća svojstva: (1) A(B + C) = AB + AC, A, B, C M n (F); (2) (A + B)C = AC + BC, A, B, C M

Διαβάστε περισσότερα

Osnovne teoreme diferencijalnog računa

Osnovne teoreme diferencijalnog računa Osnovne teoreme diferencijalnog računa Teorema Rolova) Neka je funkcija f definisana na [a, b], pri čemu važi f je neprekidna na [a, b], f je diferencijabilna na a, b) i fa) fb). Tada postoji ξ a, b) tako

Διαβάστε περισσότερα

VJEŽBE 3 BIPOLARNI TRANZISTORI. Slika 1. Postoje npn i pnp bipolarni tranziostori i njihovi simboli su dati na slici 2 i to npn lijevo i pnp desno.

VJEŽBE 3 BIPOLARNI TRANZISTORI. Slika 1. Postoje npn i pnp bipolarni tranziostori i njihovi simboli su dati na slici 2 i to npn lijevo i pnp desno. JŽ 3 POLAN TANZSTO ipolarni tranzistor se sastoji od dva pn spoja kod kojih je jedna oblast zajednička za oba i naziva se baza, slika 1 Slika 1 ipolarni tranzistor ima 3 izvoda: emitor (), kolektor (K)

Διαβάστε περισσότερα

STATIČKE KARAKTERISTIKE DIODA I TRANZISTORA

STATIČKE KARAKTERISTIKE DIODA I TRANZISTORA Katedra za elektroniku Elementi elektronike Laboratorijske vežbe Vežba br. 2 STATIČKE KARAKTERISTIKE DIODA I TRANZISTORA Datum: Vreme: Studenti: 1. grupa 2. grupa Dežurni: Ocena: Elementi elektronike -

Διαβάστε περισσότερα

Elektrotehnički fakultet univerziteta u Beogradu 17.maj Odsek za Softversko inžinjerstvo

Elektrotehnički fakultet univerziteta u Beogradu 17.maj Odsek za Softversko inžinjerstvo Elektrotehnički fakultet univerziteta u Beogradu 7.maj 009. Odsek za Softversko inžinjerstvo Performanse računarskih sistema Drugi kolokvijum Predmetni nastavnik: dr Jelica Protić (35) a) (0) Posmatra

Διαβάστε περισσότερα

2 tg x ctg x 1 = =, cos 2x Zbog četvrtog kvadranta rješenje je: 2 ctg x

2 tg x ctg x 1 = =, cos 2x Zbog četvrtog kvadranta rješenje je: 2 ctg x Zadatak (Darjan, medicinska škola) Izračunaj vrijednosti trigonometrijskih funkcija broja ako je 6 sin =,,. 6 Rješenje Ponovimo trigonometrijske funkcije dvostrukog kuta! Za argument vrijede sljedeće formule:

Διαβάστε περισσότερα

ELEKTROTEHNIČKI ODJEL

ELEKTROTEHNIČKI ODJEL MATEMATIKA. Neka je S skup svih živućih državljana Republike Hrvatske..04., a f preslikavanje koje svakom elementu skupa S pridružuje njegov horoskopski znak (bez podznaka). a) Pokažite da je f funkcija,

Διαβάστε περισσότερα

RAČUNSKE VEŽBE IZ PREDMETA POLUPROVODNIČKE KOMPONENTE (IV semestar modul EKM) IV deo. Miloš Marjanović

RAČUNSKE VEŽBE IZ PREDMETA POLUPROVODNIČKE KOMPONENTE (IV semestar modul EKM) IV deo. Miloš Marjanović Univerzitet u Nišu Elektronski fakultet RAČUNSKE VEŽBE IZ PREDMETA (IV semestar modul EKM) IV deo Miloš Marjanović MOSFET TRANZISTORI ZADATAK 35. NMOS tranzistor ima napon praga V T =2V i kroz njega protiče

Διαβάστε περισσότερα

6. BULOVA ALGEBRA I LOGIČKA KOLA

6. BULOVA ALGEBRA I LOGIČKA KOLA 6. ULOVA ALGERA I LOGIČKA KOLA Poznato je da se pojam algebre odnosi na oblast matematike koja se bavi proučavanjem opštih svojstava brojnih sistema i opštih metoda rešavanja problema pomoću jednačina.

Διαβάστε περισσότερα

APROKSIMACIJA FUNKCIJA

APROKSIMACIJA FUNKCIJA APROKSIMACIJA FUNKCIJA Osnovni koncepti Gradimir V. Milovanović MF, Beograd, 14. mart 2011. APROKSIMACIJA FUNKCIJA p.1/46 Osnovni problem u TA Kako za datu funkciju f iz velikog prostora X naći jednostavnu

Διαβάστε περισσότερα

( ) ( ) 2 UNIVERZITET U ZENICI POLITEHNIČKI FAKULTET. Zadaci za pripremu polaganja kvalifikacionog ispita iz Matematike. 1. Riješiti jednačine: 4

( ) ( ) 2 UNIVERZITET U ZENICI POLITEHNIČKI FAKULTET. Zadaci za pripremu polaganja kvalifikacionog ispita iz Matematike. 1. Riješiti jednačine: 4 UNIVERZITET U ZENICI POLITEHNIČKI FAKULTET Riješiti jednačine: a) 5 = b) ( ) 3 = c) + 3+ = 7 log3 č) = 8 + 5 ć) sin cos = d) 5cos 6cos + 3 = dž) = đ) + = 3 e) 6 log + log + log = 7 f) ( ) ( ) g) ( ) log

Διαβάστε περισσότερα

Pravilo 1. Svaki tip entiteta ER modela postaje relaciona šema sa istim imenom.

Pravilo 1. Svaki tip entiteta ER modela postaje relaciona šema sa istim imenom. 1 Pravilo 1. Svaki tip entiteta ER modela postaje relaciona šema sa istim imenom. Pravilo 2. Svaki atribut entiteta postaje atribut relacione šeme pod istim imenom. Pravilo 3. Primarni ključ entiteta postaje

Διαβάστε περισσότερα

Iskazna logika 1. Matematička logika. Department of Mathematics and Informatics, Faculty of Science, University of Novi Sad, Serbia.

Iskazna logika 1. Matematička logika. Department of Mathematics and Informatics, Faculty of Science, University of Novi Sad, Serbia. Matematička logika Department of Mathematics and Informatics, Faculty of Science,, Serbia oktobar 2012 Iskazi, istinitost, veznici Intuitivno, iskaz je rečenica koja je ima tačno jednu jednu istinitosnu

Διαβάστε περισσότερα

IspitivaƬe funkcija: 1. Oblast definisanosti funkcije (ili domen funkcije) D f

IspitivaƬe funkcija: 1. Oblast definisanosti funkcije (ili domen funkcije) D f IspitivaƬe funkcija: 1. Oblast definisanosti funkcije (ili domen funkcije) D f IspitivaƬe funkcija: 1. Oblast definisanosti funkcije (ili domen funkcije) D f 2. Nule i znak funkcije; presek sa y-osom IspitivaƬe

Διαβάστε περισσότερα

Obrada signala

Obrada signala Obrada signala 1 18.1.17. Greška kvantizacije Pretpostavka je da greška kvantizacije ima uniformnu raspodelu 7 6 5 4 -X m p x 1,, za x druge vrednosti x 3 x X m 1 X m = 3 x Greška kvantizacije x x x p

Διαβάστε περισσότερα

5 Ispitivanje funkcija

5 Ispitivanje funkcija 5 Ispitivanje funkcija 3 5 Ispitivanje funkcija Ispitivanje funkcije pretodi crtanju grafika funkcije. Opšti postupak ispitivanja funkcija koje su definisane eksplicitno y = f() sadrži sledeće elemente:

Διαβάστε περισσότερα

radni nerecenzirani materijal za predavanja R(f) = {f(x) x D}

radni nerecenzirani materijal za predavanja R(f) = {f(x) x D} Matematika 1 Funkcije radni nerecenzirani materijal za predavanja Definicija 1. Neka su D i K bilo koja dva neprazna skupa. Postupak f koji svakom elementu x D pridružuje točno jedan element y K zovemo funkcija

Διαβάστε περισσότερα

Poglavlje 7. Blok dijagrami diskretnih sistema

Poglavlje 7. Blok dijagrami diskretnih sistema Poglavlje 7 Blok dijagrami diskretnih sistema 95 96 Poglavlje 7. Blok dijagrami diskretnih sistema Stav 7.1 Strukturni dijagram diskretnog sistema u kome su sve veliqine prikazane svojim Laplasovim transformacijama

Διαβάστε περισσότερα

Trigonometrija 2. Adicijske formule. Formule dvostrukog kuta Formule polovičnog kuta Pretvaranje sume(razlike u produkt i obrnuto

Trigonometrija 2. Adicijske formule. Formule dvostrukog kuta Formule polovičnog kuta Pretvaranje sume(razlike u produkt i obrnuto Trigonometrija Adicijske formule Formule dvostrukog kuta Formule polovičnog kuta Pretvaranje sume(razlike u produkt i obrnuto Razumijevanje postupka izrade složenijeg matematičkog problema iz osnova trigonometrije

Διαβάστε περισσότερα

Program testirati pomoću podataka iz sledeće tabele:

Program testirati pomoću podataka iz sledeće tabele: Deo 2: Rešeni zadaci 135 Vrednost integrala je I = 2.40407 42. Napisati program za izračunavanje koeficijenta proste linearne korelacije (Pearsonovog koeficijenta) slučajnih veličina X = (x 1,..., x n

Διαβάστε περισσότερα

4.7. Zadaci Formalizam diferenciranja (teorija na stranama ) 343. Znajući izvod funkcije x arctg x, odrediti izvod funkcije x arcctg x.

4.7. Zadaci Formalizam diferenciranja (teorija na stranama ) 343. Znajući izvod funkcije x arctg x, odrediti izvod funkcije x arcctg x. 4.7. ZADACI 87 4.7. Zadaci 4.7.. Formalizam diferenciranja teorija na stranama 4-46) 340. Znajući izvod funkcije arcsin, odrediti izvod funkcije arccos. Rešenje. Polazeći od jednakosti arcsin + arccos

Διαβάστε περισσότερα

Primjeri sinteze sekvencijalnih mreža. Vanr.prof.dr.Lejla Banjanović- Mehmedović

Primjeri sinteze sekvencijalnih mreža. Vanr.prof.dr.Lejla Banjanović- Mehmedović Logički automati Primjeri sinteze sekvencijalnih mreža Vanr.prof.dr.Lejla Banjanović- Mehmedović Definicija sekvencijalnih mreža x 1 (t) x 2 (t) x N (t)... DIGITALNI SISTEM... z 1 (t) z 2 (t) z p (t) Opšti

Διαβάστε περισσότερα

IZVODI ZADACI (I deo)

IZVODI ZADACI (I deo) IZVODI ZADACI (I deo Najpre da se podsetimo tablice i osnovnih pravila:. C0.. (. ( n n n-. (a a lna 6. (e e 7. (log a 8. (ln ln a (>0 9. ( 0 0. (>0 (ovde je >0 i a >0. (cos. (cos - π. (tg kπ cos. (ctg

Διαβάστε περισσότερα

FTN Novi Sad Katedra za motore i vozila. Teorija kretanja drumskih vozila Vučno-dinamičke performanse vozila: MAKSIMALNA BRZINA

FTN Novi Sad Katedra za motore i vozila. Teorija kretanja drumskih vozila Vučno-dinamičke performanse vozila: MAKSIMALNA BRZINA : MAKSIMALNA BRZINA Maksimalna brzina kretanja F O (N) F OI i m =i I i m =i II F Oid Princip određivanja v MAX : Drugi Njutnov zakon Dokle god je: F O > ΣF otp vozilo ubrzava Kada postane: F O = ΣF otp

Διαβάστε περισσότερα

Verovatnoća i Statistika I deo Teorija verovatnoće (zadaci) Beleške dr Bobana Marinkovića

Verovatnoća i Statistika I deo Teorija verovatnoće (zadaci) Beleške dr Bobana Marinkovića Verovatnoća i Statistika I deo Teorija verovatnoće zadaci Beleške dr Bobana Marinkovića Iz skupa, 2,, 00} bira se na slučajan način 5 brojeva Odrediti skup elementarnih dogadjaja ako se brojevi biraju

Διαβάστε περισσότερα

Merni instrumenti - Digitalna elektronika 5. SEKVENCIJALNA LOGIKA. Prosta kola sa povratnom spregom Lečevi Flip-flopovi okidani na ivicu

Merni instrumenti - Digitalna elektronika 5. SEKVENCIJALNA LOGIKA. Prosta kola sa povratnom spregom Lečevi Flip-flopovi okidani na ivicu FTN Novi ad Merni instrumenti - Digitalna elektronika 5. EKVENCIJALNA LOGIKA 8-mar.-7 dr Zoran Mitrović ekvencijalna logika ekvencijalna kola Prosta kola sa povratnom spregom Lečevi Flip-flopovi okidani

Διαβάστε περισσότερα

KVADRATNA FUNKCIJA. Kvadratna funkcija je oblika: Kriva u ravni koja predstavlja grafik funkcije y = ax + bx + c. je parabola.

KVADRATNA FUNKCIJA. Kvadratna funkcija je oblika: Kriva u ravni koja predstavlja grafik funkcije y = ax + bx + c. je parabola. KVADRATNA FUNKCIJA Kvadratna funkcija je oblika: = a + b + c Gde je R, a 0 i a, b i c su realni brojevi. Kriva u ravni koja predstavlja grafik funkcije = a + b + c je parabola. Najpre ćemo naučiti kako

Διαβάστε περισσότερα

Apsolutno neprekidne raspodele Raspodele apsolutno neprekidnih sluqajnih promenljivih nazivaju se apsolutno neprekidnim raspodelama.

Apsolutno neprekidne raspodele Raspodele apsolutno neprekidnih sluqajnih promenljivih nazivaju se apsolutno neprekidnim raspodelama. Apsolutno neprekidne raspodele Raspodele apsolutno neprekidnih sluqajnih promenljivih nazivaju se apsolutno neprekidnim raspodelama. a b Verovatno a da sluqajna promenljiva X uzima vrednost iz intervala

Διαβάστε περισσότερα

7 Algebarske jednadžbe

7 Algebarske jednadžbe 7 Algebarske jednadžbe 7.1 Nultočke polinoma Skup svih polinoma nad skupom kompleksnih brojeva označavamo sa C[x]. Definicija. Nultočka polinoma f C[x] je svaki kompleksni broj α takav da je f(α) = 0.

Διαβάστε περισσότερα

KOMUTATIVNI I ASOCIJATIVNI GRUPOIDI. NEUTRALNI ELEMENT GRUPOIDA.

KOMUTATIVNI I ASOCIJATIVNI GRUPOIDI. NEUTRALNI ELEMENT GRUPOIDA. KOMUTATIVNI I ASOCIJATIVNI GRUPOIDI NEUTRALNI ELEMENT GRUPOIDA 1 Grupoid (G, ) je asocijativa akko važi ( x, y, z G) x (y z) = (x y) z Grupoid (G, ) je komutativa akko važi ( x, y G) x y = y x Asocijativa

Διαβάστε περισσότερα

PARCIJALNI IZVODI I DIFERENCIJALI. Sama definicija parcijalnog izvoda i diferencijala je malo teža, mi se njome ovde nećemo baviti a vi ćete je,

PARCIJALNI IZVODI I DIFERENCIJALI. Sama definicija parcijalnog izvoda i diferencijala je malo teža, mi se njome ovde nećemo baviti a vi ćete je, PARCIJALNI IZVODI I DIFERENCIJALI Sama definicija parcijalnog ivoda i diferencijala je malo teža, mi se njome ovde nećemo baviti a vi ćete je, naravno, naučiti onako kako vaš profesor ahteva. Mi ćemo probati

Διαβάστε περισσότερα

M086 LA 1 M106 GRP. Tema: Baza vektorskog prostora. Koordinatni sustav. Norma. CSB nejednakost

M086 LA 1 M106 GRP. Tema: Baza vektorskog prostora. Koordinatni sustav. Norma. CSB nejednakost M086 LA 1 M106 GRP Tema: CSB nejednakost. 19. 10. 2017. predavač: Rudolf Scitovski, Darija Marković asistent: Darija Brajković, Katarina Vincetić P 1 www.fizika.unios.hr/grpua/ 1 Baza vektorskog prostora.

Διαβάστε περισσότερα

18. listopada listopada / 13

18. listopada listopada / 13 18. listopada 2016. 18. listopada 2016. 1 / 13 Neprekidne funkcije Važnu klasu funkcija tvore neprekidne funkcije. To su funkcije f kod kojih mala promjena u nezavisnoj varijabli x uzrokuje malu promjenu

Διαβάστε περισσότερα

Riješeni zadaci: Nizovi realnih brojeva

Riješeni zadaci: Nizovi realnih brojeva Riješei zadaci: Nizovi realih brojeva Nizovi, aritmetički iz, geometrijski iz Fukciju a : N R azivamo beskoači) iz realih brojeva i ozačavamo s a 1, a,..., a,... ili a ), pri čemu je a = a). Aritmetički

Διαβάστε περισσότερα

Pismeni ispit iz matematike Riješiti sistem jednačina i diskutovati rješenja sistema u zavisnosti od parametra: ( ) + 1.

Pismeni ispit iz matematike Riješiti sistem jednačina i diskutovati rješenja sistema u zavisnosti od parametra: ( ) + 1. Pismeni ispit iz matematike 0 008 GRUPA A Riješiti sistem jednačina i diskutovati rješenja sistema u zavisnosti od parametra: λ + z = Ispitati funkciju i nacrtati njen grafik: + ( λ ) + z = e Izračunati

Διαβάστε περισσότερα

Digitalna mikroelektronika

Digitalna mikroelektronika Digitalna mikroelektronika Z. Prijić Elektronski fakultet Niš Katedra za mikroelektroniku Predavanja 27. Deo I Kombinaciona logička kola Kombinaciona logička kola Osnovna kombinaciona logička kola 2 3

Διαβάστε περισσότερα

Kaskadna kompenzacija SAU

Kaskadna kompenzacija SAU Kaskadna kompenzacija SAU U inženjerskoj praksi, naročito u sistemima regulacije elektromotornih pogona i tehnoloških procesa, veoma često se primenjuje metoda kaskadne kompenzacije, u čijoj osnovi su

Διαβάστε περισσότερα

Komponente digitalnih sistema. Kombinacione komponente Sekvencijalne komponente Konačni automati Memorijske komponente Staza podataka

Komponente digitalnih sistema. Kombinacione komponente Sekvencijalne komponente Konačni automati Memorijske komponente Staza podataka Komponente digitalnih sistema Kombinacione komponente Sekvencijalne komponente Konačni automati Memorijske komponente Staza podataka Standardne digitalne komponente (moduli) Obavljaju funkcije za koje

Διαβάστε περισσότερα

Neka su A i B proizvoljni neprazni skupovi. Korespondencija iz skupa A u skup B definiše se kao proizvoljan podskup f Dekartovog proizvoda A B.

Neka su A i B proizvoljni neprazni skupovi. Korespondencija iz skupa A u skup B definiše se kao proizvoljan podskup f Dekartovog proizvoda A B. Korespondencije Neka su A i B proizvoljni neprazni skupovi. Korespondencija iz skupa A u skup B definiše se kao proizvoljan podskup f Dekartovog proizvoda A B. Pojmovi B pr 2 f A B f prva projekcija od

Διαβάστε περισσότερα

Sortiranje prebrajanjem (Counting sort) i Radix Sort

Sortiranje prebrajanjem (Counting sort) i Radix Sort Sortiranje prebrajanjem (Counting sort) i Radix Sort 15. siječnja 2016. Ante Mijoč Uvod Teorem Ako je f(n) broj usporedbi u algoritmu za sortiranje temeljenom na usporedbama (eng. comparison-based sorting

Διαβάστε περισσότερα

INTEGRALNI RAČUN. Teorije, metodike i povijest infinitezimalnih računa. Lucija Mijić 17. veljače 2011.

INTEGRALNI RAČUN. Teorije, metodike i povijest infinitezimalnih računa. Lucija Mijić 17. veljače 2011. INTEGRALNI RAČUN Teorije, metodike i povijest infinitezimalnih računa Lucija Mijić lucija@ktf-split.hr 17. veljače 2011. Pogledajmo Predstavimo gornju sumu sa Dodamo još jedan Dobivamo pravokutnik sa Odnosno

Διαβάστε περισσότερα

Linearna algebra 2 prvi kolokvij,

Linearna algebra 2 prvi kolokvij, 1 2 3 4 5 Σ jmbag smjer studija Linearna algebra 2 prvi kolokvij, 7. 11. 2012. 1. (10 bodova) Neka je dano preslikavanje s : R 2 R 2 R, s (x, y) = (Ax y), pri čemu je A: R 2 R 2 linearan operator oblika

Διαβάστε περισσότερα

Eliminacijski zadatak iz Matematike 1 za kemičare

Eliminacijski zadatak iz Matematike 1 za kemičare Za mnoge reakcije vrijedi Arrheniusova jednadžba, koja opisuje vezu koeficijenta brzine reakcije i temperature: K = Ae Ea/(RT ). - T termodinamička temperatura (u K), - R = 8, 3145 J K 1 mol 1 opća plinska

Διαβάστε περισσότερα

Algoritmi i strukture podataka - 1.cas

Algoritmi i strukture podataka - 1.cas Algoritmi i strukture podataka - 1.cas Aleksandar Veljković October 2016 Materijali su zasnovani na materijalima Mirka Stojadinovića 1 Složenost algoritama Približna procena vremena ili prostora potrebnog

Διαβάστε περισσότερα

OSNOVI ELEKTRONIKE. Vežbe (2 časa nedeljno): mr Goran Savić

OSNOVI ELEKTRONIKE. Vežbe (2 časa nedeljno): mr Goran Savić OSNOVI ELEKTRONIKE Vežbe (2 časa nedeljno): mr Goran Savić savic@el.etf.rs http://tnt.etf.rs/~si1oe Termin za konsultacije: četvrtak u 12h, kabinet 102 Referentni smerovi i polariteti 1. Odrediti vrednosti

Διαβάστε περισσότερα

Slika 1.1 Tipičan digitalni signal

Slika 1.1 Tipičan digitalni signal 1. DIGITALNA KOLA Kola u digitalnim sistemima i digitalnim računarima su napravljena da rade sa signalima koji su digitalne prirode, što znači da ovi signali mogu da imaju samo dve moguće vrednosti u datom

Διαβάστε περισσότερα

KVADRATNA FUNKCIJA. Kvadratna funkcija je oblika: Kriva u ravni koja predstavlja grafik funkcije y = ax + bx + c. je parabola.

KVADRATNA FUNKCIJA.   Kvadratna funkcija je oblika: Kriva u ravni koja predstavlja grafik funkcije y = ax + bx + c. je parabola. KVADRATNA FUNKCIJA Kvadratna funkcija je oblika: a + b + c Gde je R, a 0 i a, b i c su realni brojevi. Kriva u ravni koja predstavlja grafik funkcije a + b + c je parabola. Najpre ćemo naučiti kako izgleda

Διαβάστε περισσότερα

a M a A. Može se pokazati da je supremum (ako postoji) jedinstven pa uvodimo oznaku sup A.

a M a A. Može se pokazati da je supremum (ako postoji) jedinstven pa uvodimo oznaku sup A. 3 Infimum i supremum Definicija. Neka je A R. Kažemo da je M R supremum skupa A ako je (i) M gornja meda skupa A, tj. a M a A. (ii) M najmanja gornja meda skupa A, tj. ( ε > 0)( a A) takav da je a > M

Διαβάστε περισσότερα

PRIMJER 3. MATLAB filtdemo

PRIMJER 3. MATLAB filtdemo PRIMJER 3. MATLAB filtdemo Prijenosna funkcija (IIR) Hz () =, 6 +, 3 z +, 78 z +, 3 z +, 53 z +, 3 z +, 78 z +, 3 z +, 6 z, 95 z +, 74 z +, z +, 9 z +, 4 z +, 5 z +, 3 z +, 4 z 3 4 5 6 7 8 3 4 5 6 7 8

Διαβάστε περισσότερα

Deljivost. 1. Ispitati kada izraz (n 2) 3 + n 3 + (n + 2) 3,n N nije deljiv sa 18.

Deljivost. 1. Ispitati kada izraz (n 2) 3 + n 3 + (n + 2) 3,n N nije deljiv sa 18. Deljivost 1. Ispitati kada izraz (n 2) 3 + n 3 + (n + 2) 3,n N nije deljiv sa 18. Rešenje: Nazovimo naš izraz sa I.Važi 18 I 2 I 9 I pa možemo da posmatramo deljivost I sa 2 i 9.Iz oblika u kom je dat

Διαβάστε περισσότερα

HEMIJSKA VEZA TEORIJA VALENTNE VEZE

HEMIJSKA VEZA TEORIJA VALENTNE VEZE TEORIJA VALENTNE VEZE Kovalentna veza nastaje preklapanjem atomskih orbitala valentnih elektrona, pri čemu je region preklapanja između dva jezgra okupiran parom elektrona. - Nastalu kovalentnu vezu opisuje

Διαβάστε περισσότερα

Arhitektura računara

Arhitektura računara Arhitektura računara vežbe - čas 1 i 2: Minimizacija logičkih funkcija Mladen Nikolić URL: http://www.matf.bg.ac.yu/~nikolic e-mail: nikolic@matf.bg.ac.yu 1 Bulova algebra Klod Šenon je 1938. uočio da

Διαβάστε περισσότερα

PID: Domen P je glavnoidealski [PID] akko svaki ideal u P je glavni (generisan jednim elementom; oblika ap := {ab b P }, za neko a P ).

PID: Domen P je glavnoidealski [PID] akko svaki ideal u P je glavni (generisan jednim elementom; oblika ap := {ab b P }, za neko a P ). 0.1 Faktorizacija: ID, ED, PID, ND, FD, UFD Definicija. Najava pojmova: [ID], [ED], [PID], [ND], [FD] i [UFD]. ID: Komutativan prsten P, sa jedinicom 1 0, je integralni domen [ID] oblast celih), ili samo

Διαβάστε περισσότερα

MERNO-AKVIZICIONI SISTEMI U INDUSTRIJI A/D KONVERTORI SA SUKCESIVNIM APROKSIMACIJAMA

MERNO-AKVIZICIONI SISTEMI U INDUSTRIJI A/D KONVERTORI SA SUKCESIVNIM APROKSIMACIJAMA MERNO-AKVIZICIONI SISTEMI U INDUSTRIJI A/D KONVERTORI SA SUKCESIVNIM APROKSIMACIJAMA 1 1. OSNOVE SAR A/D KONVERTORA najčešće se koristi kada su u pitanju srednje brzine konverzije od nekoliko µs do nekoliko

Διαβάστε περισσότερα

4 Numeričko diferenciranje

4 Numeričko diferenciranje 4 Numeričko diferenciranje 7. Funkcija fx) je zadata tabelom: x 0 4 6 8 fx).17 1.5167 1.7044 3.385 5.09 7.814 Koristeći konačne razlike, zaključno sa trećim redom, odrediti tačku x minimuma funkcije fx)

Διαβάστε περισσότερα

Dvanaesti praktikum iz Analize 1

Dvanaesti praktikum iz Analize 1 Dvaaesti praktikum iz Aalize Zlatko Lazovi 20. decembar 206.. Dokazati da fukcija f = 5 l tg + 5 ima bar jedu realu ulu. Ree e. Oblast defiisaosti fukcije je D f = k Z da postoji ula fukcije a 0, π 2.

Διαβάστε περισσότερα

Prikaz sustava u prostoru stanja

Prikaz sustava u prostoru stanja Prikaz sustava u prostoru stanja Prikaz sustava u prostoru stanja je jedan od načina prikaza matematičkog modela sustava (uz diferencijalnu jednadžbu, prijenosnu funkciju itd). Promatramo linearne sustave

Διαβάστε περισσότερα

Zadaci iz Osnova matematike

Zadaci iz Osnova matematike Zadaci iz Osnova matematike 1. Riješiti po istinitosnoj vrijednosti iskaza p, q, r jednačinu τ(p ( q r)) =.. Odrediti sve neekvivalentne iskazne formule F = F (p, q) za koje je iskazna formula p q p F

Διαβάστε περισσότερα

1. Leksička analiza 0 1 A D A 0 B A C 0 C A F 0 D B C 0 E B C 1 F E A 1 B A C 0 C A F 0 D B C 0 E B C 1 F E A 1. (F,1)=A funkcija prelaza

1. Leksička analiza 0 1 A D A 0 B A C 0 C A F 0 D B C 0 E B C 1 F E A 1 B A C 0 C A F 0 D B C 0 E B C 1 F E A 1. (F,1)=A funkcija prelaza . Leksička analiza. Determinističku konačni automati Zadatak. (..) a) Predstaviti zadati konačni automat u alternativnoj formi, pomoću grafa prelaza. b) Izložiti nekoliko sekvenci koje ovaj automat prepoznaje.

Διαβάστε περισσότερα

SKUPOVI I SKUPOVNE OPERACIJE

SKUPOVI I SKUPOVNE OPERACIJE SKUPOVI I SKUPOVNE OPERACIJE Ne postoji precizna definicija skupa (postoji ali nama nije zanimljiva u ovom trenutku), ali mi možemo koristiti jednu definiciju koja će nam donekle dočarati šta su zapravo

Διαβάστε περισσότερα

Veleučilište u Rijeci Stručni studij sigurnosti na radu Akad. god. 2011/2012. Matematika. Monotonost i ekstremi. Katica Jurasić. Rijeka, 2011.

Veleučilište u Rijeci Stručni studij sigurnosti na radu Akad. god. 2011/2012. Matematika. Monotonost i ekstremi. Katica Jurasić. Rijeka, 2011. Veleučilište u Rijeci Stručni studij sigurnosti na radu Akad. god. 2011/2012. Matematika Monotonost i ekstremi Katica Jurasić Rijeka, 2011. Ishodi učenja - predavanja Na kraju ovog predavanja moći ćete:,

Διαβάστε περισσότερα

Skup svih mogućih ishoda datog opita, odnosno skup svih elementarnih događaja se najčešće obeležava sa E. = {,,,... }

Skup svih mogućih ishoda datog opita, odnosno skup svih elementarnih događaja se najčešće obeležava sa E. = {,,,... } VEROVTNOĆ - ZDI (I DEO) U računu verovatnoće osnovni pojmovi su opit i događaj. Svaki opit se završava nekim ishodom koji se naziva elementarni događaj. Elementarne događaje profesori različito obeležavaju,

Διαβάστε περισσότερα

ASIMPTOTE FUNKCIJA. Dakle: Asimptota je prava kojoj se funkcija približava u beskonačno dalekoj tački. Postoje tri vrste asimptota:

ASIMPTOTE FUNKCIJA. Dakle: Asimptota je prava kojoj se funkcija približava u beskonačno dalekoj tački. Postoje tri vrste asimptota: ASIMPTOTE FUNKCIJA Naš savet je da najpre dobro proučite granične vrednosti funkcija Neki profesori vole da asimptote funkcija ispituju kao ponašanje funkcije na krajevima oblasti definisanosti, pa kako

Διαβάστε περισσότερα

2 Upravljačka jedinica

2 Upravljačka jedinica 2 Upravljačka jedinica Na osnovu do sada izučavane materije iz predmeta Embedded sistemi saznali smo da stazapodataka (datapath- DP), izvršava operaciju koja je specificirana sadržajem upravljačke reči

Διαβάστε περισσότερα

Linearna algebra 2 prvi kolokvij,

Linearna algebra 2 prvi kolokvij, Linearna algebra 2 prvi kolokvij, 27.. 20.. Za koji cijeli broj t je funkcija f : R 4 R 4 R definirana s f(x, y) = x y (t + )x 2 y 2 + x y (t 2 + t)x 4 y 4, x = (x, x 2, x, x 4 ), y = (y, y 2, y, y 4 )

Διαβάστε περισσότερα

Funkcija prenosa. Funkcija prenosa se definiše kao količnik z transformacija odziva i pobude. Za LTI sistem: y n h k x n k.

Funkcija prenosa. Funkcija prenosa se definiše kao količnik z transformacija odziva i pobude. Za LTI sistem: y n h k x n k. OT3OS1 7.11.217. Definicije Funkcija prenosa Funkcija prenosa se definiše kao količnik z transformacija odziva i pobude. Za LTI sistem: y n h k x n k Y z X z k Z y n Z h n Z x n Y z H z X z H z H z n h

Διαβάστε περισσότερα

Elementi elektronike septembar 2014 REŠENJA. Za vrednosti ulaznog napona

Elementi elektronike septembar 2014 REŠENJA. Za vrednosti ulaznog napona lementi elektronike septembar 2014 ŠNJA. Za rednosti ulaznog napona V transistor je isključen, i rednost napona na izlazu je BT V 5 V Kada ulazni napon dostigne napon uključenja tranzistora, transistor

Διαβάστε περισσότερα