FIR филтар. Универзитет у Нишу Електронски факултет Катедра за Електронику. Предмет: DSP архитектутре и алгоритми Април 2010

Μέγεθος: px
Εμφάνιση ξεκινά από τη σελίδα:

Download "FIR филтар. Универзитет у Нишу Електронски факултет Катедра за Електронику. Предмет: DSP архитектутре и алгоритми Април 2010"

Transcript

1 Универзитет у Нишу Електронски факултет Катедра за Електронику Предмет: DSP архитектутре и алгоритми Април 2010 FIR филтар Студенти: Жељко Банковић Ментор : Милан Ерић Миле Стојчев Милан Радосављевић Милош и Немања Савић и 12367

2 Садржај Увод Шта је сигнал? Разлике између аналогних и дигиталних сигнала...5 Филтри Саставни делови филтра Структура FIR филтра IIR филтри Реализација Хардверска реализација Софтверска реализација Прорачунавање филтра уз помоћ MATLAB -a VHDL имплементација FIR_top Stage First_stage Clock-gate Тестирање Резултати имплементације

3 Увод Дигитална обрада сигнала (DSP) је постала веома популарна област проучавања највише захваљујући напретку теорије комуникација, дигиталне (рачунарске) технологије и потрошачких апарата. Покретачка сила која стоји иза овог напретка је свакако потреба да се уређаји учине још бољим, а DSP нуди многе технике за то. На пример, људи воле да уживају у музици и воле да преузимају нове песме са Интернета, међутим са спорим везама би процес преузимања трајао сатима. Са програмима за MP3 компресију, величина песама се безмало смањује за 90% и може се преузети за само неколико минута. MP3 верзија песме није иста као оригинал, али је довољно добра апроксимација да велики број људи не може да је разликује од оригинала. Како је ово могуће? Прво је потребно познавање песме (односно сигнала) и како је дигитално представљена, на основу чега можемо да направимо алгоритам за уклањање података које кориснику неће недостајати. Све ово је део дигиталне обраде сигнала. 1.1 Шта је сигнал? Сигнал је променљиви феномен који се може мерити. Обично је то нека физичка величина која се мења са временом, мада је могућа и зависност од других фактора, као што је простор. Неки примери сигнала су звук (или прецизније акустични притисак), напон (на пример разлика напона коју ствара микрофон), слика коју преноси дигитална камера, итд. Температура је друга врста сигнала, вредности које меримо у току дана (на пример сваког сата) ће се мењати од хладно (рано ујутру), па све топлије и топлије (до поподнева) након чега долази хладније (предвече) и на крају хладно (ноћ). Неретко се јавља потреба за праћењем сигнала у току неког временског периода, на пример уколико намеравате да путујете у неки удаљени град, средња температура у том граду вам даје грубу процену шта треба понети од одеће, али ако се има увид у то како се мења температура у току дана, знаћемо да ли да понесемо јакну или не. Измерени сигнали могу да имају грешку због ограничености мерних инструмената, односно због околине у којој се мере. На пример, хладни поветарац може да утиче на температурни сензор. Реални сигнали као што је температура се могу мерити континуално, тако да кад год погледате термометар, можете очитати вредност, па чак иако је време очитавања произвољно мало. Температуру можете бележити сваке секунде, минута или сата, али интуитивно разумете да температура има и вредности између очитавања које ви не знате. Уколико на пример мерите температуру сваког сата, тиме са намером игноришете све вредности између два тренутка мерења. Када континуални сигнал мерите периодично, тада као резултат мерења имате репрезентацију сигнала. Појам сигнала се може разумети као (континуални или дискретни) низ (континуалних или дискретних) вредности. Вредност континуалних сигнала постоји за било коју произвољну вредност индекса (можете мерити температуру у подне или ако желите у секунди након поднева). Дискретни сигнали имају ограничења што се тиче индекса, чија 3

4 вредност мора бити цео број. На пример, може се забележити маса свих планета нашег сунчевог система, означавајући планете на основу њихове релативне удаљености од сунца. Због једноставности се узима да дискретни сигнал има целобројне индексе, при чему се мора знати зависност између индекса и времена (или неког другог параметара). Вредности сигнала, исто тако могу бити са произвољном прецизношћу (континуалне) или са ограниченом прецизношћу (дискретне). Дискретне вредности не значе и целобројне, како би се могло помислити, већ су то најчешће рационални бројеви. На пример, температура од 72.3 F се може представити као. Из овога следи да се ирационални бројеви не могу представити у рачунару, већ само могу бити апроксимирани. За π можете узети вредност 3,14, али је то само приближна вредност, исто је уколико узмете вредност 3, , што значи да можете π да предтавите и са 50 милиона децимала, то ће опет бити апроксимација. Пример сигнала са дискретним вредностима и континуалним параметром би био број људи у некој згради у току времена. Време се може мерити деловима секунде, број људи ће увек бити целобројна вредност. Такође, могуће је имати сигнал са континуалним вредностима где је индекс дискретна вредност, на пример време рођења сваке особе у граду. Особа 4 може бити старија само микросекунду од особе 5, па они технички ипак нису рођени истовремено, што не значи да две особе не могу бити рођене у исто време, већ да можемо да одаберемо коју год желимо тачност приликом мерења времена. Већина сигнала из природе има континуалне вредности, али су сигнали унутар рачунара дискретни. Дискретни сигнали често представљају апроксимацију континуалних сигнала. Конвенционални начин обележавања дискретних сигнала је, док се континуални сигнали обележавају као. Стога постоје четири врсте сигнала: Сигнали који имају континуалне вредности за континуалну вредносту параметра. Реалан свет је препун оваквих сигнала, али уколико их желимо да обрађујемо помоћу рачунара, потребно је да их апроксимирамо. Сигнали који имају континуалне вредности за дискретне вредности параметра односно независно променљиве. Сигнали који имају дескретне вредности за континуалне вредности параметра. Сигнали који имају дискретне вредности за дискретне вредности параметра. Овакви сигнали се користе унутар рачунара, с тим што је опсег бројева који се могу представити ограничен. Сигнали који припадају првој групи су познати као аналогни, док су сигнали четврте групе познати као дигитални. У дигиталном свету сигнал није ништа друго до низ бројева и може се замислити као вектор, односно једнодимензионална матрица. Постоје и вишедимензионални сигнали, као што су слике које су дводимензионални сигнал. Постматрање сигнала као матрице је веома битно због тога што је тада могућа примена знања из линеарне алгебре над њима. Значење тих бројева зависи како од примена тако и од временске диференце између вредности. Стога имамо да низ бројева може да представља промену акустичног притиска 4

5 мерену сваке милисекунде или вредност температуре у степенима Целзијуса мерену сваког сата. 1.2 Разлике између аналогних и дигиталних сигнала Као што смо већ раније поменили, постоје две врсте сигнала: аналогни и дигитални. Назив аналогни потиче од речи аналогија, континуални сигнали (сигнали из реалног света) се могу конвертовати у различите форме, као што је на пример аналогна копија, приказана на слици 1.1. На овој слици видимо репрезентацију ваздушног притиска детектованог од стране микрофона у току времена. Касетофон на који је прикључен микрофон прави копију сигнала тако што подешава магнетизацију траке која пролази испод главе за читање и писање. На овај начин се оригинални сигнал (ваздушни притисак променљив у времену) представља као магнетизација по дужини траке. Касније се, наравно, садржај траке може читати, при чему магнетизам покретне траке утиче на наелектрисања која пролазе кроз магнетну главу, при чему се добија веома слаб сигнал који треба појачати и проследити до звучника који претварају електрични сигнал у акустичне вибрације. Аналогни сигнал је сигнал који има континуалне вредности и може се одмеравати у произвољном временском тренутку и са произвољном тачношћу. Аналогни сигнали се могу представити као функције. Уколико добро познајете сигнал могуће је да га представите математичком функцијом или апроксимирате уколико је апроксимација задовољавајућа за дату примену. Математичке функције су компактне и лако се манипулише њима. Ознака значи да је реч о аналогном сигналу. Временска променљива, t, може имати било коју вредност из скупа реалних бројева. Слика 1.1 5

6 Дигитални сигнали са друге стране имају дискретне вредности. Поступак дибијања дигиталног сигнала од аналогног је познат као одмеравање, а аналогни сигнал се одмерава у унапред одређеном временском тренутку након чега се добијена вредност памти. Вредностима дигиталног сигнала се приступа помоћу индекса који је најчешће цео број. Дигитални сигнали се означавају као. Променљива n и t су у следећој релацији: -, где је периода одмеравања. Уколоко мерите спољашњу температуру сваког сата, тада је периода одмеравања, а ви мерите вредност у тренутку (0 сати, почетно време) затим у (1 сат) (2 сата), (3 сата), итд. Овако је настала квантизација сигнала у времену, што значи да имамо вредности сигнала само у одреженим временским тренуцима. Периода одмеравања сигнала не мора бити цео број. На слици 1.2 је приказан један пример одмеравања. На првом графику је приказана континуална функција у времену. На другом графику је приказан поступак одмеравања који се може схватити као множење континуалне функције функцијом која има вредности 1 у тачно одређеним временским тренуцима, а иначе има вредност 0. Последњи график приказује резултујући дигитални сигнал. Слика 1.2 Уколико претпоставимо да сигнал x има следеће вредности и. Да ли можемо да закључимо да је? Проблем је што немам вредност сигнала за, па би свака интерполација требала бити изведена пажљиво. 6

7 Амплитуда дигиталних сигнала се такође дискретизује. Вредност добијена након одмеравања се смешта у меморију. Свака меморијска локација се карактерише коначном тачношћу. Уколико је број који се смешта у меморију превише мали или превише велики тада ће у меморијску локацију бити смештена одсечена вредност. Као пример ћемо узети бензинску пумпу, где је могуће приказати цену купљеног горива са пет цифара, од чега прве три цифре представљају динаре, а последње две паре. Уколко неко сипа гориво за динара, а затим покуша да сипа за још две пара, тада ће на дисплеју бити приказан износ од само динара, због тога што дисплеј не може да прикаже тако велики број. Слична је ситуација уколико неко хоће да купи гориво за хиљадити део паре, тада ће на дисплеју увек бити динара. Иста је ситуација и са меморијом, вредност коју треба сместити не сме бити ни превелика ни премала, иначе ће бити одсечена да би се уклопила у капацитет меморијске локације. Вредност може бити истовремено и премала и превелика, на пример на дисплеју бензинске пумпе ће бити приказано динара уколико је вредност купљеног горива Слика 1.3 приказује како сигнал може да се појави на три различита начина: као аналогни сигнал, као дигитални сигнал и као аналогни сигнал који се заснива на дигиталном сигналу. Уколико се сигнал из реалног окружења дигитализује ради обраде у рачунару и затим га конвертујемо назад у реални свет, можемо да уочимо сва три начина представљања. На првом графику је приказ сигнала из реалног окружења на осцилоскопу. График у средини приказује изглед сигнала добијен одмеравањем сигнала са првог графика сваких десет милисекунди. Последњи график приказује изглед реконструисане верзије почетног сигнала, која је добијена на основу дигиталних вредности. Иако је облик оригинала и реконструисаног сигнала, грубо гледано, идентичан, разлике су уочљиве. Слика 1.3 7

8 Аналогна обрада сигнала је јефтинија зато што се користе релативно јефтинији елементи као што су отпорници, кондензатори, операциони појачавачи, итд. Код дигиталне обраде сигнала користимо множаче, сабираче, елементе за кашњење (регистре). Дигитална обрада сигнала је доста флексибилнија од аналогне обраде, на пример исправљање и откривање грешака се веома лако имплементира у дигиталној обради сигнала. Филтри Веома често желимо да манипулишемо сигналом, на пример појачате јачину звука на вашем музичком уређају или појачате бас (звук ниске фреквенције) или подешавате фреквенцију звука помоћу еквилајзера. Ови примери не морају бити дигитална обрада сигнала јер могу бити реализовани и помоћу аналогних уређаја, али нам указују на који начин би можда желели да утичемо на сигнал. Филтри нам омогућавају да мењамо сигнал на неки од наведених начина. Како функционишу филтри, каква је њихова архитектура и како се праве су нека питања на која ћемо покушати да дамо одговоре. Слика 2.1 један приказује резултате филтрирања једног произвољног сигнала. На првом графику је приказан сигнал који се филтрира. На другом графику је сигнал на излазу из нискофреквентног филтра (филтар који пропушта ниске фреквенције) и да се приметити велика сличност са оригиналним сигналом. Филтрирани сигнал има једну вредност више од оригиналног сигнала, због такозваног ефекта филтра (број излазних вредности из филтра је једнак броју улазних вредности увећан за број коефицијената филтра мање један). На последњем графику је сигнал на излазу из високофреквентног филтра (филтар који пропушта високе фреквенције) и може да се уочи да је већина вредности у околини нуле што указује на мале промене сигнала. Слика 2.1 8

9 Фреквентни спектар сигнала је дат на слици 2.2, а на изглед карактеристике утичу нуле које долазе након последње вредности сигнала. На графику у средини је приказан импулсни одзив нискофреквентног филтра, који указује на то како ће филтар утицати на наш улазни сигнал. Ниске фреквенције ће проћи без промена, али ће зато високе фреквенције бити ослабљене. На пример фреквенција од 60Hz на улазу ће бити присутна на излазу али са само 10% своје оригиналне снаге. Најнижи график указује на импулсни одзив филтра пропусника високих фреквенција, који пропушта високе фреквенције и слаби ниске. Нормално је за очекивати да је карактеристика филтра мање више као правоугаони талас, али су филтри у овом примеру имају само два коефицијента за нискофреквентни филтар и за високофреквентни филтар. Слика Саставни делови филтра Као што је раније поменуто, постоји само неколико елемената који чине филтар, сабирачи, множачи и елементи за кашњење. Да би увидели како раде филтри, показаћемо сабирач који је приказан на слици 2.3, а затим множач, на слици 2.4. Сабирачи и множачи раде са једном вредношћу сигнала у једном тренутку. Индекси су веома битни и уколико један није на свом месту то утиче на 9

10 излаз. На ово ћемо се вратити касније због тога што елементи за кашњење имају утицаја на индексе. Пример Ако је и колико је са слике 2.3? Слика 2.3 Одговор:,,,,,, Закључујемо да је. Пример Ако је и колико је са слике 2.4? Слика 2.4 Одговор:,,,,,, 10

11 Закључујемо да је. Пример Ако је и колико је са слике 2.5? Sluka 2.5: Пример FIR филтра са коефицијентима 0.5 и -0.5 Одговор: Видимо да је Јединица за кашњење помера сигнал (у времену). Уколико је вредност неког индекса изван унапред задатог опсега, тада се за тај индекс узима да је вредност сигнала нула. На пример, ако сигнал y настаје једноструким кашњењем сигнала x (као што је приказано на слици 2.6) и ако је, тада је. Математичке релације су следеће:,,,,,, Јасно је следеће:. Јединице за кашњење се често обележавају са уместо са, а разлог је примена Z трансформације. 11

12 Слика Структура FIR 1 филтра Сада када смо се упознали са елементима филтра, представићемо неке FIR филтре и објаснити неке основне особине, као што су описивање FIR филтра помоћу једначина и довођење јединичне импулсне функције на улаз филтра. Структура FIR филтара је сасвим регуларна (стална) и уколико су нам познате вредности (бројеви) које одговарају множачима, филтар може бити потпуно специфициран (описан). Ови бројеви се називају коефицијенти филтра. На слици 2.7 је пример једног FIR филтра. Са шеме се може видети да не постоји никаква повратна спрега са излаза, па излаз зависи само од претходних улаза, што је битна особина ових филтара. Ако претпоставимо да је улаз филтра са слике 2.7, на излазу ћемо имати и све нуле након тога. У тренутку 0, на улазу је, а узимамо да су сви претходни улази били 0, тако да је излаз из елемента за кашњење такође 0. У следећем временском тренутку се све помера на доле, тако да на излазу из елемента за кашњење имамо, док је на улазу филтра. Слика 2.7 Како би могли да изразимо у функцији од? Уколико погледамо како се добијају и, можемо да дођемо до обрасца на следећи начин: Можемо да закључимо да важи: 1 Филтри са коначним импулсним одзивом 12

13 Пример Уколико је на слици 2.8,, колико је онда y. Изразити y као једначину од x. Слика 2.8: Пример FIR филтра са коефицијентима 0.6 и 0.2 Одговор: Није тешко уочити да је излаз једнак 0.6 када је на улазу 1, али не смемо стати овде, зато што ће 1 бити излаз из елемента за кашњење у наредном тренутку. За све остале улазе узимамо да су једнаки нули, тако да у наредном тренутку имамо да је на излазу. Да би нашли зависност треба да се уочи да у тренутку n на излазу имамо, што нас води до следеће једначине: На слици 2.9 је приказана општа структура FIR филтра са К+1 коефицијената. Број коефицијената се такође назива број тапова. За филтар са К+1 коефицијената (тапова) кажемо да је филтар К-тог реда. Када знамо структуру са слике 2.9 можемо да одредимо вредности на излазу и да дођемо до следеће једначине: Претходни израз је могуће краће записати као следећу суму: 13

14 Слика 2.9: Општа структура FIR филтра 2.6 IIR филтри Филтри са коначним импулсним одзивом (FIR) користе само вредности улаза приликом израчунавања вредности излаза. Уколико дозволимо повратну спрегу са излаза, тада импулсни одзив не мора бити коначан, стога се филтри са повратном спрегом називају филтри са бесконачним импулсним одзивом односно IIR филтри. Ако размотримо филтар са слике 2.10 видећемо да је једначина која описује вредности излаза следећа: Најчешће се у оваквим једначинама прво наводе чланови који представљају закашњене вредности излаза. Можемо да закључимо да вредност излаза делимично зависи и од вредности претходног излаза. Слика 2.10: Филтар са повратном спрегом 14

15 Уколико се на улаз доведе јединични импулс, на излазу се јављају следеће вредности: Улаз Излаз Вредност излаза се смањује из итерације у итерацију и тежи да достигне нулу. С обзиром да је у питању импулсна побуда филтра, све наредне вредности улаза ће бити једнаке нули тако да је излаз увек 0.4 пута мањи од претходног излаза. Теоретски гледано, излаз никада неће достићи нулу, али у пракси је ипак достиже због коначне тачности филтра. Уколико погледамо филтар са слике 2.11, веома је сличан са претходним, једина битна разлика је што је коефицијент у повратној спрези 1. Излаз овог филтра је: Слика 2.11 Улаз Излаз

16 Филтар даје на излазу 0.8, докле год је на улазу 0, што је основна главна особина филтара са бесконачним импулсним одзивом. Постоје и ситуације које могу бити непожељне, а које настају због вредности коефицијената у повратној спрези. Један филтар са непожељним понашањем је приказан на слици 2.12, структура је иста као и код претходна два, с тим што коефицијент у повратној спрези има вредност 1.1. Слика 2.12 Улаз Излаз Излаз ће све више и више да се повећава. Ово приказује проблем код IIR филтара код којих излаз може да тежи бесконачности (позитивној или негативној), да осцилује од бесконачности до бесконачности. За филтар се каже да је стабилан уколико излаз тежи нули када улаз падне на нулу, условно стабилан уколико осцилује око константне вредности и нестабилан уколико излаз тежи бесконачности или осцилује од бесконачности до бесконачности (као што је малопре наведено). Општи облик IIR филтра је приказана на слици 2.13, оваква структура се назива и директни облик (форма). 16

17 Слика 2.13 Општи облик једначине је: Реализација дигитални филтар узима поворку дигиталног сигнала на улазу и генерише на излазу сигнал одређених карактеристика. Слика 3.1 приказује блок дијаграм популарног дигиталног филтра знаног као FIR филтар. X и Y су n-битне ширине, а у нашем случају су то 8 бита ширине. Слика 3.1 Пример: размотримо следећи пример поворке дигиталног сигнала температурних вредности које доводимо на X улаз из мотора аутомобила, тј. из сензора који се налази у мотору аутомобила сваке секунде: 180,181,240,180,181. Температура од 240 степени највероватније није исправно мерење, температура мотора аутомобила не може да порасте 17

18 60 степени за једну секунду. Дигитални филтар уклања такве вредности шум из улазне поворке сигнала генеришући на излазу поворку: 180,180,181,180,181 Слика 3.1 приказује блок диjаграм FIR филтра. Основна идеја FIR филтра је врло једноставна: приказати излаз као резултат који се добија вишеструким множењем улазних вредности са константама и њиховим сабирањем. Тренутна вредност улазног сигнала се множи са одговарајућом константом и тај резултат се сабира са производом претходне вредности улазног сигнала и одговарајуће константе, тај резултат се сабира са предходним међурезултатом итд. На тај начин се на излазу филтра добија просечна вредност улазног сигнала. Све ово је изражено одређеном математичком релацијом: Y(t)= c0*х(t) + c1*х(t-1) + c2*х(t-2). Постоје две главне форме реализације FIR филтара: хардверска са pipeline структуром и софтверска са дистрибуираном аритметиком. Свака има одређене предности и мане које ће бити детаљно објашњене у наставку овог рада. 3.1 Хардверска реализација FIR филтар са горе наведеном релацијом је познат као 3-секцијски филтар. Стварни филтри имају више од десет секција, ми овде разматрамо филтар са три секције због илустрације. Дизајн филтра омогућава филтрирање простим избором константи филтра. Први корак у хардверском пројектовању је реализација стазе података. Потребан нам је регистар за сваку промењиву: x(t), x(t-1), x(t-2). На сваку промену тактног сигнала имамо померање x(t-1) у x(t-2), x(t) у x(t-1) и прихватање тренутне вредност улазног сигнала. Регистри за прихватање сигнала приказани су на слици 3.2. Слика

19 Начин за померање сигнала надесно у сваком тактном циклусу изгледа овако, регистар Х t0 држи садашњу вредност улазног сигнала, Х t1 држи предходну вредност улазног сигнала, а Х t2 држи вредност улазног сигнала који је био у Х t1 регистру. Сада нам треба још по један регистар за сваку секцију за прихватање константи C0,C1 и C2. Треба нам за сваку секцију још и по један множач који множи константу са промељивом. Изглед структуре приказан је на слици 3.3. Слика 3.3 Излазни сигнал Y је сума свих тапова. Ми према томе требамо додати одговарајуће сабираче и израчунату суму повезати на излаз Y, што је приказано на слици

20 Слика 3.4 Овим смо завршили стазу података. Остаје нам метод како да уписујемо константе у регистре C0, C1 и C2. Хајде да креирамо још један улаз и линију уписа CL, и двобитне адресе Cа1 и Cа0, које служе за упис константи у сваки регистар понаособ. У комбинацији Cа0Cа1=00 селектује се регистар C0 и може да се упише у њега, комбинација 01 селектује С1 и 10 селектује C2, а када је 11 тада нема уписа. За реализацију нам је у овом примеру потребан декодер типа 2x4, где су Cа1 и Cа0 улази декодера а CL је улазни сигнал дозволе, упис у регистре врши се на растућој ивици тактног сигнала и када је CL=1, слика

21 Слика 3.5 Главна предност при оваквој реализацији FIR филтра је његова брзина. Наиме овако реализован филтар може да прихвати и обради сигнал који је одабиран фреквенцијом једнакој фреквенцији система (тактног сигнала) јер сви множачи раде паралено. Постојање великог броја множача је уједно и основни недостатак овако реализованог филтра јер је множач доста сложено коло па постојање више њих значи доста већу површину дизајна као и знатно већу потрошњу. Као пример навешћемо да графички процесор на најновијем nvidia графичком адаптеру за персоналне рачунаре, представљен на овогодишњем CeBIT-у, има потрошњу 400W. 3.2 Софтверска реализација Одмерци се доводе на улаз DIN један по један. ND= 1 указује да је на улазу доступан нови одмерак. Након извршеног процесирања FIR поставља RDY= 1 и на излазу DOUT поставља вредност излазног одмерка. Структура FIR филтра приказана на слици 3.6 представља реализацију засновану на дистрибуираној аритметици. Дијаграм је нацртан 21

22 под претпоставком да је дубина FIR-а 8 (број тапова) и да се одмерци представљају са 8 бита. Број тапова одређује број редно повезаних померачких регистара (SR), а тиме и капацитет RОМ-а, док дужина одмерака одређује дужину померачких регистара. Следећа претпоставка је да се за представљање парцијалних сума такође користи 8 бита (број излазних бита из RОМ-а). Овај број одређен је сумом кефицијената FIR-а. Слика 3.6 Први померачки регистар у низу (PSC) има могућност паралелног уписа, док преосталих 7 поседују само серијски улаз и серијски излаз. Акумулатор (спрега сабирача/одузимача и регистра) се реализује тако што се са излаза регистра на улаз сабирача/одузимача враћа 7 (у овом случај) бита односно сви осим бита најмање тежине уз знаковно проширење за један бит (ефекат је идентичан померању за једну позицију удесно излазне вредности дељење са 2). 22

23 Управљачка јединица спроводи један комплетан циклус израчунавања једног излазног одмерка. Чека на ND, а онда уписује улазни одмерак у PSR, иницијализује акумулатор (ресетује регистар акумулатора) и иницијализује бројач битова (count, за постављене параметре филтра са слике, М има вредност 8). Затим улази у петљу у којој обавља 8 циклуса читања RОМ-а/сабирања-одузимања/померања. При том, у последњем (осмом) циклусу уместо сабирања бира операцију одузимања. По завршеном раду, управљачка јединица се враћа у иницијално стање и активира сигнал RDY. Kao што је на почетку речено филтар прихвата следећи одмерак тек након постављања RDY= 1 односно у овом случају 8 тактних циклуса. Ипак ова реализација је веома популарна у примерима када се филтрирају споро променљиви сигнали. 3.3 Прорачунавање филтра уз помоћ MATLAB -a Пројектовање и израчунавање коефицијената филтра се реализује помоћу програма FDATOOL који је присутан у програмском пакету MATLAB. Овај програм се позива наредбом fdatool из команде линије, након чега се појављује интерфејс као на слици 3.7. Слика 3.7 Сада је потребно да се наведу особине будућег филтра (фреквенција одмеравања, гранична фреквенција пропусног опсега и почетна фреквенција непропусног опсега). У нашем случају, филтар је пропусник ниских фреквенција петог реда, фреквенција одмеравања је 300 Hz, гранична фреквенција пропусног опсега 50 Hz, гранична 23

24 фреквенција непропусног опсега 100 Hz. Такође треба узети у обзир коначну дужину речи, која је у нашем случају осмобитна (један бит знака и седам битова за разломљени део, односно Q0.7) и шеснаестобитна (Q0.15). Програм нуди велики број могућих начина за синтезу филтра, у нашем случају је то FIR equiripple типа. Фреквенцијска карактеристика, фазна карактеристика и карактеристика групног кашњења су приказани на сликама 3.8, 3.9 и 3.10 за осмобитни филтар и 3.11, 3.12 и 3.13 за шеснаестобитни филтар респективно. Коефицијенти филтра се експортују у текстуални фајл и касније се у testbench-у уписују у филтар, као што је приказано испод, за шеснаестобитне вредности коефицијената: % % Generated by MATLAB(R) 7.6 and the Signal Processing Toolbox 6.9. % % Generated on: 05-May :56:14 % % Coefficient Format: Binary % Discrete-Time FIR Filter (real) % % Filter Structure : Direct-Form FIR % Filter Length : 6 % Stable : Yes % Linear Phase : Yes (Type 2) % Arithmetic : fixed % Numerator : s16,14 -> [-2 2) % Input : s16,15 -> [-1 1) % Filter Internals : Full Precision % Output : s31,29 -> [-2 2) (auto determined) % Product : s29,29 -> [ e e-001) (auto determined) % Accumulator : s31,29 -> [-2 2) (auto determined) % Round Mode : No rounding % Overflow Mode : No overflow Numerator:

25 Слика 3.8: Фреквентна карактеристика Слика 3.9: Фазна карактеристика 25

26 Слика 3.10: Групно кашњење Слика 3.11: Фреквентна карактеристика 26

27 Слика 3.12: Фазна карактеристика Слика 3.13: Групно кашњење 27

28 3.4 VHDL имплементација У протеклих неколико година је велика пажња усмерена на имплементацију алгоритама за обраду сигнала у VLSI, било да је то VLSI по наруџбини или DSP опште намене. За постизање великих брзина, уводи се што већи степен паралелизма кроз systolic и wavе front методе. Приказана паралелна архитекрура је прилагођена за имплементацију филтара код којих је сваки од коефицијената једнак збиру или разлици степена броја два. Овакав приступ омогућава велике брзине одмеравања и имплементацију филтара знатне дубине на новијим генерацијама FPGA чипова, традиционалним CMOS колима по наруџбини (потпуно или делимично). Велике брзине одмеравања се постижу због проточне структуре и веома ограничених вредности коефицијената. У бинарној аритметици се множење степеном двојке реализује операцијом померања. Реализација система са множењем се поједностављује увођењем коефицијената који се састоје од збира ограниченог броја степена двојке, тако да је потребан мали број операција померања и сабирања. Структура филтра који смо пројектовали је приказана на слици Филтар конфигурабилан како са становишта коефицијената, тако и са становишта могућег реда филтра. Наиме иако је филтар иницијално петог реда могуће је довођењем одговарајућих контролних улаза изабрати четврти, трећи, други или први ред. Елементима филтра који се не користе не доводи се такт. Ред филтра се одабира помоћу тробитног улазног сигнала sel_red који се води на декодер, а излази декодера су сигнали дозволе за clk gate ове, излазни мултиплексер пропушта одговарајућу вредност. sel_red Ред филтра 001 Први ред 010 Други ред 011 Трећи ред 100 Четврти ред 000, 101, 110 и 111 Пети ред Уписивање коефицијената у филтар се обавља тако што се на улаз филтра, koef, постави жељена вредност коефицијента, а затим се преко улаза sel_koef одабира регистар у који се уписује. Вредности селекторских сигнала су приказане у следећој табели: 28

29 sel_koef koeficijenti 000 koef a[0] 001 koef a[1] 010 koef a[2] 011 koef a[3] 100 koef a[4] 101 koef a[5] Гејтовање такта се реализује помоћу посебне компоненте која ће бити објашњења касније. Уколико одаберемо филтар петог реда, такт ће долазити до свих компонената филтра. Код филтра четвртог реда се забрањује такт компонентама које су сувишне за реализацију четвртог реда. Аналогно је и са тактом код филтара трећег и другог реда. У следећој табели је приказана зависност сигнала дозволе clock gate-а и улазног сигнала sel_red. sel_red Гејтовање такта 001 гејтује се такт другом, трећем, четвртом и петом степену 010 гејтује се такт трећем, четвртом и петом степену 011 гејтује се такт четвртом и петом степену 100 гејтује се такт петом степену 000, 101, 110 и 111 нема гејтовања такта Након промене реда филтра и уписа нових коефицијената, потребно је да се сигнал rst постави на 1 у трајању од једног тактног интервала. 29

30 x[n] koef sel_red n a[5] m a[4] m a[3] m a[2] m a[1] m a[0] m dekoder D D D D D sel_koef CG CG CG CG clk gate_en gate_en gate_en gate_en dekoder y[n] Слика

31 3.4.1 FIR_top Што се тиче описа у VHDL-у, код је организован кроз неколико целина. Сваки тап је описан као компонента и инстанциран у вршном ентитету. Вршни ентитет такође садржи и четири clock-gate кола и адресни декодер који служи за унос коефицијената филтра. У наставку текста ће сваки елемент бити детањније објашњен. VHDL опис ове компоненте је: library ieee; use ieee.std_logic_1164.all; entity FIR_top is generic(n: integer := 16; m: integer := 16); port( clk: in std_logic; rst: in std_logic; data_in: in std_logic_vector(n-1 downto 0); koef: in std_logic_vector(m-1 downto 0); sel_koef: in std_logic_vector(2 downto 0); sel_red: in std_logic_vector(2 downto 0); data_out: out std_logic_vector(n+m-1 downto 0) ); end FIR_top; architecture FIR_top of FIR_top is component stage is generic(n: integer := 8; m: integer := 8); port( x: in std_logic_vector(n-1 downto 0); pret: in std_logic_vector(m+n-1 downto 0); koef: in std_logic_vector(m-1 downto 0); wr_en: in std_logic; rst: in std_logic; clk: in std_logic; pass: out std_logic_vector(m+n-1 downto 0) ); end component; component first_stage is generic (n: integer := 8; m: integer := 8); port( clk: in std_logic; rst: in std_logic; x: in std_logic_vector(n-1 downto 0); koef: in std_logic_vector(m-1 downto 0); wr_en: in std_logic; 31

32 pass: out std_logic_vector(n+m-1 downto 0) ); end component; component clk_gate is port( clk: in std_logic; en: in std_logic; g_clk: out std_logic ); end component; type polje is array(1 to 6) of std_logic_vector(n+m-1 downto 0); signal pret_pom: polje; signal wr_en_pom: std_logic_vector(0 to 5); signal clk_pom: std_logic_vector(0 to 5); signal gate_en: std_logic_vector(0 to 3); begin g: for i in 1 to 5 generate tap: stage generic map(n, m) port map( clk => clk_pom(i), rst => rst, x => data_in, pret => pret_pom(i), wr_en => wr_en_pom(i), pass => pret_pom(i+1), koef => koef ); end generate; g1: for i in 0 to 3 generate gate: clk_gate port map( clk => clk, en => gate_en(i), g_clk => clk_pom(i) ); end generate; clk_pom(4) <= clk; clk_pom(5) <= clk; tap0: first_stage generic map(n, m) port map( clk => clk_pom(0), 32

33 rst => rst, wr_en => wr_en_pom(0), x => data_in, koef => koef, pass => pret_pom(1) ); wr_en_pom <= "100000" when sel_koef = "101" else -- 5 koeficijent "010000" when sel_koef = "100" else -- 4 koeficijent "001000" when sel_koef = "011" else -- 3 koeficijent "000100" when sel_koef = "010" else -- 2 koeficijent "000010" when sel_koef = "001" else -- 1 koeficijent "000001" when sel_koef = "000" else -- 0 koeficijent "000000"; gate_en <= "0000" when sel_red = "001" else red "0001" when sel_red = "010" else red "0011" when sel_red = "011" else red "0111" when sel_red = "100" else red "1111"; red data_out <= pret_pom(6); end fir_top; Stage Ову компоненту (слика 3.15) можемо слободно назвати основним градивним блоком филтра. Она обаља функцију једног тапа филтра, односно обавља једно множење, једно сабирање и једно померање у времену. Као што се може наслутити ова компонента садржи множач, сабирач и регистар који баферује међурезултате. Изузетак је први тап који садржи само множач. Због тога је овај тап посебно описан као компонента first_stage. X[n] n koef m a[n] iz prethodnog D ka sledecem Слика

34 VHDL опис ове компоненте је: library ieee; use ieee.std_logic_1164.all; entity stage is generic(n: integer := 8; m: integer := 8); port( x: in std_logic_vector(n-1 downto 0); pret: in std_logic_vector(m+n-1 downto 0); koef: in std_logic_vector(m-1 downto 0); wr_en: in std_logic; rst: in std_logic; clk: in std_logic; pass: out std_logic_vector(m+n-1 downto 0) ); end stage; architecture stage of stage is component mnozac is generic (n: integer; m:integer); port( a: in std_logic_vector(n-1 downto 0); b: in std_logic_vector(m-1 downto 0); c: out std_logic_vector(m+n-1 downto 0) ); end component; component sabirac is generic(n: integer; m:integer); port( a: in std_logic_vector(m+n-1 downto 0); b: in std_logic_vector(m+n-1 downto 0); c: out std_logic_vector(m+n-1 downto 0) ); end component; signal koef1: std_logic_vector(m-1 downto 0); signal proizvod, pret1: std_logic_vector(n+m-1 downto 0); begin mnoz: mnozac generic map(n, m) port map(a => x, b => koef1, c => proizvod); process(clk, rst) begin if rst = '1' then koef1 <= (others => '0'); elsif (clk'event and clk = '1') then if wr_en = '1' then koef1 <= koef; 34

35 end if; end if; end process; process(clk, rst) begin if rst = '1' then pret1 <= (others => '0'); elsif (clk'event and clk = '1') then pret1 <= pret; end if; end process; sab: sabirac generic map(n, m) port map(a => proizvod, b => pret1, c => pass); end stage; Поменути множач је описан бихевиорално. Операнди су му генерички тј. могу бити конфигурабилне дужине. Дужина резултата је једнака збиру дужина операнада. Што се тиче формата бројева, користе се означени бројеви представљени у двојичном комплементу. VHDL опис је дат у наставку: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity mnozac is generic (n: integer := 8; m: integer :=8); port( a: in std_logic_vector(n-1 downto 0); b: in std_logic_vector(m-1 downto 0); c: out std_logic_vector(m+n-1 downto 0) ); end mnozac; architecture mnozac of mnozac is signal a1: signed(n-1 downto 0); signal b1: signed(m-1 downto 0); signal c1: signed(m+n-1 downto 0); begin a1 <= signed(a); b1 <= signed(b); c1 <= a1 * b1; c <= CONV_STD_LOGIC_VECTOR(c1, m+n); end mnozac; Сабирач је такође описан бихевиорално. Операција сабирања се такође обавља над означеним бројевима представљеним у двојичном комплементу. VHDL опис је дат у наставку: 35

36 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity sabirac is generic(n: integer := 8; m: integer := 8); port( a: in std_logic_vector(m+n-1 downto 0); b: in std_logic_vector(m+n-1 downto 0); c: out std_logic_vector(m+n-1 downto 0) ); end sabirac; architecture sabirac of sabirac is signal a1: signed(m+n-1 downto 0); signal b1, c1:signed(m+n-1 downto 0); begin a1 <= signed(a); b1 <= signed(b); c1 <= a1 + b1; c <= CONV_STD_LOGIC_VECTOR(c1, m+n); end sabirac; First_stage Компонента first_stage се разликује од предходно описане компоненте stage јер садржи само множач. Излаз ове компоненте представља производ тренутног одмерка на улазу и коефицијента VHDL опис ове компоненте је: library ieee; use ieee.std_logic_1164.all; entity first_stage is generic (n: integer := 8; m: integer := 8); port( clk: in std_logic; rst: in std_logic; x: in std_logic_vector(n-1 downto 0); koef: in std_logic_vector(m-1 downto 0); wr_en: in std_logic; pass: out std_logic_vector(n+m-1 downto 0) ); end first_stage; architecture first_stage of first_stage is component mnozac is generic (n: integer; m:integer); 36

37 port( a: in std_logic_vector(n-1 downto 0); b: in std_logic_vector(m-1 downto 0); c: out std_logic_vector(m+n-1 downto 0) ); end component; signal koef_pom: std_logic_vector(m-1 downto 0); begin mult: mnozac generic map(n, m) port map( a => x, b => koef_pom, c => pass ); process(clk, rst) begin if rst = '1' then koef_pom <= (others => '0'); elsif (clk'event and clk = '1') then if wr_en = '1' then koef_pom <= koef; end if; end if; end process; end first_stage; Clock-gate Clock gating је једна од најчешће коришћених техника за смањење потрошње код савремених интегросаних кола, с обзиром да више од 50% снаге чипа одлази на стабло такта. Да би се смањила динамичка потрошња, у стабло такта се додаје логика која онемогућава долажење такта до секвенцијалног елемента, чиме се добија да динамичка потрошња тог елемента буде једнака нули, тако да остаје само статичка потрошња као фактор. Постоје два основна начина реализације ове технике: 1. Нелечовани clock gating и 2. Лечовани clock gating. Код нелечованог clock gating-а се користи обично И или ИЛИ коло (зависно од ивице којом се окидају секвенцијални елементи). Проблем настаје уколико сигнал дозволе постане активан након активне ивице такта, што се може видети на слици

38 Слика 3.16 Код лечованог clock gating-а (слка 3.17) се додаје леч како би се обезбедило да сигнал дозволе буде стабилан између активне и неактивне ивице сигнала такта. Леч памти стање сигнала дозволе током трајања целог импулса, тако да је потребно обезбедити да овај сигнал буде стабилан приликом наилажења растуће ивице такта. Слика 3.17 Приликом реализације нашег филтра, користили смо лечовани clock gating. VHDL опис ове компоненте је: library ieee; use ieee.std_logic_1164.all; entity clk_gate is port( clk: in std_logic; en: in std_logic; g_clk: out std_logic ); end clk_gate; architecture clk_gate of clk_gate is signal pom: std_logic; begin process(clk, en) begin 38

39 if clk = '0' then pom <= en; end if; end process; g_clk <= pom and clk; end clk_gate; 3.5 Тестирање Тестирање филтра је реализовано помоћу testbench а, чији је код приложен испод. Најпре се у филтар упишу вредности коефицијената, а затим на улаз доводи максимална позитивна вредност у трајању од једног тактног периода. Због немогућности алата да прикаже бројеве у формату Q0.7 односно Q0.16 бројеви су приказани као децимални означени бројеви. На слици 3.18 је приказан део симулације у коме је извршено уписивање коефицијената, такође се виде и вредности селекторских сигнала. На слици 3.19 је приказан одзив филтра на импулсну побуду. library ieee; use ieee.std_logic_1164.all; entity fir_top_tb is generic( n : INTEGER := 8; m : INTEGER := 8 ); end fir_top_tb; architecture fir_top_tb of fir_top_tb is component fir_top generic( n : INTEGER := 8; m : INTEGER := 8 ); port( clk : in STD_LOGIC; rst : in STD_LOGIC; data_in : in STD_LOGIC_VECTOR(n-1 downto 0); koef : in STD_LOGIC_VECTOR(m-1 downto 0); sel_koef : in STD_LOGIC_VECTOR(2 downto 0); sel_red : in STD_LOGIC_VECTOR(2 downto 0); data_out : out STD_LOGIC_VECTOR(n+m-1 downto 0) ); end component; signal clk : STD_LOGIC; signal rst : STD_LOGIC; signal data_in : STD_LOGIC_VECTOR(n-1 downto 0); signal koef : STD_LOGIC_VECTOR(m-1 downto 0); 39

40 signal sel_koef : STD_LOGIC_VECTOR(2 downto 0); signal sel_red : STD_LOGIC_VECTOR(2 downto 0); signal data_out : STD_LOGIC_VECTOR(n+m-1 downto 0); constant clk_period:time := 20 ns; signal endsim : boolean := false; begin UUT : fir_top generic map ( n => n, m => m ) port map ( clk => clk, rst => rst, data_in => data_in, koef => koef, sel_koef => sel_koef, sel_red => sel_red, data_out => data_out ); clk_gen: process begin if endsim = false then clk <= '1'; wait for clk_period/2; clk <= '0'; wait for clk_period/2; else wait; end if; end process; sig_gen: process begin rst <= '1'; -- resetovanje sistema data_in <= " "; -- ulaz u pocetnom trenutku sel_red <= "110"; -- red filtra 5 na pocetku wait for 100 ns; rst <= '0'; -- reset signal se deaktivira sel_koef <= "101"; -- selekcija petog koeficijenta koef <= " "; -- vrednost petog koeficijenta wait for clk_period; 40

41 sel_koef <= "100"; -- selekcija cetvrtog koeficijenta koef <= " "; -- vrednost cetvrtog koeficijenta wait for clk_period; sel_koef <= "011"; -- selekcija treceg koeficijenta koef <= " "; -- vrednost treceg koeficijenta wait for clk_period; sel_koef <= "010"; -- selekcija drugog koeficijenta koef <= " "; -- vrednost drugog koeficijenta wait for clk_period; sel_koef <= "001"; -- selekcija prvog koeficijenta koef <= " "; -- vrednost prvog koeficijenta wait for clk_period; sel_koef <= "000"; -- selekcija nultog koeficijenta koef <= " "; -- vrednost nultog koeficijenta wait for clk_period; koef <= " "; sel_koef <= "110"; wait for clk_period; data_in <= " "; wait for clk_period; data_in <= " "; wait for clk_period; data_in <= " "; wait for clk_period; data_in <= " "; wait for 8*clk_period; sel_red <= "010"; wait for 100 ns; wait for 8*clk_period; endsim <= true; wait; end process; end fir_top_tb; configuration TESTBENCH_FOR_fir_top of fir_top_tb is for fir_top_tb for UUT : fir_top use entity work.fir_top(fir_top); end for; end for; end TESTBENCH_FOR_fir_top; 41

42 Слика 3.18 Слика

43 Резултати имплементације Поступак синтезе и имплементације урађен је у програмском пакету Xilnix 9.1. Имплементација је урађена на чипу XCS300 из фамилије Spartan3Е. У наставку текста дати су резултати синтезе и имплементације у поменутом програмском пакету. Device utilization summary: Selected Device : 3s500efg320-5 Number of Slices: 111 out of % Number of Slice Flip Flops: 132 out of % Number of 4 input LUTs: 159 out of % Number of IOs: 40 Number of bonded IOBs: 40 out of % Number of MULT18X18SIOs: 6 out of 20 30% Number of GCLKs: 5 out of 24 20% У даљем тексту дат је преглед укупног броја компоненти употребљених за реализацију кола филтра. Овај списак генерише сам програм и види се да је из VHDL кода програм генерисао одговарајућа кола за реализацију кола филтра. На овај начин смо добили потврду да је опис кола оптималан јер је сам програм синтетизовао кола која су VHDL кодом описана бехавиорално. ================================================================ HDL Synthesis Report Macro Statistics # Multipliers : 6 8x8-bit multiplier : 6 # Adders/Subtractors : 5 16-bit adder : 5 # Registers : bit register : 5 8-bit register : 6 # Latches : 4 1-bit latch : 4 ================================================================ Advanced HDL Synthesis Report 43

44 Macro Statistics # Multipliers : 6 8x8-bit multiplier : 6 # Adders/Subtractors : 5 16-bit adder : 5 # Registers : 128 Flip-Flops : 128 # Latches : 4 1-bit latch : 4 ================================================================ 44

1.2. Сличност троуглова

1.2. Сличност троуглова математик за VIII разред основне школе.2. Сличност троуглова Учили смо и дефиницију подударности два троугла, као и четири правила (теореме) о подударности троуглова. На сличан начин наводимо (без доказа)

Διαβάστε περισσότερα

Теорија електричних кола

Теорија електричних кола др Милка Потребић, ванредни професор, Теорија електричних кола, вежбе, Универзитет у Београду Електротехнички факултет, 7. Теорија електричних кола i i i Милка Потребић др Милка Потребић, ванредни професор,

Διαβάστε περισσότερα

налазе се у диелектрику, релативне диелектричне константе ε r = 2, на међусобном растојању 2 a ( a =1cm

налазе се у диелектрику, релативне диелектричне константе ε r = 2, на међусобном растојању 2 a ( a =1cm 1 Два тачкаста наелектрисања 1 400 p и 100p налазе се у диелектрику релативне диелектричне константе ε на међусобном растојању ( 1cm ) као на слици 1 Одредити силу на наелектрисање 3 100p када се оно нађе:

Διαβάστε περισσότερα

b) Израз за угиб дате плоче, ако се користи само први члан реда усвојеног решења, је:

b) Израз за угиб дате плоче, ако се користи само први члан реда усвојеног решења, је: Пример 1. III Савијање правоугаоних плоча За правоугаону плочу, приказану на слици, одредити: a) израз за угиб, b) вредност угиба и пресечних сила у тачки 1 ако се користи само први члан реда усвојеног

Διαβάστε περισσότερα

СИСТЕМ ЛИНЕАРНИХ ЈЕДНАЧИНА С ДВЕ НЕПОЗНАТЕ

СИСТЕМ ЛИНЕАРНИХ ЈЕДНАЧИНА С ДВЕ НЕПОЗНАТЕ СИСТЕМ ЛИНЕАРНИХ ЈЕДНАЧИНА С ДВЕ НЕПОЗНАТЕ 8.. Линеарна једначина с две непознате Упознали смо појам линеарног израза са једном непознатом. Изрази x + 4; (x 4) + 5; x; су линеарни изрази. Слично, линеарни

Διαβάστε περισσότερα

Положај сваке тачке кружне плоче је одређен са поларним координатама r и ϕ.

Положај сваке тачке кружне плоче је одређен са поларним координатама r и ϕ. VI Савијање кружних плоча Положај сваке тачке кружне плоче је одређен са поларним координатама и ϕ слика 61 Диференцијална једначина савијања кружне плоче је: ( ϕ) 1 1 w 1 w 1 w Z, + + + + ϕ ϕ K Пресечне

Διαβάστε περισσότερα

Вектори vs. скалари. Векторске величине се описују интензитетом и правцем. Примери: Померај, брзина, убрзање, сила.

Вектори vs. скалари. Векторске величине се описују интензитетом и правцем. Примери: Померај, брзина, убрзање, сила. Вектори 1 Вектори vs. скалари Векторске величине се описују интензитетом и правцем Примери: Померај, брзина, убрзање, сила. Скаларне величине су комплетно описане само интензитетом Примери: Температура,

Διαβάστε περισσότερα

КРУГ. У свом делу Мерење круга, Архимед је први у историји математике одрeдио приближну вред ност броја π а тиме и дужину кружнице.

КРУГ. У свом делу Мерење круга, Архимед је први у историји математике одрeдио приближну вред ност броја π а тиме и дужину кружнице. КРУГ У свом делу Мерење круга, Архимед је први у историји математике одрeдио приближну вред ност броја π а тиме и дужину кружнице. Архимед (287-212 г.п.н.е.) 6.1. Централни и периферијски угао круга Круг

Διαβάστε περισσότερα

Анализа Петријевих мрежа

Анализа Петријевих мрежа Анализа Петријевих мрежа Анализа Петријевих мрежа Мере се: Својства Петријевих мрежа: Досежљивост (Reachability) Проблем досежљивости се састоји у испитивању да ли се може достићи неко, жељено или нежељено,

Διαβάστε περισσότερα

7. ЈЕДНОСТАВНИЈЕ КВАДРАТНЕ ДИОФАНТОВE ЈЕДНАЧИНЕ

7. ЈЕДНОСТАВНИЈЕ КВАДРАТНЕ ДИОФАНТОВE ЈЕДНАЧИНЕ 7. ЈЕДНОСТАВНИЈЕ КВАДРАТНЕ ДИОФАНТОВE ЈЕДНАЧИНЕ 7.1. ДИОФАНТОВА ЈЕДНАЧИНА ху = n (n N) Диофантова једначина ху = n (n N) има увек решења у скупу природних (а и целих) бројева и њено решавање није проблем,

Διαβάστε περισσότερα

г) страница aa и пречник 2RR описаног круга правилног шестоугла јесте рац. бр. јесу самерљиве

г) страница aa и пречник 2RR описаног круга правилног шестоугла јесте рац. бр. јесу самерљиве в) дијагонала dd и страница aa квадрата dd = aa aa dd = aa aa = није рац. бр. нису самерљиве г) страница aa и пречник RR описаног круга правилног шестоугла RR = aa aa RR = aa aa = 1 јесте рац. бр. јесу

Διαβάστε περισσότερα

ЛИНЕАРНА ФУНКЦИЈА. k, k 0), осна и централна симетрија и сл. 2, x 0. У претходном примеру неке функције су линеарне а неке то нису.

ЛИНЕАРНА ФУНКЦИЈА. k, k 0), осна и централна симетрија и сл. 2, x 0. У претходном примеру неке функције су линеарне а неке то нису. ЛИНЕАРНА ФУНКЦИЈА 5.. Функција = a + b Функционалне зависности су веома значајне и са њиховим применама често се сусрећемо. Тако, већ су нам познате директна и обрнута пропорционалност ( = k; = k, k ),

Διαβάστε περισσότερα

5.2. Имплицитни облик линеарне функције

5.2. Имплицитни облик линеарне функције математикa за VIII разред основне школе 0 Слика 6 8. Нацртај график функције: ) =- ; ) =,5; 3) = 0. 9. Нацртај график функције и испитај њен знак: ) = - ; ) = 0,5 + ; 3) =-- ; ) = + 0,75; 5) = 0,5 +. 0.

Διαβάστε περισσότερα

Tестирање хипотеза. 5.час. 30. март Боjана Тодић Статистички софтвер март / 10

Tестирање хипотеза. 5.час. 30. март Боjана Тодић Статистички софтвер март / 10 Tестирање хипотеза 5.час 30. март 2016. Боjана Тодић Статистички софтвер 2 30. март 2016. 1 / 10 Монте Карло тест Монте Карло методе су методе код коjих се употребљаваjу низови случаjних броjева за извршење

Διαβάστε περισσότερα

2. Наставни колоквијум Задаци за вежбање ОЈЛЕРОВА МЕТОДА

2. Наставни колоквијум Задаци за вежбање ОЈЛЕРОВА МЕТОДА . колоквијум. Наставни колоквијум Задаци за вежбање У свим задацима се приликом рачунања добија само по једна вредност. Одступање појединачне вредности од тачне вредности је апсолутна грешка. Вредност

Διαβάστε περισσότερα

предмет МЕХАНИКА 1 Студијски програми ИНДУСТРИЈСКО ИНЖЕЊЕРСТВО ДРУМСКИ САОБРАЋАЈ II ПРЕДАВАЊЕ УСЛОВИ РАВНОТЕЖЕ СИСТЕМА СУЧЕЉНИХ СИЛА

предмет МЕХАНИКА 1 Студијски програми ИНДУСТРИЈСКО ИНЖЕЊЕРСТВО ДРУМСКИ САОБРАЋАЈ II ПРЕДАВАЊЕ УСЛОВИ РАВНОТЕЖЕ СИСТЕМА СУЧЕЉНИХ СИЛА Висока техничка школа струковних студија у Нишу предмет МЕХАНИКА 1 Студијски програми ИНДУСТРИЈСКО ИНЖЕЊЕРСТВО ДРУМСКИ САОБРАЋАЈ II ПРЕДАВАЊЕ УСЛОВИ РАВНОТЕЖЕ СИСТЕМА СУЧЕЉНИХ СИЛА Садржај предавања: Систем

Διαβάστε περισσότερα

2. EЛЕМЕНТАРНЕ ДИОФАНТОВЕ ЈЕДНАЧИНЕ

2. EЛЕМЕНТАРНЕ ДИОФАНТОВЕ ЈЕДНАЧИНЕ 2. EЛЕМЕНТАРНЕ ДИОФАНТОВЕ ЈЕДНАЧИНЕ 2.1. МАТЕМАТИЧКИ РЕБУСИ Најједноставније Диофантове једначине су математички ребуси. Метод разликовања случајева код ових проблема се показује плодоносним, јер је раздвајање

Διαβάστε περισσότερα

Упутство за избор домаћих задатака

Упутство за избор домаћих задатака Упутство за избор домаћих задатака Студент од изабраних задатака области Математике 2: Комбинаторика, Вероватноћа и статистика бира по 20 задатака. Студент може бирати задатке помоћу програмског пакета

Διαβάστε περισσότερα

Предмет: Задатак 4: Слика 1.0

Предмет: Задатак 4: Слика 1.0 Лист/листова: 1/1 Задатак 4: Задатак 4.1.1. Слика 1.0 x 1 = x 0 + x x = v x t v x = v cos θ y 1 = y 0 + y y = v y t v y = v sin θ θ 1 = θ 0 + θ θ = ω t θ 1 = θ 0 + ω t x 1 = x 0 + v cos θ t y 1 = y 0 +

Διαβάστε περισσότερα

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА МАТЕМАТИКА ТЕСТ

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА МАТЕМАТИКА ТЕСТ Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА МАТЕМАТИКА ТЕСТ УПУТСТВО ЗА ОЦЕЊИВАЊЕ ОБАВЕЗНО ПРОЧИТАТИ ОПШТА УПУТСТВА 1. Сваки

Διαβάστε περισσότερα

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ И НАУКЕ ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ И НАУКЕ ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА Република Србија МИНИСТАРСТВО ПРОСВЕТЕ И НАУКЕ ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА ЗАВРШНИ ИСПИТ НА КРАЈУ ОСНОВНОГ ОБРАЗОВАЊА И ВАСПИТАЊА школска 011/01. година ТЕСТ МАТЕМАТИКА УПУТСТВО

Διαβάστε περισσότερα

ЕНЕРГЕТСКИ ПРЕТВАРАЧИ 2 (13Е013ЕП2) октобар 2016.

ЕНЕРГЕТСКИ ПРЕТВАРАЧИ 2 (13Е013ЕП2) октобар 2016. ЕНЕРГЕТСКИ ПРЕТВАРАЧИ (3Е03ЕП) октобар 06.. Батерија напона B = 00 пуни се преко трофазног полууправљивог мосног исправљача, који је повезан на мрежу 3x380, 50 Hz преко трансформатора у спрези y, са преносним

Διαβάστε περισσότερα

АНАЛОГНА ЕЛЕКТРОНИКА ЛАБОРАТОРИЈСКЕ ВЕЖБЕ

АНАЛОГНА ЕЛЕКТРОНИКА ЛАБОРАТОРИЈСКЕ ВЕЖБЕ ЕЛЕКТРОТЕХНИЧКИ ФАКУЛТЕТ У БЕОГРАДУ КАТЕДРА ЗА ЕЛЕКТРОНИКУ АНАЛОГНА ЕЛЕКТРОНИКА ЛАБОРАТОРИЈСКЕ ВЕЖБЕ ВЕЖБА БРОЈ 2 ПОЈАЧАВАЧ СНАГЕ У КЛАСИ Б 1. 2. ИМЕ И ПРЕЗИМЕ БР. ИНДЕКСА ГРУПА ОЦЕНА ДАТУМ ВРЕМЕ ДЕЖУРНИ

Διαβάστε περισσότερα

2.3. Решавање линеарних једначина с једном непознатом

2.3. Решавање линеарних једначина с једном непознатом . Решимо једначину 5. ( * ) + 5 + Провера: + 5 + 0 5 + 5 +. + 0. Број је решење дате једначине... Реши једначину: ) +,5 ) + ) - ) - -.. Да ли су следеће једначине еквивалентне? Провери решавањем. ) - 0

Διαβάστε περισσότερα

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА ЗАВРШНИ ИСПИТ НА КРАЈУ ОСНОВНОГ ОБРАЗОВАЊА И ВАСПИТАЊА школска 013/014. година ТЕСТ

Διαβάστε περισσότερα

Количина топлоте и топлотна равнотежа

Количина топлоте и топлотна равнотежа Количина топлоте и топлотна равнотежа Топлота и количина топлоте Топлота је један од видова енергије тела. Енергија коју тело прими или отпушта у топлотним процесима назива се количина топлоте. Количина

Διαβάστε περισσότερα

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА ЗАВРШНИ ИСПИТ НА КРАЈУ ОСНОВНОГ ОБРАЗОВАЊА И ВАСПИТАЊА школска 01/01. година ТЕСТ

Διαβάστε περισσότερα

ОБЛАСТИ: 1) Тачка 2) Права 3) Криве другог реда

ОБЛАСТИ: 1) Тачка 2) Права 3) Криве другог реда ОБЛАСТИ: ) Тачка ) Права Jov@soft - Март 0. ) Тачка Тачка је дефинисана (одређена) у Декартовом координатном систему са своје две коодринате. Примери: М(5, ) или М(-, 7) или М(,; -5) Jov@soft - Март 0.

Διαβάστε περισσότερα

8. ПИТАГОРИНА ЈЕДНАЧИНА х 2 + у 2 = z 2

8. ПИТАГОРИНА ЈЕДНАЧИНА х 2 + у 2 = z 2 8. ПИТАГОРИНА ЈЕДНАЧИНА х + у = z Један од најзанимљивијих проблема теорије бројева свакако је проблем Питагориних бројева, тј. питање решења Питагорине Диофантове једначине. Питагориним бројевима или

Διαβάστε περισσότερα

ТРАПЕЗ РЕГИОНАЛНИ ЦЕНТАР ИЗ ПРИРОДНИХ И ТЕХНИЧКИХ НАУКА У ВРАЊУ. Аутор :Петар Спасић, ученик 8. разреда ОШ 8. Октобар, Власотинце

ТРАПЕЗ РЕГИОНАЛНИ ЦЕНТАР ИЗ ПРИРОДНИХ И ТЕХНИЧКИХ НАУКА У ВРАЊУ. Аутор :Петар Спасић, ученик 8. разреда ОШ 8. Октобар, Власотинце РЕГИОНАЛНИ ЦЕНТАР ИЗ ПРИРОДНИХ И ТЕХНИЧКИХ НАУКА У ВРАЊУ ТРАПЕЗ Аутор :Петар Спасић, ученик 8. разреда ОШ 8. Октобар, Власотинце Ментор :Криста Ђокић, наставник математике Власотинце, 2011. године Трапез

Διαβάστε περισσότερα

Аксиоме припадања. Никола Томовић 152/2011

Аксиоме припадања. Никола Томовић 152/2011 Аксиоме припадања Никола Томовић 152/2011 Павле Васић 104/2011 1 Шта је тачка? Шта је права? Шта је раван? Да бисмо се бавили геометријом (и не само геометријом), морамо увести основне појмове и полазна

Διαβάστε περισσότερα

Први корак у дефинисању случајне променљиве је. дефинисање и исписивање свих могућих eлементарних догађаја.

Први корак у дефинисању случајне променљиве је. дефинисање и исписивање свих могућих eлементарних догађаја. СЛУЧАЈНА ПРОМЕНЉИВА Једнодимензионална случајна променљива X је пресликавање у коме се сваки елементарни догађај из простора елементарних догађаја S пресликава у вредност са бројне праве Први корак у дефинисању

Διαβάστε περισσότερα

ΑΣΚΗΣΗ 2: Σχεδίαση και προσομοίωση κυκλωμάτων καταχωρητών και μετρητών

ΑΣΚΗΣΗ 2: Σχεδίαση και προσομοίωση κυκλωμάτων καταχωρητών και μετρητών ΑΣΚΗΣΗ 2: Σχεδίαση και προσομοίωση κυκλωμάτων καταχωρητών και μετρητών Θέμα Β.1: Απλός καταχωρητής 1 bit (D Flip-Flop) preset D D Q Q clk clear Σχήμα 2.1: D Flip-Flop με εισόδους preset και clear Με τη

Διαβάστε περισσότερα

8.5 ЛАБОРАТОРИЈСКА ВЕЖБА 5 Задатак вежбе: PI регулација брзине напонски управљаним микромотором једносмерне струје

8.5 ЛАБОРАТОРИЈСКА ВЕЖБА 5 Задатак вежбе: PI регулација брзине напонски управљаним микромотором једносмерне струје Регулација електромоторних погона 8.5 ЛАБОРАТОРИЈСКА ВЕЖБА 5 Задатак вежбе: регулација брзине напонски управљаним микромотором једносмерне струје Увод Simulik модел На основу упрошћеног блок дијаграма

Διαβάστε περισσότερα

МАТРИЧНА АНАЛИЗА КОНСТРУКЦИЈА

МАТРИЧНА АНАЛИЗА КОНСТРУКЦИЈА Београд, 21.06.2014. За штап приказан на слици одредити најмању вредност критичног оптерећења P cr користећи приближан поступак линеаризоване теорије другог реда и: а) и један елемент, слика 1, б) два

Διαβάστε περισσότερα

Хомогена диференцијална једначина је она која може да се напише у облику: = t( x)

Хомогена диференцијална једначина је она која може да се напише у облику: = t( x) ДИФЕРЕНЦИЈАЛНЕ ЈЕДНАЧИНЕ Штa треба знати пре почетка решавања задатака? Врсте диференцијалних једначина. ДИФЕРЕНЦИЈАЛНА ЈЕДНАЧИНА КОЈА РАЗДВАЈА ПРОМЕНЉИВЕ Код ове методе поступак је следећи: раздвојити

Διαβάστε περισσότερα

РЕШЕЊА ЗАДАТАКА - IV РАЗЕД 1. Мањи број: : x,

РЕШЕЊА ЗАДАТАКА - IV РАЗЕД 1. Мањи број: : x, РЕШЕЊА ЗАДАТАКА - IV РАЗЕД 1. Мањи број: : x, Већи број: 1 : 4x + 1, (4 бода) Њихов збир: 1 : 5x + 1, Збир умањен за остатак: : 5x = 55, 55 : 5 = 11; 11 4 = ; + 1 = 45; : x = 11. Дакле, први број је 45

Διαβάστε περισσότερα

Штампарске грешке у петом издању уџбеника Основи електротехнике, 1. део, Електростатика

Штампарске грешке у петом издању уџбеника Основи електротехнике, 1. део, Електростатика Штампарске грешке у петом издању уџбеника Основи електротехнике део Страна пасус први ред треба да гласи У четвртом делу колима променљивих струја Штампарске грешке у четвртом издању уџбеника Основи електротехнике

Διαβάστε περισσότερα

ВЕЖБЕ ИЗ ОСНОВА РАЧУНАРСКЕ ТЕХНИКЕ 1

ВЕЖБЕ ИЗ ОСНОВА РАЧУНАРСКЕ ТЕХНИКЕ 1 ВЕЖБЕ ИЗ ОСНОВА РАЧУНАРСКЕ ТЕХНИКЕ ВЕРЗИЈА. Електротехнички факултет Универзитета у Београду Основи рачунарске технике АНАЛИЗА И СИНТЕЗА КОМБИНАЦИОНИХ ПРЕКИДАЧКИХ МРЕЖА Анализа комбинационих мрежа је поступак

Διαβάστε περισσότερα

Писмени испит из Теорије површинских носача. 1. За континуалну плочу приказану на слици одредити угиб и моменте савијања у означеним тачкама.

Писмени испит из Теорије површинских носача. 1. За континуалну плочу приказану на слици одредити угиб и моменте савијања у означеним тачкама. Београд, 24. јануар 2012. 1. За континуалну плочу приказану на слици одредити угиб и моменте савијања у означеним тачкама. dpl = 0.2 m P= 30 kn/m Линијско оптерећење се мења по синусном закону: 2. За плочу

Διαβάστε περισσότερα

Cook-Levin: SAT је NP-комплетан. Теодор Најдан Трифунов 305M/12

Cook-Levin: SAT је NP-комплетан. Теодор Најдан Трифунов 305M/12 Cook-Levin: SAT је NP-комплетан Теодор Најдан Трифунов 305M/12 1 Основни појмови Недетерминистичка Тјурингова машина (НТМ) је уређена седморка M = (Q, Σ, Γ, δ, q 0,, ) Q коначан скуп стања контролног механизма

Διαβάστε περισσότερα

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА ЗАВРШНИ ИСПИТ У ОСНОВНОМ ОБРАЗОВАЊУ И ВАСПИТАЊУ школска 0/06. година ТЕСТ МАТЕМАТИКА

Διαβάστε περισσότερα

Универзитет у Крагујевцу Факултет за машинство и грађевинарство у Краљеву Катедра за основне машинске конструкције и технологије материјала

Универзитет у Крагујевцу Факултет за машинство и грађевинарство у Краљеву Катедра за основне машинске конструкције и технологије материјала Теоријски део: Вежба број ТЕРМИЈСКА AНАЛИЗА. Термијска анализа је поступак који је 903.год. увео G. Tamman за добијање криве хлађења(загревања). Овај поступак заснива се на принципу промене топлотног садржаја

Διαβάστε περισσότερα

6.2. Симетрала дужи. Примена

6.2. Симетрала дужи. Примена 6.2. Симетрала дужи. Примена Дата је дуж АВ (слика 22). Тачка О је средиште дужи АВ, а права је нормална на праву АВ(p) и садржи тачку О. p Слика 22. Права назива се симетрала дужи. Симетрала дужи је права

Διαβάστε περισσότερα

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА ПРОБНИ ЗАВРШНИ ИСПИТ школска 016/017. година ТЕСТ МАТЕМАТИКА УПУТСТВО ЗА ПРЕГЛЕДАЊЕ

Διαβάστε περισσότερα

Скупови (наставак) Релације. Професор : Рака Јовановић Асиситент : Јелена Јовановић

Скупови (наставак) Релације. Професор : Рака Јовановић Асиситент : Јелена Јовановић Скупови (наставак) Релације Професор : Рака Јовановић Асиситент : Јелена Јовановић Дефиниција дуалне скуповне формуле За скуповне формулу f, која се састоји из једног или више скуповних симбола и њихових

Διαβάστε περισσότερα

Факултет организационих наука Центар за пословно одлучивање. PROMETHEE (Preference Ranking Organization Method for Enrichment Evaluation)

Факултет организационих наука Центар за пословно одлучивање. PROMETHEE (Preference Ranking Organization Method for Enrichment Evaluation) Факултет организационих наука Центар за пословно одлучивање PROMETHEE (Preference Ranking Organization Method for Enrichment Evaluation) Студија случаја D-Sight Консултантске услуге за Изградња брзе пруге

Διαβάστε περισσότερα

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ И НАУКЕ ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ И НАУКЕ ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА Тест Математика Република Србија МИНИСТАРСТВО ПРОСВЕТЕ И НАУКЕ ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА ЗАВРШНИ ИСПИТ НА КРАЈУ ОСНОВНОГ ОБРАЗОВАЊА И ВАСПИТАЊА школска 00/0. година ТЕСТ МАТЕМАТИКА

Διαβάστε περισσότερα

ЗАШТИТА ПОДАТАКА Шифровање јавним кључем и хеш функције. Diffie-Hellman размена кључева

ЗАШТИТА ПОДАТАКА Шифровање јавним кључем и хеш функције. Diffie-Hellman размена кључева ЗАШТИТА ПОДАТАКА Шифровање јавним кључем и хеш функције Diffie-Hellman размена кључева Преглед Биће објашњено: Diffie-Hellman размена кључева 2/13 Diffie-Hellman размена кључева први алгоритам са јавним

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 4: Σχεδιασμός Σειριακού Αθροιστή Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών

Διαβάστε περισσότερα

6.5 Површина круга и његових делова

6.5 Површина круга и његових делова 7. Тетива је једнака полупречнику круга. Израчунај дужину мањег одговарајућег лука ако је полупречник 2,5 сm. 8. Географска ширина Београда је α = 44 47'57", а полупречник Земље 6 370 km. Израчунај удаљеност

Διαβάστε περισσότερα

Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε.

Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Ενότητα 3: Καταχωρητές - Απαριθμητές Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες Χρήσης Το παρόν εκπαιδευτικό

Διαβάστε περισσότερα

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА ТЕСТ МАТЕМАТИКА

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА ТЕСТ МАТЕМАТИКА Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА ТЕСТ МАТЕМАТИКА УПУТСТВО ЗА ОЦЕЊИВАЊЕ ОБАВЕЗНО ПРОЧИТАТИ ОПШТА УПУТСТВА 1. Сваки

Διαβάστε περισσότερα

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА Република Србија МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА ЗАВРШНИ ИСПИТ У ОСНОВНОМ ОБРАЗОВАЊУ И ВАСПИТАЊУ школска 014/01. година ТЕСТ МАТЕМАТИКА

Διαβάστε περισσότερα

Катедра за електронику, Основи електронике

Катедра за електронику, Основи електронике Лабораторијске вежбе из основа електронике, 13. 7. 215. Презиме, име и број индекса. Трајање испита: 12 минута Тест за лабораторијске вежбе 1 2 3 4 5 6 7 8 9 1 11 12 13 14 15 16 17 5 1 5 1 5 5 2 3 5 1

Διαβάστε περισσότερα

Теорија електричних кола

Теорија електричних кола Др Милка Потребић, ванредни професор, Теорија електричних кола, вежбе, Универзитет у Београду Електротехнички факултет, 7. Теорија електричних кола Милка Потребић Др Милка Потребић, ванредни професор,

Διαβάστε περισσότερα

АНАЛОГНА ЕЛЕКТРОНИКА ЛАБОРАТОРИЈСКЕ ВЕЖБЕ

АНАЛОГНА ЕЛЕКТРОНИКА ЛАБОРАТОРИЈСКЕ ВЕЖБЕ ЕЛЕКТРОТЕХНИЧКИ ФАКУЛТЕТ У БЕОГРАДУ КАТЕДРА ЗА ЕЛЕКТРОНИКУ АНАЛОГНА ЕЛЕКТРОНИКА ЛАБОРАТОРИЈСКЕ ВЕЖБЕ ВЕЖБА БРОЈ 3 ИСПРАВЉАЧИ И ФИЛТРИ.. ИМЕ И ПРЕЗИМЕ БР. ИНДЕКСА ГРУПА ОЦЕНА ДАТУМ ВРЕМЕ ДЕЖУРНИ У ЛАБОРАТОРИЈИ

Διαβάστε περισσότερα

Ротационо симетрична деформација средње површи ротационе љуске

Ротационо симетрична деформација средње површи ротационе љуске Ротационо симетрична деформација средње површи ротационе љуске слика. У свакој тачки посматране средње површи, у општем случају, постоје два компонентална померања: v - померање у правцу тангенте на меридијалну

Διαβάστε περισσότερα

6.1. Осна симетрија у равни. Симетричност двеју фигура у односу на праву. Осна симетрија фигуре

6.1. Осна симетрија у равни. Симетричност двеју фигура у односу на праву. Осна симетрија фигуре 0 6.. Осна симетрија у равни. Симетричност двеју фигура у односу на праву. Осна симетрија фигуре У обичном говору се често каже да су неки предмети симетрични. Примери таквих објеката, предмета, геометријских

Διαβάστε περισσότερα

ВИСОКА ШКОЛА ЕЛЕКТРОТЕХНИКЕ И РАЧУНАРСТВА СТРУКОВНИХ СТУДИЈА ДИГИТАЛНИ АУДИО ЗА ДИГИТАЛНУ ВИДЕО РАДИОДИФУЗИЈУ

ВИСОКА ШКОЛА ЕЛЕКТРОТЕХНИКЕ И РАЧУНАРСТВА СТРУКОВНИХ СТУДИЈА ДИГИТАЛНИ АУДИО ЗА ДИГИТАЛНУ ВИДЕО РАДИОДИФУЗИЈУ ВИСОКА ШКОЛА ЕЛЕКТРОТЕХНИКЕ И РАЧУНАРСТВА СТРУКОВНИХ СТУДИЈА ДИГИТАЛНИ АУДИО ЗА ДИГИТАЛНУ ВИДЕО РАДИОДИФУЗИЈУ Припремила: мр Милица Мишић, дипл. инж. електр. 1 Аудио и видео сигнали су једнако важни елементи

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Ακολουθιακός Κώδικας «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Ακολουθιακός Κώδικας Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής ΤΕ E-mail: pkitsos@teimes.gr

Διαβάστε περισσότερα

6. ЛИНЕАРНА ДИОФАНТОВА ЈЕДНАЧИНА ах + by = c

6. ЛИНЕАРНА ДИОФАНТОВА ЈЕДНАЧИНА ах + by = c 6. ЛИНЕАРНА ДИОФАНТОВА ЈЕДНАЧИНА ах + by = c Ако су а, b и с цели бројеви и аb 0, онда се линеарна једначина ах + bу = с, при чему су х и у цели бројеви, назива линеарна Диофантова једначина. Очигледно

Διαβάστε περισσότερα

Семинарски рад из линеарне алгебре

Семинарски рад из линеарне алгебре Универзитет у Београду Машински факултет Докторске студије Милош Живановић дипл. инж. Семинарски рад из линеарне алгебре Београд, 6 Линеарна алгебра семинарски рад Дата је матрица: Задатак: a) Одредити

Διαβάστε περισσότερα

L кплп (Калем у кплу прпстпперипдичне струје)

L кплп (Калем у кплу прпстпперипдичне струје) L кплп (Калем у кплу прпстпперипдичне струје) i L u=? За коло са слике кроз калем ппзнате позната простопериодична струја: индуктивности L претпоставићемо да протиче i=i m sin(ωt + ψ). Услед променљиве

Διαβάστε περισσότερα

4. ЗАКОН ВЕЛИКИХ БРОЈЕВА

4. ЗАКОН ВЕЛИКИХ БРОЈЕВА 4. Закон великих бројева 4. ЗАКОН ВЕЛИКИХ БРОЈЕВА Аксиоматска дефиниција вероватноће не одређује начин на који ће вероватноће случајних догађаја бити одређене у неком реалном експерименту. Зато треба наћи

Διαβάστε περισσότερα

Универзитет у Београду, Саобраћајни факултет Предмет: Паркирање. 1. вежба

Универзитет у Београду, Саобраћајни факултет Предмет: Паркирање. 1. вежба Универзитет у Београду, Саобраћајни факултет Предмет: Паркирање ОРГАНИЗАЦИЈА ПАРКИРАЛИШТА 1. вежба Место за паркирање (паркинг место) Део простора намењен, технички опремљен и уређен за паркирање једног

Διαβάστε περισσότερα

3.1. Однос тачке и праве, тачке и равни. Одређеност праве и равни

3.1. Однос тачке и праве, тачке и равни. Одређеност праве и равни ТАЧКА. ПРАВА. РАВАН Талес из Милета (624 548. пре н. е.) Еуклид (330 275. пре н. е.) Хилберт Давид (1862 1943) 3.1. Однос тачке и праве, тачке и равни. Одређеност праве и равни Настанак геометрије повезује

Διαβάστε περισσότερα

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Προχωρημένα Θέματα Σχεδιασμού με VHDL

«Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο Προχωρημένα Θέματα Σχεδιασμού με VHDL «Σχεδιασμός Ολοκληρωμένων Κυκλωμάτων» Χειμερινό εξάμηνο 2016-2017 Προχωρημένα Θέματα Σχεδιασμού με VHDL Παρασκευάς Κίτσος http://diceslab.cied.teiwest.gr Επίκουρος Καθηγητής Tμήμα Μηχανικών Πληροφορικής

Διαβάστε περισσότερα

1. 2. МЕТОД РАЗЛИКОВАЊА СЛУЧАЈЕВА 1

1. 2. МЕТОД РАЗЛИКОВАЊА СЛУЧАЈЕВА 1 1. 2. МЕТОД РАЗЛИКОВАЊА СЛУЧАЈЕВА 1 Метод разликовања случајева је један од најексплоатисанијих метода за решавање математичких проблема. У теорији Диофантових једначина он није свемогућ, али је сигурно

Διαβάστε περισσότερα

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος

Εργαστήριο Οργάνωσης Η/Υ. Δαδαλιάρης Αντώνιος Εργαστήριο Οργάνωσης Η/Υ Δαδαλιάρης Αντώνιος dadaliaris@uth.gr Χρησιμοποιούμε τις μηχανές πεπερασμένων καταστάσεων (finite state machines FSMs) για την μοντελοποίηση της συμπεριφοράς ενός κυκλώματος, η

Διαβάστε περισσότερα

7.3. Површина правилне пирамиде. Површина правилне четворостране пирамиде

7.3. Површина правилне пирамиде. Површина правилне четворостране пирамиде математик за VIII разред основне школе 4. Прво наћи дужину апотеме. Како је = 17 cm то је тражена површина P = 18+ 4^cm = ^4+ cm. 14. Основа четворостране пирамиде је ромб чије су дијагонале d 1 = 16 cm,

Διαβάστε περισσότερα

ВИСОКА ТЕХНИЧКА ШКОЛА СТРУКОВНИХ СТУДИЈА У НИШУ

ВИСОКА ТЕХНИЧКА ШКОЛА СТРУКОВНИХ СТУДИЈА У НИШУ ВИСОКА ТЕХНИЧКА ШКОЛА СТРУКОВНИХ СТУДИЈА У НИШУ предмет: ОСНОВИ МЕХАНИКЕ студијски програм: ЗАШТИТА ЖИВОТНЕ СРЕДИНЕ И ПРОСТОРНО ПЛАНИРАЊЕ ПРЕДАВАЊЕ БРОЈ 2. Садржај предавања: Систем сучељних сила у равни

Διαβάστε περισσότερα

Писмени испит из Метода коначних елемената

Писмени испит из Метода коначних елемената Београд,.0.07.. За приказани билинеарни коначни елемент (Q8) одредити вектор чворног оптерећења услед задатог линијског оптерећења p. Користити природни координатни систем (ξ,η).. На слици је приказан

Διαβάστε περισσότερα

ЛАБОРАТОРИЈСКЕ ВЕЖБЕ ИЗ ФИЗИКЕ ПРВИ КОЛОКВИЈУМ I група

ЛАБОРАТОРИЈСКЕ ВЕЖБЕ ИЗ ФИЗИКЕ ПРВИ КОЛОКВИЈУМ I група ЛАБОРАТОРИЈСКЕ ВЕЖБЕ ИЗ ФИЗИКЕ ПРВИ КОЛОКВИЈУМ 21.11.2009. I група Име и презиме студента: Број индекса: Термин у ком студент ради вежбе: Напомена: Бира се и одговара ИСКЉУЧИВО на шест питања заокруживањем

Διαβάστε περισσότερα

TAЧКАСТА НАЕЛЕКТРИСАЊА

TAЧКАСТА НАЕЛЕКТРИСАЊА TЧКАСТА НАЕЛЕКТРИСАЊА Два тачкаста наелектрисања оптерећена количинама електрицитета и налазе се у вакууму као што је приказано на слици Одредити: а) Вектор јачине електростатичког поља у тачки А; б) Електрични

Διαβάστε περισσότερα

ОБРАЗАЦ ЗА ПРИЈАВУ ТЕХНИЧКОГ РЕШЕЊА

ОБРАЗАЦ ЗА ПРИЈАВУ ТЕХНИЧКОГ РЕШЕЊА ЕЛЕКТРОНСКОМ ФАКУЛТЕТУ У НИШУ ОБРАЗАЦ ЗА ПРИЈАВУ ТЕХНИЧКОГ РЕШЕЊА У складу са одредбама Правилника о поступку и начину вредновања, и квантитавном исказивању научноистраживачких резултата истраживача, који

Διαβάστε περισσότερα

I Линеарне једначине. II Линеарне неједначине. III Квадратна једначина и неједначина АЛГЕБАРСКЕ ЈЕДНАЧИНЕ И НЕЈЕДНАЧИНЕ

I Линеарне једначине. II Линеарне неједначине. III Квадратна једначина и неједначина АЛГЕБАРСКЕ ЈЕДНАЧИНЕ И НЕЈЕДНАЧИНЕ Штa треба знати пре почетка решавања задатака? АЛГЕБАРСКЕ ЈЕДНАЧИНЕ И НЕЈЕДНАЧИНЕ I Линеарне једначине Линеарне једначине се решавају по следећем шаблону: Ослободимо се разломка Ослободимо се заграде Познате

Διαβάστε περισσότερα

8.2 ЛАБОРАТОРИЈСКА ВЕЖБА 2 Задатак вежбе: Израчунавање фактора појачања мотора напонским управљањем у отвореној повратној спрези

8.2 ЛАБОРАТОРИЈСКА ВЕЖБА 2 Задатак вежбе: Израчунавање фактора појачања мотора напонским управљањем у отвореној повратној спрези Регулциј електромоторних погон 8 ЛАБОРАТОРИЈСКА ВЕЖБА Здтк вежбе: Изрчунвње фктор појчњ мотор нпонским упрвљњем у отвореној повртној спрези Увод Преносн функциј мотор којим се нпонски упрвљ Кд се з нулте

Διαβάστε περισσότερα

МАСТЕР РАД. Увођење полинома у старијим разредима основне школе. Математички факултет. Универзитет у Београду. Студент: Милица Петровић.

МАСТЕР РАД. Увођење полинома у старијим разредима основне школе. Математички факултет. Универзитет у Београду. Студент: Милица Петровић. Математички факултет Универзитет у Београду МАСТЕР РАД Увођење полинома у старијим разредима основне школе Студент: Милица Петровић Београд, 2016. Ментор: проф. др Александар Липковски, ред. проф. Чланови

Διαβάστε περισσότερα

ВОЈИСЛАВ АНДРИЋ МАЛА ЗБИРКА ДИОФАНТОВИХ ЈЕДНАЧИНА

ВОЈИСЛАВ АНДРИЋ МАЛА ЗБИРКА ДИОФАНТОВИХ ЈЕДНАЧИНА ВОЈИСЛАВ АНДРИЋ МАЛА ЗБИРКА ДИОФАНТОВИХ ЈЕДНАЧИНА ВАЉЕВО, 006 1 1. УВОД 1.1. ПОЈАМ ДИОФАНТОВЕ ЈЕДНАЧИНЕ У једној земљи Далеког истока живео је некад један краљ, који је сваке ноћи узимао нову жену и следећег

Διαβάστε περισσότερα

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ И НАУКЕ ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ И НАУКЕ ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА Република Србија МИНИСТАРСТВО ПРОСВЕТЕ И НАУКЕ ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА ЗАВРШНИ ИСПИТ НА КРАЈУ ОСНОВНОГ ОБРАЗОВАЊА И ВАСПИТАЊА школска 2010/2011. година ТЕСТ 3 МАТЕМАТИКА УПУТСТВО

Διαβάστε περισσότερα

ПОВРШИНа ЧЕТВОРОУГЛОВА И ТРОУГЛОВА

ПОВРШИНа ЧЕТВОРОУГЛОВА И ТРОУГЛОВА ПОВРШИНа ЧЕТВОРОУГЛОВА И ТРОУГЛОВА 1. Допуни шта недостаје: а) 5m = dm = cm = mm; б) 6dm = m = cm = mm; в) 7cm = m = dm = mm. ПОЈАМ ПОВРШИНЕ. Допуни шта недостаје: а) 10m = dm = cm = mm ; б) 500dm = a

Διαβάστε περισσότερα

Математички факултет у Београду

Математички факултет у Београду Математички факултет у Београду 24. март 2009. Тест за кандидате за упис на докторске студије на смеру за рачунарство и информатику За свако питање изабрати одговарајући одговар; слово које одговара том

Διαβάστε περισσότερα

Слика 1. Слика 1.2 Слика 1.1

Слика 1. Слика 1.2 Слика 1.1 За случај трожичног вода приказаног на слици одредити: а Вектор магнетне индукције у тачкама А ( и ( б Вектор подужне силе на проводник са струјом Систем се налази у вакууму Познато је: Слика Слика Слика

Διαβάστε περισσότερα

Математички модел осциловања система кугли око равнотежног положаја под утицајем гравитационог поља

Математички модел осциловања система кугли око равнотежног положаја под утицајем гравитационог поља Универзитет у Машински факултет Београду Математички модел осциловања система кугли око равнотежног положаја под утицајем гравитационог поља -семинарски рад- ментор: Александар Томић Милош Живановић 65/

Διαβάστε περισσότερα

4.4. Паралелне праве, сечица. Углови које оне одређују. Углови са паралелним крацима

4.4. Паралелне праве, сечица. Углови које оне одређују. Углови са паралелним крацима 50. Нацртај било које унакрсне углове. Преношењем утврди однос унакрсних углова. Какво тврђење из тога следи? 51. Нацртај угао чија је мера 60, а затим нацртај њему унакрсни угао. Колика је мера тог угла?

Διαβάστε περισσότερα

Теорија електричних кола

Теорија електричних кола Др Милка Потребић, ванредни професор, Теорија електричних кола, предавања, Универзитет у Београду Електротехнички факултет, 07. Вишефазне електричне системе је патентирао српски истраживач Никола Тесла

Διαβάστε περισσότερα

Решења задатака са првог колоквиjума из Математике 1Б II група задатака

Решења задатака са првог колоквиjума из Математике 1Б II група задатака Решења задатака са првог колоквиjума из Математике Б II група задатака Пре самих решења, само да напоменем да су решења детаљно исписана у нади да ће помоћи студентима у даљоj припреми испита, као и да

Διαβάστε περισσότερα

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ И НАУКЕ ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА

Република Србија МИНИСТАРСТВО ПРОСВЕТЕ И НАУКЕ ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА Република Србија МИНИСТАРСТВО ПРОСВЕТЕ И НАУКЕ ЗАВОД ЗА ВРЕДНОВАЊЕ КВАЛИТЕТА ОБРАЗОВАЊА И ВАСПИТАЊА ЗАВРШНИ ИСПИТ НА КРАЈУ ОСНОВНОГ ОБРАЗОВАЊА И ВАСПИТАЊА школска 2011/2012. година ТЕСТ 3 МАТЕМАТИКА УПУТСТВО

Διαβάστε περισσότερα

ЗАШТИТА ПОДАТАКА. Шифровање јавним кључем и хеш функције. Diffie-Hellman размена кључева

ЗАШТИТА ПОДАТАКА. Шифровање јавним кључем и хеш функције. Diffie-Hellman размена кључева ЗАШТИТА ПОДАТАКА Шифровање јавним кључем и хеш функције Diffie-Hellman размена кључева Преглед Биће објашњено: Diffie-Hellman размена кључева 2 Diffie-Hellman размена кључева први алгоритам са јавним кључем

Διαβάστε περισσότερα

Једна од централних идеја рачунарства Метода која решавање проблема своди на решавање проблема мање димензије

Једна од централних идеја рачунарства Метода која решавање проблема своди на решавање проблема мање димензије Рекурзија Једна од централних идеја рачунарства Метода која решавање проблема своди на решавање проблема мање димензије Рекурзивна функција (неформално) је функција која у својој дефиницији има позив те

Διαβάστε περισσότερα

Тангента Нека је дата крива C са једначином y = f (x)

Тангента Нека је дата крива C са једначином y = f (x) Dbić N Извод као појам се први пут појављује крајем XVII вијека у вези са израчунавањем неравномјерних кретања. Прецизније, помоћу извода је било могуће увести појам тренутне брзине праволинијског кретања.

Διαβάστε περισσότερα

МАТЕМАТИЧКИ ЛИСТ 2017/18. бр. LII-3

МАТЕМАТИЧКИ ЛИСТ 2017/18. бр. LII-3 МАТЕМАТИЧКИ ЛИСТ 07/8. бр. LII- РЕЗУЛТАТИ, УПУТСТВА ИЛИ РЕШЕЊА ЗАДАТАКА ИЗ РУБРИКЕ ЗАДАЦИ ИЗ МАТЕМАТИКЕ . III разред. Обим правоугаоника је 6cm + 4cm = cm + 8cm = 0cm. Обим троугла је 7cm + 5cm + cm =

Διαβάστε περισσότερα

Примена првог извода функције

Примена првог извода функције Примена првог извода функције 1. Одреди дужине страница два квадрата тако да њихов збир буде 14 а збир површина тих квадрата минималан. Ре: x + y = 14, P(x, y) = x + y, P(x) = x + 14 x, P (x) = 4x 8 Први

Διαβάστε περισσότερα

МАТЕМАТИЧКИ ЛИСТ 2016/17. бр. LI-4

МАТЕМАТИЧКИ ЛИСТ 2016/17. бр. LI-4 МАТЕМАТИЧКИ ЛИСТ 06/7. бр. LI-4 РЕЗУЛТАТИ, УПУТСТВА ИЛИ РЕШЕЊА ЗАДАТАКА ИЗ РУБРИКЕ ЗАДАЦИ ИЗ МАТЕМАТИКЕ III разред. а) 50 4 = 00; б) 0 5 = 650; в) 0 6 = 6; г) 4 = 94; д) 60 : = 0; ђ) 0 : = 40; е) 648 :

Διαβάστε περισσότερα

ЕЛЕКТРОНИКЕ ЗА УЧЕНИКЕ ТРЕЋЕГ РАЗРЕДА

ЕЛЕКТРОНИКЕ ЗА УЧЕНИКЕ ТРЕЋЕГ РАЗРЕДА МИНИСТАРСТВО ПРОСВЕТЕ, НАУКЕ И ТЕХНОЛОШКОГ РАЗВОЈА РЕПУБЛИКЕ СРБИЈЕ ЗАЈЕДНИЦА ЕЛЕКТРОТЕХНИЧКИХ ШКОЛА РЕПУБЛИКЕ СРБИЈЕ ДВАДЕСЕТ ДРУГО РЕГИОНАЛНО ТАКМИЧЕЊЕ ОДГОВОРИ И РЕШЕЊА ИЗ ЕЛЕКТРОНИКЕ ЗА УЧЕНИКЕ ТРЕЋЕГ

Διαβάστε περισσότερα

ТАЧКЕ КОЈЕ ЕКСПЛОДИРАЈУ ПОГЛАВЉЕ 5 ДЕЉЕЊЕ ПОЧИЊЕМО

ТАЧКЕ КОЈЕ ЕКСПЛОДИРАЈУ ПОГЛАВЉЕ 5 ДЕЉЕЊЕ ПОЧИЊЕМО ТАЧКЕ КОЈЕ ЕКСПЛОДИРАЈУ ПОГЛАВЉЕ 5 ДЕЉЕЊЕ Сабирање, одузимање, множење. Сад је ред на дељење. Ево једног задатка с дељењем: израчунајте колико је. Наравно да постоји застрашујући начин да то урадите: Нацртајте

Διαβάστε περισσότερα

Σχεδίαση Ψηφιακών Συστημάτων

Σχεδίαση Ψηφιακών Συστημάτων ΕΛΛΗΝΙΚΗ ΔΗΜΟΚΡΑΤΙΑ Ανώτατο Εκπαιδευτικό Ίδρυμα Πειραιά Τεχνολογικού Τομέα Σχεδίαση Ψηφιακών Συστημάτων Ενότητα 2: Βασικές Μονάδες Κυριάκης - Μπιτζάρος Ευστάθιος Τμήμα Ηλεκτρονικών Μηχανικών Τ.Ε. Άδειες

Διαβάστε περισσότερα

Осцилације система са једним степеном слободе кретања

Осцилације система са једним степеном слободе кретања 03-ec-18 Осцилације система са једним степеном слободе кретања Опруга Принудна сила F(t) Вискозни пригушивач ( дампер ) 1 Принудна (пертурбациона) сила опруга Реституциона сила (сила еластичног отпора)

Διαβάστε περισσότερα

СИМУЛАЦИЈА ПРОЦЕСА ОБРАДЕ ПЛАСТИЧНИМ ДЕФОРМИСАЊЕМ (МЕТОД КОНАЧНИХ ЕЛЕМЕНАТА)

СИМУЛАЦИЈА ПРОЦЕСА ОБРАДЕ ПЛАСТИЧНИМ ДЕФОРМИСАЊЕМ (МЕТОД КОНАЧНИХ ЕЛЕМЕНАТА) ТЕХНОЛОГИЈА МАШИНОГРАДЊЕ ЛЕТЊИ СЕМЕСТАР 3. лабораторијска вежба СИМУЛАЦИЈА ПРОЦЕСА ОБРАДЕ ПЛАСТИЧНИМ ДЕФОРМИСАЊЕМ (МЕТОД КОНАЧНИХ ЕЛЕМЕНАТА) Дефиниција Метод коначних елемената (МКЕ) се заснива на одређеној

Διαβάστε περισσότερα